initial
[fpgammix.git] / rtl / NiosDevKit-EP1C20 / mega / pll1.v
blob0a1fd62bee3f118a3705d325e45529c52a7216c7
1 // megafunction wizard: %ALTPLL%
2 // GENERATION: STANDARD
3 // VERSION: WM1.0
4 // MODULE: altpll
6 // ============================================================
7 // File Name: pll1.v
8 // Megafunction Name(s):
9 // altpll
10 // ============================================================
11 // ************************************************************
12 // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
14 // 6.0 Build 202 06/20/2006 SP 1 SJ Web Edition
15 // ************************************************************
18 //Copyright (C) 1991-2006 Altera Corporation
19 //Your use of Altera Corporation's design tools, logic functions
20 //and other software and tools, and its AMPP partner logic
21 //functions, and any output files any of the foregoing
22 //(including device programming or simulation files), and any
23 //associated documentation or information are expressly subject
24 //to the terms and conditions of the Altera Program License
25 //Subscription Agreement, Altera MegaCore Function License
26 //Agreement, or other applicable license agreement, including,
27 //without limitation, that your use is for the sole purpose of
28 //programming logic devices manufactured by Altera and sold by
29 //Altera or its authorized distributors. Please refer to the
30 //applicable agreement for further details.
33 // synopsys translate_off
34 `timescale 1 ps / 1 ps
35 // synopsys translate_on
36 module pll1 (
37 inclk0,
38 c0,
39 c1,
40 e0,
41 locked);
43 input inclk0;
44 output c0;
45 output c1;
46 output e0;
47 output locked;
49 wire [5:0] sub_wire0;
50 wire sub_wire3;
51 wire [3:0] sub_wire4;
52 wire [0:0] sub_wire8 = 1'h0;
53 wire [1:1] sub_wire2 = sub_wire0[1:1];
54 wire [0:0] sub_wire1 = sub_wire0[0:0];
55 wire c0 = sub_wire1;
56 wire c1 = sub_wire2;
57 wire locked = sub_wire3;
58 wire [0:0] sub_wire5 = sub_wire4[0:0];
59 wire e0 = sub_wire5;
60 wire sub_wire6 = inclk0;
61 wire [1:0] sub_wire7 = {sub_wire8, sub_wire6};
63 altpll altpll_component (
64 .inclk (sub_wire7),
65 .clk (sub_wire0),
66 .locked (sub_wire3),
67 .extclk (sub_wire4),
68 .activeclock (),
69 .areset (1'b0),
70 .clkbad (),
71 .clkena ({6{1'b1}}),
72 .clkloss (),
73 .clkswitch (1'b0),
74 .enable0 (),
75 .enable1 (),
76 .extclkena ({4{1'b1}}),
77 .fbin (1'b1),
78 .pfdena (1'b1),
79 .pllena (1'b1),
80 .scanaclr (1'b0),
81 .scanclk (1'b0),
82 .scandata (1'b0),
83 .scandataout (),
84 .scandone (),
85 .scanread (1'b0),
86 .scanwrite (1'b0),
87 .sclkout0 (),
88 .sclkout1 ());
89 defparam
90 altpll_component.clk0_divide_by = 1,
91 altpll_component.clk0_duty_cycle = 50,
92 altpll_component.clk0_multiply_by = 2,
93 altpll_component.clk0_phase_shift = "0",
94 altpll_component.clk1_divide_by = 2,
95 altpll_component.clk1_duty_cycle = 50,
96 altpll_component.clk1_multiply_by = 1,
97 altpll_component.clk1_phase_shift = "0",
98 altpll_component.compensate_clock = "CLK0",
99 altpll_component.extclk0_divide_by = 2,
100 altpll_component.extclk0_duty_cycle = 50,
101 altpll_component.extclk0_multiply_by = 1,
102 altpll_component.extclk0_phase_shift = "0",
103 altpll_component.inclk0_input_frequency = 20000,
104 altpll_component.intended_device_family = "Cyclone",
105 altpll_component.invalid_lock_multiplier = 5,
106 altpll_component.lpm_type = "altpll",
107 altpll_component.operation_mode = "NORMAL",
108 altpll_component.pll_type = "AUTO",
109 altpll_component.port_activeclock = "PORT_UNUSED",
110 altpll_component.port_areset = "PORT_UNUSED",
111 altpll_component.port_clkbad0 = "PORT_UNUSED",
112 altpll_component.port_clkbad1 = "PORT_UNUSED",
113 altpll_component.port_clkloss = "PORT_UNUSED",
114 altpll_component.port_clkswitch = "PORT_UNUSED",
115 altpll_component.port_fbin = "PORT_UNUSED",
116 altpll_component.port_inclk0 = "PORT_USED",
117 altpll_component.port_inclk1 = "PORT_UNUSED",
118 altpll_component.port_locked = "PORT_USED",
119 altpll_component.port_pfdena = "PORT_UNUSED",
120 altpll_component.port_pllena = "PORT_UNUSED",
121 altpll_component.port_scanaclr = "PORT_UNUSED",
122 altpll_component.port_scanclk = "PORT_UNUSED",
123 altpll_component.port_scandata = "PORT_UNUSED",
124 altpll_component.port_scandataout = "PORT_UNUSED",
125 altpll_component.port_scandone = "PORT_UNUSED",
126 altpll_component.port_scanread = "PORT_UNUSED",
127 altpll_component.port_scanwrite = "PORT_UNUSED",
128 altpll_component.port_clk0 = "PORT_USED",
129 altpll_component.port_clk1 = "PORT_USED",
130 altpll_component.port_clk3 = "PORT_UNUSED",
131 altpll_component.port_clk4 = "PORT_UNUSED",
132 altpll_component.port_clk5 = "PORT_UNUSED",
133 altpll_component.port_clkena0 = "PORT_UNUSED",
134 altpll_component.port_clkena1 = "PORT_UNUSED",
135 altpll_component.port_clkena3 = "PORT_UNUSED",
136 altpll_component.port_clkena4 = "PORT_UNUSED",
137 altpll_component.port_clkena5 = "PORT_UNUSED",
138 altpll_component.port_enable0 = "PORT_UNUSED",
139 altpll_component.port_enable1 = "PORT_UNUSED",
140 altpll_component.port_extclk0 = "PORT_USED",
141 altpll_component.port_extclk1 = "PORT_UNUSED",
142 altpll_component.port_extclk2 = "PORT_UNUSED",
143 altpll_component.port_extclk3 = "PORT_UNUSED",
144 altpll_component.port_extclkena0 = "PORT_UNUSED",
145 altpll_component.port_extclkena1 = "PORT_UNUSED",
146 altpll_component.port_extclkena2 = "PORT_UNUSED",
147 altpll_component.port_extclkena3 = "PORT_UNUSED",
148 altpll_component.port_sclkout0 = "PORT_UNUSED",
149 altpll_component.port_sclkout1 = "PORT_UNUSED",
150 altpll_component.valid_lock_multiplier = 1;
153 endmodule
155 // ============================================================
156 // CNX file retrieval info
157 // ============================================================
158 // Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
159 // Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
160 // Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0"
161 // Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
162 // Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
163 // Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
164 // Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0"
165 // Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
166 // Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
167 // Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
168 // Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
169 // Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
170 // Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
171 // Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
172 // Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
173 // Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0"
174 // Retrieval info: PRIVATE: DEVICE_FAMILY NUMERIC "11"
175 // Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any"
176 // Retrieval info: PRIVATE: DEV_FAMILY STRING "Cyclone"
177 // Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
178 // Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "2"
179 // Retrieval info: PRIVATE: DIV_FACTOR6 NUMERIC "2"
180 // Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
181 // Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
182 // Retrieval info: PRIVATE: DUTY_CYCLE6 STRING "50.00000000"
183 // Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
184 // Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
185 // Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
186 // Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
187 // Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "10000"
188 // Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
189 // Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
190 // Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
191 // Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "50.000"
192 // Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
193 // Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
194 // Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
195 // Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
196 // Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
197 // Retrieval info: PRIVATE: LOCK_LOSS_SWITCHOVER_CHECK STRING "0"
198 // Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
199 // Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "500.000"
200 // Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
201 // Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "ps"
202 // Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "ps"
203 // Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT6 STRING "ps"
204 // Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
205 // Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
206 // Retrieval info: PRIVATE: MIRROR_CLK6 STRING "0"
207 // Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "2"
208 // Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1"
209 // Retrieval info: PRIVATE: MULT_FACTOR6 NUMERIC "1"
210 // Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
211 // Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000"
212 // Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "100.00000000"
213 // Retrieval info: PRIVATE: OUTPUT_FREQ6 STRING "100.00000000"
214 // Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0"
215 // Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0"
216 // Retrieval info: PRIVATE: OUTPUT_FREQ_MODE6 STRING "0"
217 // Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
218 // Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
219 // Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT6 STRING "MHz"
220 // Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
221 // Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
222 // Retrieval info: PRIVATE: PHASE_SHIFT6 STRING "0.00000000"
223 // Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
224 // Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg"
225 // Retrieval info: PRIVATE: PHASE_SHIFT_UNIT6 STRING "deg"
226 // Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
227 // Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
228 // Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
229 // Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0"
230 // Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
231 // Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
232 // Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
233 // Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
234 // Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
235 // Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
236 // Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
237 // Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0"
238 // Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
239 // Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
240 // Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
241 // Retrieval info: PRIVATE: SPREAD_FREQ STRING "300.000"
242 // Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
243 // Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
244 // Retrieval info: PRIVATE: SPREAD_USE STRING "0"
245 // Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
246 // Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
247 // Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
248 // Retrieval info: PRIVATE: STICKY_CLK6 STRING "1"
249 // Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
250 // Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "0"
251 // Retrieval info: PRIVATE: USE_CLK0 STRING "1"
252 // Retrieval info: PRIVATE: USE_CLK1 STRING "1"
253 // Retrieval info: PRIVATE: USE_CLK6 STRING "1"
254 // Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
255 // Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
256 // Retrieval info: PRIVATE: USE_CLKENA6 STRING "0"
257 // Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
258 // Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
259 // Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
260 // Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
261 // Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "2"
262 // Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
263 // Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "2"
264 // Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
265 // Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "1"
266 // Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
267 // Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
268 // Retrieval info: CONSTANT: EXTCLK0_DIVIDE_BY NUMERIC "2"
269 // Retrieval info: CONSTANT: EXTCLK0_DUTY_CYCLE NUMERIC "50"
270 // Retrieval info: CONSTANT: EXTCLK0_MULTIPLY_BY NUMERIC "1"
271 // Retrieval info: CONSTANT: EXTCLK0_PHASE_SHIFT STRING "0"
272 // Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20000"
273 // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
274 // Retrieval info: CONSTANT: INVALID_LOCK_MULTIPLIER NUMERIC "5"
275 // Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
276 // Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
277 // Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
278 // Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
279 // Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED"
280 // Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
281 // Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
282 // Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
283 // Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
284 // Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
285 // Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
286 // Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
287 // Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
288 // Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
289 // Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
290 // Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
291 // Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
292 // Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
293 // Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
294 // Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
295 // Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
296 // Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
297 // Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
298 // Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
299 // Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
300 // Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
301 // Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
302 // Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
303 // Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
304 // Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
305 // Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
306 // Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
307 // Retrieval info: CONSTANT: PORT_enable0 STRING "PORT_UNUSED"
308 // Retrieval info: CONSTANT: PORT_enable1 STRING "PORT_UNUSED"
309 // Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_USED"
310 // Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
311 // Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
312 // Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
313 // Retrieval info: CONSTANT: PORT_extclkena0 STRING "PORT_UNUSED"
314 // Retrieval info: CONSTANT: PORT_extclkena1 STRING "PORT_UNUSED"
315 // Retrieval info: CONSTANT: PORT_extclkena2 STRING "PORT_UNUSED"
316 // Retrieval info: CONSTANT: PORT_extclkena3 STRING "PORT_UNUSED"
317 // Retrieval info: CONSTANT: PORT_sclkout0 STRING "PORT_UNUSED"
318 // Retrieval info: CONSTANT: PORT_sclkout1 STRING "PORT_UNUSED"
319 // Retrieval info: CONSTANT: VALID_LOCK_MULTIPLIER NUMERIC "1"
320 // Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]"
321 // Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC "@extclk[3..0]"
322 // Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
323 // Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
324 // Retrieval info: USED_PORT: e0 0 0 0 0 OUTPUT_CLK_EXT VCC "e0"
325 // Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
326 // Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
327 // Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
328 // Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
329 // Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
330 // Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
331 // Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
332 // Retrieval info: CONNECT: e0 0 0 0 0 @extclk 0 0 1 0
333 // Retrieval info: GEN_FILE: TYPE_NORMAL pll1.v TRUE FALSE
334 // Retrieval info: GEN_FILE: TYPE_NORMAL pll1.ppf TRUE FALSE
335 // Retrieval info: GEN_FILE: TYPE_NORMAL pll1.inc FALSE FALSE
336 // Retrieval info: GEN_FILE: TYPE_NORMAL pll1.cmp FALSE FALSE
337 // Retrieval info: GEN_FILE: TYPE_NORMAL pll1.bsf FALSE FALSE
338 // Retrieval info: GEN_FILE: TYPE_NORMAL pll1_inst.v FALSE FALSE
339 // Retrieval info: GEN_FILE: TYPE_NORMAL pll1_bb.v FALSE FALSE
340 // Retrieval info: GEN_FILE: TYPE_NORMAL pll1_waveforms.html FALSE FALSE
341 // Retrieval info: GEN_FILE: TYPE_NORMAL pll1_wave*.jpg FALSE FALSE