Correct c-parse-state-get-strategy for moving HERE backward into a macro.
[emacs.git] / lisp / ChangeLog.17
bloba3b081a0bbf80cd4c67619485c20a4eaa4fd081d
1 2015-04-06  Alan Mackenzie  <acm@muc.de>
3         Fix miscellaneous glitches in cc-mode.el.  (Bug#20245)
4         * progmodes/cc-mode.el (c-common-init): bind
5         \(before\|after\)-change-functions to nil around invocations of
6         c-get-state-before-change-functions and
7         c-before-font-lock-functions to prevent recursive invocations.
8         (c-neutralize-syntax-in-and-mark-CPP): On c-beginning-of-macro,
9         check that point has actually moved back.
10         (c-fl-decl-start): Check whether c-beginning-of-decl-1 has
11         actually found a boundary (as contrasted with hitting a search
12         limit).
14 2015-02-01  Alan Mackenzie  <acm@muc.de>
16         CC Mode: Stop Font Lock forcing fontification from BOL.
17         * progmodes/cc-mode.el (c-font-lock-init): Setq
18         font-lock-extend-region-functions to nil.  (Bug#19669)
20 2015-04-06  Fabián Ezequiel Gallina  <fgallina@gnu.org>
22         python.el: Do not break IPython magic completions.  (Bug#19736)
23         * progmodes/python.el (python-shell-completion-setup-code):
24         Cleaner setup; import rlcompleter as last resource.
26 2015-04-06  Artur Malabarba  <bruce.connor.am@gmail.com>
28         * emacs-lisp/package.el: Fix lack of "new" packages.
29         (package-menu--new-package-list)
30         (package-menu--old-archive-contents): No longer local.
31         (package-menu--list-to-prompt): New function.
32         (package-menu--prompt-transaction-p): Use "Upgrade" to make the
33         package-menu-execute prompt less verbose.
35 2015-04-06  Paul Eggert  <eggert@cs.ucla.edu>
37         Spelling fix for 'hfy-optimizations'
38         * htmlfontify.el (hfy-optimizations): Rename from hfy-optimisations,
39         with an obsolete alias.  All uses changed.
41 2015-04-06  Fabián Ezequiel Gallina  <fgallina@gnu.org>
43         python.el: Enhance docstring detection following PEP-257.
44         * progmodes/python.el (python-docstring-at-p): Remove function.
45         (python-info-assignment-statement-p): New function.
46         (python-info-assignment-continuation-line-p): Use it.
47         (python-info-docstring-p): New function.
48         (python-font-lock-syntactic-face-function)
49         (python-fill-string): Use it.
51 2015-04-05  Eli Zaretskii  <eliz@gnu.org>
53         * ses.el (ses-sym-rowcol): Move up, before the first use, to avoid
54         byte-compiler warnings.
56 2015-04-05  Alan Mackenzie  <acm@muc.de>
58         * jit-lock.el (jit-lock-after-change): Widen the buffer only
59         whilst putting the 'fontified text properties.
61 2015-04-05  Alan Mackenzie  <acm@muc.de>
63         Rationalize use of c[ad]+r, expunging cl-c[ad]\{3,4\}r.
64         Also expunge eudc-c[ad]+r.
65         * subr.el (internal--compiler-macro-cXXr): "New" function,
66         copied from cl--compiler-macro-cXXr.
67         (caar, cadr, cdar, cddr): Change from defsubsts to defuns with
68         the above compiler-macro.
69         * net/eudc.el (eudc-cadr, eudc-cdar, eudc-caar, eudc-cdaar): Remove.
70         * emacs-lisp/cl.el (Top level dolist doing defaliases):
71         Remove caaar, etc., from list of new alias functions.
72         * emacs-lisp/cl-lib.el (cl-caaar, etc): Rename to caaar, etc.
73         (gen-cXXr--rawname, gen-cXXr-all-cl-aliases): New function/macro
74         which generate obsolete cl- aliases for caaar, etc.  Invoke them.
75         * desktop.el, edmacro.el, emacs-lisp/cl-macs.el, frameset.el:
76         * ibuffer.el, mail/footnote.el, net/dbus.el, net/eudc-export.el:
77         * net/eudc.el, net/eudcb-ph.el, net/rcirc.el, net/secrets.el:
78         * play/5x5.el, play/decipher.el, play/hanoi.el, progmodes/hideif.el:
79         * ses.el: Replace cl-caaar, eudc-cadr, etc. with caaar and cadr, etc.
81 2015-04-05  Richard Stallman  <rms@gnu.org>
83         * mail/rmail.el (rmail-show-message-1): When displaying a mime message,
84         indicate start and finish in the echo area.
86         * mail/rmail.el (rmail-epa-decrypt): Disregard <pre> before armor.
87         Ignore more kinds of whitespace in mime headers.
88         Modify the decrypted mime part's mime type so it will be displayed
89         by default when visiting this message again.
91         * net/browse-url.el (browse-url-firefox-program): Prefer IceCat, doc.
92         (browse-url-firefox-arguments)
93         (browse-url-firefox-startup-arguments): Doc fix.
95 2015-04-05  Artur Malabarba  <bruce.connor.am@gmail.com>
97         * emacs-lisp/package.el: Add package-initialize to user-init-file.
98         (package--ensure-init-file): New function.
99         (package-install, package-install-from-buffer): Use it.
100         (package-download-transaction, package-install-from-archive): Add
101         ASYNC and CALLBACK arguments.
102         (package-menu--prompt-transaction-p): New function.
103         (package-menu-execute): Use it to prompt the user about operations
104         to be executed.
105         (package-install): Add ASYNC and CALLBACK arguments.
106         (package-menu--perform-transaction): New function.
107         (package-menu-execute): Use it to install and delete packages.
109 2015-04-05  Pete Williamson  <petewil@chromium.org>  (tiny-change)
111         Fix .emacs and .emacs.d/init file recursion problem for NaCl
112         * files.el (file-truename): Add NaCl to the exception list ms-dos uses.
114 2015-04-04  Alan Mackenzie  <acm@muc.de>
116         * progmodes/cc-mode.el (c-font-lock-init): Revert 2015-03-03 change
117         "Stop Font Lock forcing fontification from BOL."  (Bug#20245)
119 2015-04-04  Artur Malabarba  <bruce.connor.am@gmail.com>
121         * emacs-lisp/package.el (package--download-and-read-archives): Add
122         `package-archives' to `package--downloads-in-progress' instead of
123         overwriting it.
124         (package--with-work-buffer-async): Protect macro arguments.
125         (package--download-one-archive)
126         (package--download-and-read-archives): Prevent
127         downloads-in-progress list from becoming outdated.
129 2015-04-04  Michael Albinus  <michael.albinus@gmx.de>
131         * net/tramp-cache.el (tramp-flush-directory-property): Quote directory
132         name when used in regexp.
134 2015-04-04  Alan Mackenzie  <acm@muc.de>
136         * jit-lock.el (jit-lock-after-change): Widen the buffer before
137         putting 'fontified text properties.  (Bug#20240)
139 2015-04-03  Michael Albinus  <michael.albinus@gmx.de>
141         * net/tramp-cache.el (tramp-flush-file-property)
142         (tramp-flush-directory-property): Use `directory-file-name' of the
143         truename.  (Bug#20249)
145 2015-04-03  Nicolas Richard  <theonewiththeevillook@yahoo.fr>
147         * pcmpl-unix.el (pcmpl-ssh-known-hosts): Use `char-before' instead
148         of `looking-back' (bug#17284).
150 2015-04-03  Dmitry Gutov  <dgutov@yandex.ru>
152         * progmodes/js.el (js-indent-line): Do nothing when bol is inside
153         a string (https://github.com/mooz/js2-mode/issues/227).
155 2015-04-02  Stefan Monnier  <monnier@iro.umontreal.ca>
157         * abbrev.el (define-abbrev-table): Treat a non-string "docstring" as
158         part of the "props" arguments rather than silently ignoring it.
160         * emacs-lisp/lisp-mnt.el (lm-version): Don't burp in a non-file buffer.
162 2015-04-01  Alan Mackenzie  <acm@muc.de>
164         Fix the CC Mode fixes from 2015-03-30.  (Bug#20240)
165         * progmodes/cc-mode.el (c-extend-after-change-region):
166         Widen before applying text properties.
167         * progmodes/cc-langs.el (c-before-font-lock-functions):
168         Update an entry to a new function name.
170 2015-04-01  Paul Eggert  <eggert@cs.ucla.edu>
172         * emacs-lisp/package.el: Spelling fixes and use active voice.
174 2015-04-01  Artur Malabarba  <bruce.connor.am@gmail.com>
176         * emacs-lisp/package.el: Implement asynchronous refreshing.
177         (package--with-work-buffer-async)
178         (package--check-signature-content)
179         (package--update-downloads-in-progress): New functions.
180         (package--check-signature, package--download-one-archive)
181         (package--download-and-read-archives, package-refresh-contents):
182         Optional arguments for async usage.
183         (package--post-download-archives-hook): New variable.  Hook run
184         after every refresh.
186         * emacs-lisp/package.el: Make package-menu asynchronous.
187         (package-menu-async): New variable.  Controls whether
188         `list-packages' is asynchronous.
189         (list-packages): Now asynchronous by default.
190         (package-menu--new-package-list): Always buffer-local.
191         (package-menu--post-refresh)
192         (package-menu--find-and-notify-upgrades)
193         (package-menu--populate-new-package-list): New functions.
195 2015-03-31  Simen Heggestøyl  <simenheg@gmail.com>
197         * textmodes/css-mode.el (css-mode): Derive from `prog-mode'.
199 2015-03-31  Stefan Monnier  <monnier@iro.umontreal.ca>
201         * jit-lock.el (jit-lock--run-functions): Fix min/max copy&paste error.
203         Let jit-lock know the result of font-lock-extend-region-functions.
204         * jit-lock.el (jit-lock--run-functions): New function.
205         (jit-lock-fontify-now): Use it.  Handle fontification bounds more
206         precisely in case the backend functions fontify more than requested.
207         Don't round up to whole lines since that shouldn't be needed
208         any more.
209         * font-lock.el (font-lock-fontify-region-function): Adjust docstring.
210         (font-lock-inhibit-thing-lock): Make obsolete.
211         (font-lock-default-fontify-region): Return the bounds actually used.
213         * emacs-lisp/eieio-base.el (eieio-persistent-validate/fix-slot-value):
214         Fix compilation error.
216 2015-03-30  Artur Malabarba  <bruce.connor.am@gmail.com>
218         * emacs-lisp/package.el: Reorganize package.el and divide it with
219         page-breaks and comments.
221 2015-03-30  Stefan Monnier  <monnier@iro.umontreal.ca>
223         * emacs-lisp/eieio-base.el (make-instance) <eieio-named>: New instance
224         which stores the old-style object name argument into the
225         object-name field.
227 2015-03-30  Alan Mackenzie  <acm@muc.de>
229         Correct calculation of CC Mode's font-lock region.
230         * progmodes/cc-mode.el (c-fl-decl-start): Rename from
231         c-set-fl-decl-start.  Change signature such that nil is returned
232         when no declaration is found.
233         (c-change-expand-fl-region): Rename from
234         c-change-set-fl-decl-start.  This now also handles expanding the
235         font lock region to whole lines.
236         (c-context-expand-fl-region): Rename from
237         c-context-set-fl-decl-start.  This now also handles expanding the
238         font lock region to whole lines.
239         (c-font-lock-fontify-region): When a change font lock region is
240         spuriously enlarged to the beginning-of-line by jit-lock, fontify
241         the extra bit separately from the region calculated by CC Mode.
242         (c-extend-after-change-region): Explicitly apply 'fontified
243         properties to the extended bits of the font lock region.
244         * progmodes/cc-langs.el (c-before-font-lock-functions)
245         (c-before-context-fontification-functions): Use new names for
246         existing functions (see above).
248 2015-03-30  Richard Ryniker  <ryniker@alum.mit.edu>  (tiny change)
250         * mail/sendmail.el (sendmail-send-it): Do not attempt to switch
251         to non-existent buffer (errbuf is not created when customization
252         variable mail-interactive is nil).  (Bug#20211)
254 2015-03-29  Stefan Monnier  <monnier@iro.umontreal.ca>
256         * emacs-lisp/smie.el (smie-backward-sexp-command)
257         (smie-forward-sexp-command): Don't pretend the arg is optional
258         (bug#20205).
260 2015-03-29  Dmitry Gutov  <dgutov@yandex.ru>
262         * progmodes/ruby-mode.el (ruby-syntax-before-regexp-re):
263         Detect regexps after `!'.  (Bug#19285)
265         * progmodes/ruby-mode.el (ruby-font-lock-keywords):
266         Use `font-lock-constant-face' for nil, true and false.
267         Highlight `self' as a keyword.  (Bug#17733)
269 2015-03-29  Nobuyoshi Nakada  <nobu@ruby-lang.org>
271         * progmodes/ruby-mode.el (ruby-syntax-before-regexp-re):
272         Expect beginning of regexp also after open brace or vertical bar.
273         (Bug#20026)
275 2015-03-28  Jan Djärv  <jan.h.d@swipnet.se>
277         * emacs-lisp/package.el (package-refresh-contents): Fix spelling
278         error in previous change.
280 2015-03-28  Tom Willemse  <tom@ryuslash.org>  (tiny change)
282         * elec-pair.el (electric-pair-local-mode): New command.
283         (electric-pair-mode): Mention `electric-pair-local-mode' in the
284         docstring.
286 2015-03-28  Jan Djärv  <jan.h.d@swipnet.se>
288         * emacs-lisp/package.el (package-refresh-contents): Add a message at
289         the end so it does not appear to have hanged (Bug#17879).
291 2015-03-27  Wolfgang Jenkner  <wjenkner@inode.at>
293         * font-lock.el (font-lock--remove-face-from-text-property):
294         New function.  Adapted from the previously commented out
295         remove-single-text-property.
296         Remove previously unused and commented out auxiliary function
297         remove-text-property and obsolete comment.
298         * comint.el (comint-output-filter): Use it to remove
299         comint-highlight-prompt.
300         (comint-snapshot-last-prompt, comint-output-filter):
301         Use font-lock-prepend-text-property for comint-highlight-prompt.
302         (Bug#20084)
304 2015-03-26  Daniel Colascione  <dancol@dancol.org>
306         * progmodes/python.el
307         (python-indent-guess-indent-offset-verbose): New defcustom.
308         (python-indent-guess-indent-offset): Use it.
310 2015-03-26  Stefan Monnier  <monnier@iro.umontreal.ca>
312         * emacs-lisp/eieio.el (defclass): Change internal name so as to make
313         sure only EIEIO files should have "eieio--" prefixes in their .elc.
315         * emacs-lisp/cl-macs.el (cl-dolist, cl-dotimes): Silence byte-compiler.
317 2015-03-26  Boruch Baum  <boruch_baum@gmx.com>  (tiny change)
319         * bookmark.el (bookmark-show-all-annotations): Sort them (bug#20177).
321 2015-03-25  Dmitry Gutov  <dgutov@yandex.ru>
323         * json.el (json-special-chars): Don't treat `/' specially, there's
324         no need to.
325         (json-encode-string): Only escape quotation mark, backslash and
326         the control characters U+0000 to U+001F.
328 2015-03-25  Artur Malabarba  <bruce.connor.am@gmail.com>
330         * emacs-lisp/checkdoc.el (checkdoc-this-string-valid-engine):
331         Don't complain about args starting with _.
333 2015-03-25  Stefan Monnier  <monnier@iro.umontreal.ca>
335         * international/mule-cmds.el (mule--ucs-names-annotation): New func.
336         (read-char-by-name): Use it.
338         * xt-mouse.el (xterm-mouse--read-number-from-terminal): Fix last commit.
340 2015-03-25  Nicolas Petton <nicolas@petton.fr>
342         * emacs-lisp/seq.el: Documentation improvements.
344 2015-03-25  Glenn Morris  <rgm@gnu.org>
346         * net/browse-url.el (browse-url-browser-function)
347         (browse-url-default-browser):
348         Remove obsolete items from the explicit listing.
349         (browse-url-new-window-flag, browse-url-of-file-hook): Doc fixes.
350         (browse-url-netscape-program, browse-url-netscape-arguments)
351         (browse-url-netscape-startup-arguments)
352         (browse-url-galeon-program, browse-url-galeon-arguments)
353         (browse-url-galeon-startup-arguments)
354         (browse-url-gnome-moz-program, browse-url-gnome-moz-arguments)
355         (browse-url-galeon-new-window-is-tab)
356         (browse-url-netscape-new-window-is-tab)
357         (browse-url-mosaic-program, browse-url-mosaic-arguments)
358         (browse-url-mosaic-pidfile, browse-url-CCI-port)
359         (browse-url-CCI-host, browse-url-netscape-version)
360         (browse-url-netscape, browse-url-netscape-sentinel)
361         (browse-url-netscape-reload, browse-url-netscape-send)
362         (browse-url-galeon, browse-url-galeon-sentinel)
363         (browse-url-gnome-moz, browse-url-mosaic, browse-url-cci)
364         (browse-url-w3-gnudoit): Make obsolete.
365         * ffap.el (ffap-url-fetcher): Simplify default and doc.
367 2015-03-25  Olaf Rogalsky  <olaf.rogalsky@gmail.com>
369         * xt-mouse.el: Add mouse-tracking support (bug#19416).
370         (xterm-mouse-translate-1): Handle mouse-movement events.
371         (xterm-mouse--read-event-sequence-1000)
372         (xterm-mouse--read-event-sequence-1006): Delete functions.
373         (xterm-mouse--read-event-sequence): New function that handles both at
374         the same time.  Handle mouse-movements.
375         (xterm-mouse--read-utf8-char, xterm-mouse--read-number-from-terminal):
376         New functions.
377         (xterm-mouse-event): Simplify.
378         (xterm-mouse-tracking-enable-sequence)
379         (xterm-mouse-tracking-disable-sequence): Enable mouse tracking.
381         * mouse.el (mouse-drag-line): Also ignore `vertical-line' prefix events.
383 2015-03-24  Michael Albinus  <michael.albinus@gmx.de>
385         * net/tramp-sh.el (tramp-do-file-attributes-with-ls)
386         (tramp-do-file-attributes-with-stat): Quote file names in output.
387         (tramp-do-directory-files-and-attributes-with-stat): Use "//" as marker.
389 2015-03-24  Daiki Ueno  <ueno@gnu.org>
391         * epg.el (epg-start-generate-key): Fix typo in "gpg --gen-key"
392         invocation; make the PARAMETERS documentation clearer.
394 2015-03-24  Stefan Monnier  <monnier@iro.umontreal.ca>
396         Add cl-struct specific optimizations to pcase.
397         * emacs-lisp/cl-macs.el (cl--struct-all-parents)
398         (cl--pcase-mutually-exclusive-p): New functions.
399         (pcase--mutually-exclusive-p): Advise to optimize cl-struct patterns.
401         * emacs-lisp/pcase.el (pcase--split-pred): Handle quoted string.
403 2015-03-23  Stefan Monnier  <monnier@iro.umontreal.ca>
405         Add new `cl-struct' and `eieio' pcase patterns.
406         * emacs-lisp/cl-macs.el (cl-struct): New pcase pattern.
407         * emacs-lisp/eieio.el (eieio-pcase-slot-index-table)
408         (eieio-pcase-slot-index-from-index-table): New functions.
409         (eieio): New pcase pattern.
410         * emacs-lisp/pcase.el (pcase--make-docstring): New function.
411         (pcase): Use it to build the docstring.
412         (pcase-defmacro): Make sure the macro is lazy-loaded.
413         (\`): Move its docstring from `pcase'.
415 2015-03-23  Glenn Morris  <rgm@gnu.org>
417         * emacs-lisp/authors.el (authors-aliases)
418         (authors-obsolete-files-regexps): Additions.
420 2015-03-23  Jan Djärv  <jan.h.d@swipnet.se>
422         * simple.el (deactivate-mark): Only modify PRIMARY if we own
423         PRIMARY (Bug#18939).
425 2015-03-23  Martin Rudalics  <rudalics@gmx.at>
427         * emacs-lisp/debug.el (debug): Don't try using "previous" window
428         when its not live or on an invisible frame (Bug#17170).
430 2015-03-23  Dmitry Gutov  <dgutov@yandex.ru>
432         * json.el (json-decode-char0): Delete this alias as well.
433         (json-read-escaped-char): Don't call it (bug#20154).
435 2015-03-23  Daniel Colascione  <dancol@dancol.org>
437         * emacs-lisp/lisp-mode.el (lisp--el-non-funcall-position-p): New function.
438         (lisp--el-match-keyword): Use it.
440 2015-03-23  Daiki Ueno  <ueno@gnu.org>
442         * subr.el (start-process): New function, ported from the C
443         implementation.
445 2015-03-23  Daniel Colascione  <dancol@dancol.org>
447         Automatically adjust process window sizes.
449         * window.el (window-adjust-process-window-size-function):
450         New customizable variable.
451         (window-adjust-process-window-size)
452         (window-adjust-process-window-size-smallest)
453         (window-adjust-process-window-size-largest)
454         (window--process-window-list, window--adjust-process-windows):
455         New functions.
456         (window-configuration-change-hook):
457         Add `window--adjust-process-windows'.
458         * term.el (term-mode): Observe result of
459         `window-adjust-process-window-size-function'.
460         (term-check-size): Delete.
462 2015-03-22  Jackson Ray Hamilton  <jackson@jacksonrayhamilton.com>
464         * textmodes/sgml-mode.el (sgml-attribute-offset): New defcustom.
465         (sgml-calculate-indent): Use `sgml-attribute-offset' for attribute
466         indentation (bug#20161).
468 2015-03-22  Dmitry Gutov  <dgutov@yandex.ru>
470         * json.el (json-encode-char0): Delete this alias.
471         (json-encode-string): Rewrite to improve performance (bug#20154).
472         (json-encode-char): Fold into `json-encode-string'.
474 2015-03-22  Artur Malabarba  <bruce.connor.am@gmail.com>
476         * menu-bar.el (menu-bar-update-buffers): Count displayed buffers
477         for `buffers-menu-max-size', not total buffers.
479 2015-03-21  Titus von der Malsburg  <malsburg@posteo.de>
481         * window.el (window-font-width, window-font-height)
482         (window-max-chars-per-line): New functions.
484         * simple.el (default-font-height): Doc fix.
485         (default-font-width): New function.
487 2015-03-21  Tassilo Horn  <tsdh@gnu.org>
489         * emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-1):
490         Also recognize (cl-)defmethod with (setf method) name.
492 2015-03-20  Tassilo Horn  <tsdh@gnu.org>
494         * emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-1):
495         Fix false positive in function name font-locking.
496         (lisp-cl-font-lock-keywords-1): Ditto.
498 2015-03-20  Stefan Monnier  <monnier@iro.umontreal.ca>
500         * emacs-lisp/cl-macs.el (cl-defsubst): Ignore false-positive
501         occurrences of args via &cl-defs (bug#20149).
503 2015-03-20  Alan Mackenzie  <acm@muc.de>
505         Fix Bug#20146
507         * font-lock.el (font-lock-extend-jit-lock-region-after-change):
508         Return the calculated values, as per spec.
510 2015-03-20  Dmitry Gutov  <dgutov@yandex.ru>
512         * progmodes/ruby-mode.el (ruby-font-lock-keywords): Move `at_exit'
513         and `callcc' to the "methods with required arguments" section,
514         they need a block argument.  Remove a `throw' duplicate.
516 2015-03-19  Vibhav Pant  <vibhavp@gmail.com>
518         * progmodes/cperl-mode.el (cperl-electric-backspace):
519         Call delete-backward-space interactively instead of delete-char.
521 2015-03-19  Stefan Monnier  <monnier@iro.umontreal.ca>
523         * emacs-lisp/pcase.el (pcase-lambda): Rewrite.
525         * emacs-lisp/eieio.el (object-slots): Return slot names as before
526         (bug#20141).
528 2015-03-19  Stefan Monnier  <monnier@iro.umontreal.ca>
530         EIEIO: Change class's representation to unify instance and class slots
531         * emacs-lisp/eieio-core.el (eieio--class): Change field names and order
532         to match those of cl--class; use cl--slot for both instance slots and
533         class slots.
534         (eieio--object-num-slots): Use cl-struct-slot-info.
535         (eieio--object-class): Rename from eieio--object-class-object.
536         (eieio--object-class-name): Remove.
537         (eieio-defclass-internal): Adjust to new slot representation.
538         Store doc in class rather than in `variable-documentation'.
539         (eieio--perform-slot-validation-for-default): Change API to take
540         a slot object.
541         (eieio--slot-override): New function.
542         (eieio--add-new-slot): Rewrite.
543         (eieio-copy-parents-into-subclass): Rewrite.
544         (eieio--validate-slot-value, eieio--validate-class-slot-value)
545         (eieio-oref-default, eieio-oset-default)
546         (eieio--class-slot-name-index, eieio-set-defaults): Adjust to new
547         slot representation.
548         (eieio--c3-merge-lists): Simplify.
549         (eieio--class/struct-parents): New function.
550         (eieio--class-precedence-bfs): Use it.
552         * emacs-lisp/eieio.el (with-slots): Use macroexp-let2.
553         (object-class-fast): Change recommend replacement.
554         (eieio-object-class): Rewrite.
555         (slot-exists-p): Adjust to new slot representation.
556         (initialize-instance): Adjust to new slot representation.
557         (object-write): Adjust to new slot representation.
559         * emacs-lisp/eieio-opt.el (eieio--help-print-slot): New function
560         extracted from eieio-help-class-slots.
561         (eieio-help-class-slots): Use it.  Adjust to new slot representation.
563         * emacs-lisp/eieio-datadebug.el (data-debug/eieio-insert-slots):
564         Declare to silence warnings.
565         (data-debug-insert-object-button): Avoid `object-slots'.
566         (data-debug/eieio-insert-slots): Adjust to new slot representation.
568         * emacs-lisp/eieio-custom.el (eieio-object-value-create)
569         (eieio-object-value-get): Adjust to new slot representation.
571         * emacs-lisp/eieio-compat.el
572         (eieio--generic-static-symbol-specializers):
573         Extract from eieio--generic-static-symbol-generalizer.
574         (eieio--generic-static-symbol-generalizer): Use it.
576         * emacs-lisp/eieio-base.el (eieio-persistent-convert-list-to-object):
577         Manually map initargs to slot names.
578         (eieio-persistent-validate/fix-slot-value): Adjust to new
579         slot representation.
581         * emacs-lisp/cl-preloaded.el (cl--class): Fix type of `parents'.
583 2015-03-19  Vibhav Pant  <vibhavp@gmail.com>
585         * leim/quail/hangul.el (hangul-delete-backward-char)
586         (hangul-to-hanja-conversion):
587         * progmodes/cperl-mode.el (cperl-electric-keyword)
588         (cperl-electric-backspace): Use delete-char instead of
589         delete-backward-char, fixes compilation warnings.
591 2015-03-18  Michael Albinus  <michael.albinus@gmx.de>
593         * net/tramp-sh.el (tramp-do-directory-files-and-attributes-with-stat):
594         Mark apostrophs with ?/ instead of \037.  (Bug#20117)
596 2015-03-18  Stefan Monnier  <monnier@iro.umontreal.ca>
598         Add classes as run-time descriptors of cl-structs.
599         * emacs-lisp/cl-preloaded.el (cl--struct-get-class): New function.
600         (cl--make-slot-desc): New constructor.
601         (cl--plist-remove, cl--struct-register-child): New functions.
602         (cl-struct-define): Rewrite.
603         (cl-structure-class, cl-structure-object, cl-slot-descriptor)
604         (cl--class): New structs.
605         (cl--struct-default-parent): Initialize it here.
606         * emacs-lisp/cl-macs.el (cl--find-class): New macro.
607         (cl-defsubst, cl--defsubst-expand, cl--sublis): Move before first use.
608         (cl--struct-default-parent): New var.
609         (cl-defstruct): Adjust to new representation of classes; add
610         default parent.  In accessors, signal `wrong-type-argument' rather than
611         a generic error.
612         (cl-struct-sequence-type, cl-struct-slot-info)
613         (cl-struct-slot-offset): Rewrite.
614         * emacs-lisp/cl-generic.el (cl--generic-struct-specializers)
615         (cl-generic-generalizers): Rewrite.
617         * emacs-lisp/macroexp.el (macroexp--debug-eager): New var.
618         (internal-macroexpand-for-load): Use it.
620         * emacs-lisp/debug.el (debug--implement-debug-on-entry):
621         Bind inhibit-debug-on-entry here...
622         (debug): Instead of here.
624 2015-03-18  Dima Kogan  <dima@secretsauce.net>
626         Have gud-display-line not display source buffer in gud window.
627         * progmodes/gud.el (gud-display-line): Make display-buffer
628         not reuse selected window.  (Bug#17675, Bug#19901, Bug#20034)
630 2015-03-17  Tassilo Horn  <tsdh@gnu.org>
632         * emacs-lisp/byte-run.el (macro-declarations-alist):
633         New declaration no-font-lock-keyword.
634         (defmacro): Flush font-lock in existing elisp buffers.
636         * emacs-lisp/lisp-mode.el (lisp--el-update-after-load)
637         (lisp--el-update-macro-regexp, lisp--el-macro-regexp):
638         Delete functions and defconst.
639         (lisp--el-match-keyword): Rename from lisp--el-match-macro.
640         (lisp--el-font-lock-flush-elisp-buffers): New function.
641         (lisp-mode-variables): Remove code for updating
642         lisp--el-macro-regexp, and add
643         lisp--el-font-lock-flush-elisp-buffers to after-load-functions.
645 2015-03-17  Simen Heggestøyl  <simenheg@gmail.com>
647         * textmodes/css-mode.el (css--font-lock-keywords):
648         Discriminate between pseudo-classes and pseudo-elements.
649         (css-pseudo-ids): Remove.
650         (css-pseudo-class-ids, css-pseudo-element-ids): New variables.
651         (css--complete-property): New function for completing CSS properties.
652         (css--complete-pseudo-element-or-class): New function
653         completing CSS pseudo-elements and pseudo-classes.
654         (css--complete-at-rule): New function for completing CSS at-rules.
655         (css-completion-at-point): New function.
656         (css-mode): Add support for completion.
657         (css-extract-keyword-list, css-extract-parse-val-grammar)
658         (css-extract-props-and-vals): Remove function in favor of manual
659         extraction.
660         (css-at-ids): Update list of CSS at-rule ids.
661         (css-property-ids): Update list of CSS properties.
663 2015-03-17  Bozhidar Batsov  <bozhidar@batsov.com>
665         * progmodes/ruby-mode.el (ruby-font-lock-keywords): Font-lock
666         more Kernel methods.
668 2015-03-17  Michael Albinus  <michael.albinus@gmx.de>
670         * net/tramp-sh.el (tramp-maybe-send-script): Avoid leading tabs in
671         shell scripts.  (Bug#20118)
673 2015-03-17  Eli Zaretskii  <eliz@gnu.org>
675         * mouse.el (mouse-appearance-menu): If w32-use-w32-font-dialog is
676         nil, construct a menu of fixed fonts.  This resurrects a feature
677         lost in Emacs 23.
679         * w32-vars.el (w32-use-w32-font-dialog): Add a ':set' function to
680         reset mouse-appearance-menu-map, so the font dialog is recomputed
681         the next time the menu is requested.
682         (w32-fixed-font-alist): Fix to use correct names of Courier fonts.
684 2015-03-17  Stefan Monnier  <monnier@iro.umontreal.ca>
686         * emacs-lisp/cl-macs.el (cl--transform-lambda): Refine last change
687         (bug#20125).
689 2015-03-17  Michael Albinus  <michael.albinus@gmx.de>
691         * net/tramp-sh.el (tramp-ssh-controlmaster-options): Change test
692         for ControlPath in order to avoid DNS timeouts.  (Bug#20015)
694 2015-03-16  Alan Mackenzie  <acm@muc.de>
696         Edebug: Allow "S" to work during trace mode.  Fixes Bug#20074.
697         Also display the overlay arrow in go and go-nonstop modes.
699         * emacs-lisp/edebug.el (edebug--display-1): Move the
700         `input-pending' test to after trace mode's `sit-for'.
701         (edebug--recursive-edit): Insert "(sit-for 0)" after
702         "(edebug-overlay-arrow)".
704 2015-03-16  Stefan Monnier  <monnier@iro.umontreal.ca>
706         * emacs-lisp/cl-macs.el (cl--transform-lambda): Rework to avoid
707         cl--do-arglist in more cases; add comments to explain what's going on.
708         (cl--do-&aux): New function extracted from cl--do-arglist.
709         (cl--do-arglist): Use it.
711         * emacs-lisp/cl-generic.el: Add Version: header, for ELPA purposes.
713         * obsolete/iswitchb.el (iswitchb-read-buffer): Add `predicate' arg.
714         * isearchb.el (isearchb-iswitchb): Adjust accordingly.
715         * ido.el (ido-read-buffer): Add `predicate' argument.
716         * misearch.el (unload-function-defs-list): Declare before use.
718 2015-03-16  Vibhav Pant  <vibhavp@gmail.com>
720         * net/browse-url.el (browse-url-browser-function): Add "Conkeror".
721         (browse-url-conkeror-program, browse-url-conkeror-arguments)
722         (browse-url-conkeror-new-window-is-buffer): New defcustoms.
723         (browse-url-default-browser): Check for `browse-url-conkeror'
724         and call `browse-url-conkeror-program'.
725         (browse-url-conkeror): New command.
726         (bug#19863)
728 2015-03-16  Vibhav Pant  <vibhavp@gmail.com>
730         * eshell/esh-mode.el (eshell/clear): New function.
732 2015-03-16  Alan Mackenzie  <acm@muc.de>
734         Make Edebug work with Follow Mode.
736         * emacs-lisp/edebug.el (edebug--display-1): Remove call to
737         edebug-adjust-window.
738         (edebug--recursive-edit): Don't bind pre/post-command-hooks to nil
739         over the recursive edit.
740         (edebug-adjust-window): Remove.
742 2015-03-15  Michael Albinus  <michael.albinus@gmx.de>
744         * net/tramp-adb.el:
745         * net/tramp-gvfs.el:
746         * net/tramp-sh.el:
747         * net/tramp-smb.el: Set tramp-autoload cookie for all defcustoms.
749         * net/tramp.el (tramp-ssh-controlmaster-options)
750         (tramp-use-ssh-controlmaster-options): Move them to tramp-sh.el.
751         (tramp-default-method): Do not check for
752         `tramp-ssh-controlmaster-options'.
754         * net/tramp-sh.el (tramp-use-ssh-controlmaster-options):
755         New defcustom, moved from tramp.el.
756         (tramp-ssh-controlmaster-options): New defvar, moved from tramp.el
757         but with a nil initial value.
758         (tramp-ssh-controlmaster-options): New defun.
759         (tramp-do-copy-or-rename-file-out-of-band)
760         (tramp-maybe-open-connection): Use it.  (Bug#20015)
762 2015-03-15  Tassilo Horn  <tsdh@gnu.org>
764         * emacs-lisp/lisp-mode.el (lisp--el-macro-regexp): New defconst.
765         (lisp--el-update-macro-regexp, lisp--el-update-after-load)
766         (lisp--el-match-macro): New functions.
767         (lisp-mode-variables): Update lisp--el-macro-regexp and add
768         lisp--el-update-after-load to after-load-functions.
770 2015-03-15  Daniel Colascione  <dancol@dancol.org>
772         * emacs-lisp/cl-indent.el
773         (lisp-indent-backquote-substitution-mode): New user option.
774         (common-lisp-indent-function-1, common-lisp-loop-part-indentation)
775         (common-lisp-indent-function): Support normally indenting
776         backquote substitutions.
777         (extended-loop-p): Rename to `lisp-extended-loop-p'.
779 2015-03-14  Michael R. Mauger  <michael@mauger.com>
781         * progmodes/sql.el: Version 3.5
782         (sql-starts-with-prompt-re, sql-ends-with-prompt-re): Match password prompts.
783         (sql-interactive-remove-continuation-prompt): Fix regression. (Bug#6686)
785 2015-03-14  Daniel Colascione  <dancol@dancol.org>
787         * widget.el (define-widget): Check that documentation is a string
788         or nil; prevent wailing and gnashing of teeth when users forget to
789         pass a docstring and wonder why their properties don't work.
791         * startup.el (command-line): Process "--no-x-resources".
793 2015-03-13  Kevin Ryde  <user42_kevin@yahoo.com.au>
795         info-look fixes for Texinfo 5
796         * info-look.el (c-mode, bison-mode, makefile-mode)
797         (makefile-automake-mode, texinfo-mode, autoconf-mode, awk-mode)
798         (latex-mode, emacs-lisp-mode, sh-mode, cfengine-mode):
799         Match `foo' and 'foo' and ‘foo’ for @item and similar.
800         (latex-mode): Match multi-arg \frac{num}{den} or \sqrt[root]{n} in
801         suffix regexp.
803 2015-03-12  Juri Linkov  <juri@linkov.net>
805         * simple.el (next-line-or-history-element)
806         (previous-line-or-history-element): Remember the goal column of
807         possibly multi-line input, and restore it afterwards.  (Bug#19824)
809 2015-03-12  Rasmus Pank Roulund  <emacs@pank.eu>
811         * ido.el (ido-add-virtual-buffers-to-list): Include bookmark-alist
812         files (bug#19335).
814 2015-03-12  Eli Zaretskii  <eliz@gnu.org>
816         * international/fontset.el (script-representative-chars): Add a
817         representative character for 'vai'.
819 2015-03-11  Stefan Monnier  <monnier@iro.umontreal.ca>
821         * international/quail.el (quail-input-method):
822         Use with-silent-modifications.
824         * simple.el (goto-history-element): Don't burp on t history.
826 2015-03-10  Paul Eggert  <eggert@cs.ucla.edu>
828         Prefer "initialize" to "initialise"
829         * progmodes/js.el (js-indent-first-init):
830         Rename from js-indent-first-initialiser, to avoid worrying about
831         American vs British spelling.  All uses changed.
833 2015-03-10  Glenn Morris  <rgm@gnu.org>
835         * progmodes/js.el (js-indent-first-initialiser):
836         Fix doc, type, version.
838 2015-03-10  Jackson Ray Hamilton  <jackson@jacksonrayhamilton.com>
840         * progmodes/js.el (js-indent-first-initialiser): New option.
841         (js--maybe-goto-declaration-keyword-end): New function.
842         (js--proper-indentation): Use js--maybe-goto-declaration-keyword-end.
844 2015-03-10  Thomas Fitzsimmons  <fitzsim@fitzsim.org>
846         * net/ldap.el (ldap-attribute-syntaxes-alist): Add LDAP attributes
847         from RFC2798 Section 9.1.1.  (Bug#8983)
849 2015-03-09  Nicolas Petton <nicolas@petton.fr>
851         * emacs-lisp/seq.el (seq-into): New function.
852         Bump seq.el version to 1.3.
854 2015-03-09  Dmitry Gutov  <dgutov@yandex.ru>
856         * progmodes/ruby-mode.el (ruby-font-lock-keywords): Don't consider
857         `=' a part of symbol when followed by `>'.  (Bug#18644)
858         (ruby-syntax-before-regexp-re): Detect regexps after `!'.
859         (Bug#19285)
861 2015-03-09  Eli Zaretskii  <eliz@gnu.org>
863         * dired.el (dired-delete-file): Doc fix.  (Bug#20021)
865 2015-03-06  Sergio Durigan Junior  <sergiodj@sergiodj.net>
866             Thomas Fitzsimmons  <fitzsim@fitzsim.org>
868         * net/eudcb-bbdb.el (eudc-bbdb-field): New function.
869         (eudc-bbdb-filter-non-matching-record): Call eudc-bbdb-field.
870         (eudc-bbdb-format-record-as-result): Likewise.
872 2015-03-08  Dmitry Gutov  <dgutov@yandex.ru>
874         * progmodes/ruby-mode.el (ruby-font-lock-keywords):
875         Use `font-lock-constant-face' for nil, true and false.
876         Highlight `self' as a keyword.  (Bug#17733)
878 2015-03-08  Nobuyoshi Nakada  <nobu@ruby-lang.org>
880         * progmodes/ruby-mode.el (ruby-syntax-before-regexp-re):
881         Expect beginning of regexp also after open brace or vertical bar.
882         (Bug#20026)
884 2015-03-07  Stefan Monnier  <monnier@iro.umontreal.ca>
886         * battery.el (battery-echo-area-format): Simplify default.
887         (battery-linux-sysfs): Standardize on energy&power.  Accept ADP1
888         for AC adapter.
890         * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker): Don't try to
891         unfold `closure's since byte-compile-unfold-lambda doesn't know how to
892         do it.
894 2015-03-06  Oscar Fuentes  <ofv@wanadoo.es>
896         * net/browse-url.el (browse-url-firefox): Remove outdated
897         MS-Windows limitations.
899 2015-03-06  Thomas Fitzsimmons  <fitzsim@fitzsim.org>
901         * net/eudcb-ldap.el (eudc-ldap-cleanup-record-simple): Mark as
902         obsolete.
903         (eudc-ldap-cleanup-record-filtering-addresses): Add docstring.
904         Don't clean up postal addresses if ldap-ignore-attribute-codings
905         is set.  Combine mail addresses into one field. (Bug#17720)
906         (eudc-ldap-simple-query-internal):
907         Call eudc-ldap-cleanup-record-filtering-addresses instead of
908         eudc-ldap-cleanup-record-simple.
909         (eudc-ldap-get-field-list): Likewise.
911 2015-03-05  Ivan Shmakov  <ivan@siamics.net>
913         * net/eww.el (eww-html-p): New function (bug#20009).
914         (eww-render): Use it.
916 2015-03-05  Artur Malabarba  <bruce.connor.am@gmail.com>
918         * desktop.el (desktop-buffer-info): Write docstring.
919         (desktop-buffer-info): Use `pushnew' instead of `add-to-list' and
920         unquote lamda.
922         * emacs-lisp/package.el (package-refresh-contents): Update doc.
924 2015-03-05  Dmitry Gutov  <dgutov@yandex.ru>
926         * progmodes/js.el (js-mode-syntax-table): Add an entry for `.
928 2015-03-05  Stefan Monnier  <monnier@iro.umontreal.ca>
930         Replace *-function vars with generic functions in cl-generic.
931         * emacs-lisp/cl-generic.el (cl--generic-generalizer): New struct.
932         (cl-generic-tagcode-function, cl-generic-tag-types-function): Remove.
933         (cl--generic-t-generalizer): New const.
934         (cl--generic-make-method): Rename from `cl--generic-method-make'.
935         (cl--generic-make): Change calling convention.
936         (cl--generic): Add `options' field.
937         (cl-generic-function-options): New function.
938         (cl-defgeneric): Rewrite handling of options.  Add support for :method
939         options and allow the use of a default body.
940         (cl-generic-define): Save options in the corresponding new field.
941         (cl-defmethod): Fix ordering of qualifiers.
942         (cl-generic-define-method): Use cl-generic-generalizers.
943         (cl--generic-get-dispatcher): Change calling convention, and change
944         calling convention of the returned function as well so as to take the
945         list of methods separately from the generic function object, so that it
946         can receive the original generic function object.
947         (cl--generic-make-next-function): New function, extracted from
948         cl--generic-make-function.
949         (cl--generic-make-function): Use it.
950         (cl-generic-method-combination-function): Remove.
951         (cl--generic-cyclic-definition): New error.
952         (cl-generic-call-method): Take a generic function object rather than
953         its name.
954         (cl-method-qualifiers): New alias.
955         (cl--generic-build-combined-method): Use cl-generic-combine-methods,
956         don't segregate by qualifiers here any more.
957         (cl--generic-standard-method-combination): Segregate by qualifiers
958         here instead.  Add support for the `:extra' qualifier.
959         (cl--generic-cache-miss): Move earlier, adjust to new calling convention.
960         (cl-generic-generalizers, cl-generic-combine-methods):
961         New generic functions.
962         (cl-no-next-method, cl-no-applicable-method, cl-no-primary-method):
963         Use the new "default method in defgeneric" functionality, change
964         calling convention to receive a generic function object.
965         (cl--generic-head-used): New var.
966         (cl--generic-head-generalizer, cl--generic-eql-generalizer)
967         (cl--generic-struct-generalizer, cl--generic-typeof-generalizer):
968         New consts.
969         * emacs-lisp/eieio-core.el (eieio--generic-generalizer)
970         (eieio--generic-subclass-generalizer): New consts.
971         (cl-generic-generalizers): New methods.
972         * emacs-lisp/eieio-compat.el (eieio--generic-static-symbol-generalizer)
973         (eieio--generic-static-object-generalizer): New consts.
974         (cl-generic-generalizers) <(head eieio--static)>: New method.
975         * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker):
976         Unfold closures like lambdas.
978 2015-03-04  Filipp Gunbin  <fgunbin@fastmail.fm>
980         * autorevert.el (auto-revert-notify-add-watch):
981         Fix handler installation.  (Bug#20000)
983 2015-03-04  Rüdiger Sonderfeld  <ruediger@c-plusplus.net>
985         * net/eww.el (eww-search-prefix, eww-open-file, eww-search-words)
986         (eww-same-page-p,eww-set-character-encoding): Fix docstring.
987         (eww): Do not end error messages with a period.
989 2015-03-04  Zhongwei Yao  <ashi08104@gmail.com>
991         * net/tramp-adb.el (tramp-adb-connect-if-not-connected):
992         New user option.
993         (tramp-adb-ls-toolbox-regexp): Fix regexp in order to support file
994         names starting with a space.
995         (tramp-methods): Add `tramp-default-port' for "adb".
996         (tramp-adb-parse-device-names): Add traces.  Return device names
997         with port, if present.
998         (tramp-adb-handle-directory-files-and-attributes): Quote all
999         remote file names.
1000         (tramp-adb-get-device): New defun.
1001         (tramp-adb-execute-adb-command, tramp-adb-maybe-open-connection):
1002         Use it.
1003         (tramp-adb-maybe-open-connection): Set `tramp-current-*'
1004         variables.  Remove checks for listed devices.
1006 2015-03-04  Michael Albinus  <michael.albinus@gmx.de>
1008         * net/tramp.el (tramp): Add :link property.
1009         (tramp-login-prompt-regexp): Allow also "user", as required by
1010         Fritz!Box telnet.
1011         (tramp-autoload-file-name-handler): Use "/".
1012         (tramp-handle-unhandled-file-name-directory): Return nil when
1013         required by the spec.
1015         * net/tramp-cache.el (tramp-dump-connection-properties):
1016         Use `with-temp-file'.
1018         * net/tramp-sh.el (tramp-perl-file-attributes)
1019         (tramp-perl-directory-files-and-attributes): Escape apostrophes in
1020         file names.
1021         (tramp-do-file-attributes-with-stat): Quote file name.
1022         (tramp-sh-handle-directory-files-and-attributes): Fall back to
1023         `tramp-handle-directory-files-and-attributes' in case of problems.
1024         (tramp-do-directory-files-and-attributes-with-stat)
1025         (tramp-sh-handle-file-name-all-completions)
1026         (tramp-sh-handle-delete-directory)
1027         (tramp-sh-handle-expand-file-name, tramp-sh-handle-process-file):
1028         Normalize use of "cd".
1029         (tramp-do-directory-files-and-attributes-with-stat): Use the
1030         `quoting-style' arg of `ls' if possible.  Make it also working for
1031         file names with apostrophes.
1032         (tramp-sh-handle-file-name-all-completions): Use arguments of `ls'
1033         in proper order.
1034         (tramp-do-copy-or-rename-file-via-buffer)
1035         (tramp-sh-handle-file-local-copy): Use `with-temp-file'.
1036         (tramp-get-remote-locale): Accept also \r in output.
1037         (tramp-get-ls-command-with-quoting-style): New defun.
1038         (tramp-get-inline-coding): Set `default-directory' to a local
1039         directory.  Sporadically, `call-process-region' does not handle a
1040         remote default directory properly.
1042         * net/trampver.el: Update release number.
1044 2015-03-03  Agustín Martín Domingo  <agustin6martin@gmail.com>
1046         * textmodes/ispell.el (ispell-aspell-find-dictionary): Make sure
1047         .dat files for aspell dicts are also searched for in location
1048         described by `ispell-aspell-dict-dir', matching aspell's dict-dir
1049         variable.
1051 2015-03-03  Agustín Martín Domingo  <agustin6martin@gmail.com>
1053         * textmodes/ispell.el (ispell-dicts-name2locale-equivs-alist)
1054         (ispell-hunspell-fill-dictionary-entry)
1055         (ispell-find-hunspell-dictionaries)
1056         (ispell-set-spellchecker-params): New generic name for
1057         `ispell-hunspell-dictionary-equivs-alist'.
1058         (ispell-aspell-add-aliases): Also use
1059         `ispell-dicts-name2locale-equivs-alist' to get aspell aliases for
1060         standard dict names.
1062 2015-03-03  Glenn Morris  <rgm@gnu.org>
1064         * net/browse-url.el (browse-url-firefox-startup-arguments):
1065         Make obsolete.
1066         (browse-url-firefox): Doc fix.  Remove -remote, which no longer
1067         exists in Firefox 36.  (Bug#19921)
1068         (browse-url-firefox-sentinel): Remove function.
1070 2015-03-03  Eli Zaretskii  <eliz@gnu.org>
1072         * frame.el (blink-cursor-timer-function): Don't increment
1073         blink-cursor-blinks-done counter when a menu is active on a w32
1074         frame.  (Bug#19925)
1076 2015-03-03  Juri Linkov  <juri@linkov.net>
1078         * comint.el (comint-line-beginning-position): Revert searching for
1079         the prompt when comint-use-prompt-regexp is non-nil because it
1080         doesn't distinguish input from output.  Check the field property
1081         `output' for the case when comint-use-prompt-regexp is nil.
1082         (Bug#19710)
1084 2015-03-03  Jérémy Compostella  <jeremy.compostella@gmail.com>
1086         * net/tramp-sh.el (tramp-remote-process-environment): Disable paging
1087         with PAGER=cat.  (Bug#19870)
1089 2015-03-03  Glenn Morris  <rgm@gnu.org>
1091         * textmodes/flyspell.el (flyspell-duplicate-distance):
1092         Bump :version.
1094 2015-03-03  Eli Zaretskii  <eliz@gnu.org>
1096         * textmodes/text-mode.el (text-mode-syntax-table): Make some
1097         punctuation character behave as word-constituent, for more
1098         compatibility with Unicode.
1100         * simple.el (transient-mark-mode): Doc fix.  (Bug#19841)
1102 2015-03-03  Agustín Martín Domingo  <agustin6martin@gmail.com>
1104         Improve string search in `flyspell-word-search-*`. (Bug#16800)
1105         * textmodes/flyspell.el (flyspell-duplicate-distance):
1106         Limit default search distance for duplicated words to 40000.
1107         (flyspell-word-search-backward, flyspell-word-search-forward):
1108         Search as full word with defined casechars, not as substring.
1110 2015-03-03  Juri Linkov  <juri@linkov.net>
1112         Better support for the case of typing RET on the prompt in comint.
1113         * comint.el (comint-get-old-input-default): Go to the field end
1114         when comint-use-prompt-regexp is nil.
1115         (comint-line-beginning-position): Check if point is already
1116         on the prompt before searching for the prompt when
1117         comint-use-prompt-regexp is non-nil.  (Bug#19710)
1119 2015-03-03  Eli Zaretskii  <eliz@gnu.org>
1121         * frame.el (frame-notice-user-settings): Refresh the value of
1122         frame parameters after calling tty-handle-reverse-video.
1123         Call face-set-after-frame-default with the actual parameters, to avoid
1124         resetting colors back to unspecified.
1125         (set-background-color, set-foreground-color): Pass the foreground
1126         and background colors to face-set-after-frame-default.  (Bug#19802)
1128 2015-03-03  Wolfgang Jenkner  <wjenkner@inode.at>
1130         * net/network-stream.el (network-stream-open-tls): Respect the
1131         :end-of-capability setting.
1133 2015-03-03  Juri Linkov  <juri@linkov.net>
1135         Revert the previous change of comint-line-beginning-position callers,
1136         and modify comint-line-beginning-position instead.
1138         * comint.el (comint-history-isearch-search)
1139         (comint-history-isearch-message, comint-history-isearch-wrap):
1140         Use comint-line-beginning-position instead of field-beginning.
1141         (comint-send-input): Use either end-of-line or field-end
1142         depending on comint-use-prompt-regexp.
1143         (comint-line-beginning-position): Search backward
1144         for comint-prompt-regexp if comint-use-prompt-regexp is non-nil.
1145         Use field-beginning instead of line-beginning-position
1146         if comint-use-prompt-regexp is nil.  (Bug#19710)
1148 2015-03-03  Robert Pluim  <rpluim@gmail.com>  (tiny change)
1150         * calendar/todo-mode.el (todo-item-done): When done items are
1151         hidden, restore point to its location prior to invoking this
1152         command.  (Bug#19727)
1154 2015-03-03  Eli Zaretskii  <eliz@gnu.org>
1156         * textmodes/artist.el (artist-ellipse-compute-fill-info):
1157         Use mapcar, not mapc, to create the other half of fill-info.
1158         (Bug#19763)
1160 2015-03-03  Nicolas Petton  <nicolas@petton.fr>
1162         * emacs-lisp/authors.el (authors-ignored-files)
1163         (authors-renamed-files-alist): Additions.
1165 2015-03-03  Michael Albinus  <michael.albinus@gmx.de>
1167         * net/tramp.el (tramp-ssh-controlmaster-options): Don't use a
1168         tempfile for ControlPath.  (Bug#19702)
1170 2015-03-03  Michael Albinus  <michael.albinus@gmx.de>
1172         * net/tramp.el (tramp-ssh-controlmaster-options): Use "%C" for
1173         ControlPath if possible.  (Bug#19702)
1175 2015-03-03  Glenn Morris  <rgm@gnu.org>
1177         * emacs-lisp/authors.el (authors-obsolete-files-regexps)
1178         (authors-valid-file-names, authors-renamed-files-alist): Additions.
1180 2015-03-03  Alan Mackenzie  <acm@muc.de>
1182         CC Mode: Stop Font Lock forcing fontification from BOL.  (Bug#19669)
1183         * progmodes/cc-mode.el (c-font-lock-init):
1184         Set font-lock-extend-region-functions to nil.
1186 2015-03-03  Daniel Colascione  <dancol@dancol.org>
1188         * emacs-lisp/generator.el: Make globals conform to elisp
1189         style throughout.  Use more efficient font-lock patterns.
1190         (cps-inhibit-atomic-optimization): Rename from
1191         `cps-disable-atomic-optimization'.
1192         (cps--gensym): New macro; replaces `cl-gensym' throughout.
1193         (cps-generate-evaluator): Move the `iter-yield' local macro
1194         definition here
1195         (iter-defun, iter-lambda): from here.
1197         (iter-defun): Use `macroexp-parse-body'.
1199 2015-03-03  Daniel Colascione  <dancol@dancol.org>
1201 2015-03-03  Stefan Monnier  <monnier@iro.umontreal.ca>
1203         * progmodes/gud.el: Use lexical-binding (bug#19966).
1205         * emacs-lisp/gv.el (gv-ref): Warn about likely problematic cases.
1207 2015-03-03  Daniel Colascione  <dancol@dancol.org>
1209         * emacs-lisp/generator.el: Make globals conform to elisp
1210         style throughout.  Use more efficient font-lock patterns.
1211         (cps-inhibit-atomic-optimization): Rename from
1212         `cps-disable-atomic-optimization'.
1213         (cps--gensym): New macro; replaces `cl-gensym' throughout.
1214         (cps-generate-evaluator): Move the `iter-yield' local macro
1215         definition here...
1216         (iter-defun, iter-lambda): ...from here.
1218 2015-03-03  Artur Malabarba  <bruce.connor.am@gmail.com>
1220         * emacs-lisp/package.el (package-autoremove): Fix if logic.
1222 2015-03-03  Martin Rudalics  <rudalics@gmx.at>
1224         * window.el (window--dump-frame): For pixel height return total
1225         number of frame's lines.
1227 2015-03-03  Daniel Colascione  <dancol@dancol.org>
1229         * emacs-lisp/cl-macs.el (cl-iter-defun): Add cl-iter-defun.
1231         * emacs-lisp/generator.el (iter-defun): Correctly propagate
1232         docstrings and declarations to underlying function.
1234 2015-03-02  Daniel Colascione  <dancol@dancol.org>
1236         * emacs-lisp/generator.el: New file.
1238         * vc/vc.el (vc-responsible-backend): Add autoload cookie for
1239         `vc-responsible-backend'.
1241 2015-03-01  Michael Albinus  <michael.albinus@gmx.de>
1243         * vc/vc-hooks.el (vc-state, vc-working-revision):
1244         Use `vc-responsible-backend' in order to support unregistered files.
1246         * vc/vc-rcs.el (vc-rcs-unregister): Support unregistered files.
1248         * vc/vc-rcs.el (vc-rcs-fetch-master-state):
1249         * vc/vc-sccs.el (vc-sccs-working-revision): Handle undefined
1250         master name.
1252         * vc/vc-src.el (vc-src-working-revision): Do not return an empty string.
1254 2015-03-01  Lars Magne Ingebrigtsen  <larsi@gnus.org>
1256         * net/shr.el (shr-insert): Remove soft hyphens.
1257         (shr-insert): Also remove soft hyphens from non-folded text.
1259 2015-02-28  Eli Zaretskii  <eliz@gnu.org>
1261         * mail/rmailmm.el (rmail-mime-insert-html): Decode HTML payload
1262         when the charset is only given by the HTML <head>, and allow to
1263         specify the encoding with "C-x RET c".
1265 2015-02-27  Mark Laws  <mdl@60hz.org>
1267         Support daemon mode on MS-Windows (bug#19688)
1268         * server.el (server-process-filter): Force GUI frames on
1269         MS-Windows in daemon mode, even if a TTY frame was requested.
1271         * frameset.el (frameset-keep-original-display-p): Don't assume
1272         windows-nt cannot be in daemon mode.
1274         * frame.el (window-system-for-display): Don't assume windows-nt
1275         cannot be in daemon mode.
1277 2015-02-26  Ivan Shmakov  <ivan@siamics.net>
1279         * faces.el (face-list-p): Split from face-at-point.
1280         (face-at-point): Use it.
1281         * facemenu.el (facemenu-add-face): Likewise.  (Bug#19912)
1283 2015-02-26  Oscar Fuentes  <ofv@wanadoo.es>
1285         * vc/vc.el (vc-annotate-switches): New defcustom.
1286         * vc/vc-bzr.el (vc-bzr-annotate-switches): New defcustom.
1287         (vc-bzr-annotate-command): Use vc-switches.
1288         * vc/vc-cvs.el (vc-cvs-annotate-switches): New defcustom.
1289         (vc-cvs-annotate-command): Use vc-switches.
1290         * vc/vc-git.el (vc-git-annotate-switches): New defcustom.
1291         (vc-git-annotate-command): Use vc-switches.
1292         * vc/vc-hg.el (vc-hg-annotate-switches): New defcustom.
1293         (vc-hg-annotate-command): Use vc-switches.
1294         * vc/vc-mtn.el (vc-mtn-annotate-switches): New defcustom.
1295         (vc-mtn-annotate-command): Use vc-switches.
1296         * vc/vc-svn.el (vc-svn-annotate-switches): New defcustom.
1297         (vc-svn-annotate-command): Use vc-switches.
1299 2015-02-26  Alan Mackenzie  <acm@muc.de>
1301         Handle "#" operator properly inside macro.  Fix coding bug.
1303         * progmodes/cc-mode.el (c-neutralize-syntax-in-and-mark-CPP):
1304         On finding a "#" which looks like the start of a macro, check it
1305         isn't already inside a macro.
1307         * progmodes/cc-engine.el (c-state-safe-place): Don't record a new
1308         "safe" position into the list of them when this is beyond our
1309         current position.
1311 2015-02-26  Martin Rudalics  <rudalics@gmx.at>
1313         * menu-bar.el (menu-bar-non-minibuffer-window-p): Return nil when
1314         the menu frame is dead.  (Bug#19728)
1316 2015-02-26  Fabián Ezequiel Gallina  <fgallina@gnu.org>
1318         python.el: Handle tabs in python-indent-dedent-line.
1319         * progmodes/python.el (python-indent-dedent-line): Fixes for
1320         indentation with tabs.  Thanks to <dale@codefu.org> (Bug#19730).
1322 2015-02-26  Fabián Ezequiel Gallina  <fgallina@gnu.org>
1324         * progmodes/python.el (python-indent-context): Respect user
1325         indentation after comment.
1327 2015-02-26  Tassilo Horn  <tsdh@gnu.org>
1329         * textmodes/reftex-vars.el (featurep): Conditionalize value of
1330         reftex-label-regexps in order to stay compatible with XEmacs 21.5
1331         which has no explicitly numbered groups in regexps (bug#19714).
1333 2015-02-26  Daiki Ueno  <ueno@gnu.org>
1335         * net/dbus.el (dbus-register-signal): Convert "N" of ":argN" to
1336         integer before comparison.
1338 2015-02-25  Nicolas Richard  <theonewiththeevillook@yahoo.fr>
1340         * progmodes/elisp-mode.el (elisp--eval-last-sexp): Document argument.
1342 2015-02-25  Oleh Krehel  <ohwoeowho@gmail.com>
1344         * emacs-lisp/check-declare.el (check-declare-warn):
1345         Use compilation-style warnings.
1346         (check-declare-files): Make sure that
1347         `check-declare-warning-buffer' is in `compilation-mode'.
1349 2015-02-25  Oleh Krehel  <ohwoeowho@gmail.com>
1351         * emacs-lisp/check-declare.el (check-declare-ext-errors):
1352         New defcustom.
1353         (check-declare): New defgroup.
1354         (check-declare-verify): When `check-declare-ext-errors' is
1355         non-nil, warn about an unfound function, instead of saying
1356         "skipping external file".
1358 2015-02-25  Tassilo Horn  <tsdh@gnu.org>
1360         * textmodes/reftex-vars.el (reftex-include-file-commands):
1361         Call reftex-set-dirty on changes.
1363 2015-02-25  Stefan Monnier  <monnier@iro.umontreal.ca>
1365         * emacs-lisp/edebug.el (edebug--display): Save-excursion (bug#19611).
1366         * emacs-lisp/debug.el (debugger-env-macro): Remove redundant
1367         save-excursion.
1369 2015-02-24  Glenn Morris  <rgm@gnu.org>
1371         * mail/rmailsum.el (rmail-summary-previous-all)
1372         (rmail-summary-previous-msg): Simplify.
1374 2015-02-25  Artur Malabarba  <bruce.connor.am@gmail.com>
1376         * simple.el (region-active-p): Fix doc to say non-nil.
1378 2015-02-24  Samer Masterson  <nosefrog@gmail.com>
1380         * eshell/em-hist.el (eshell-hist-parse-word-designator):
1381         Return args joined with " ".
1382         * eshell/em-pred.el (eshell-parse-modifiers): Correct docstring.
1383         (eshell-hist-parse-modifier): Pass mod a list instead of a string
1384         (bug#18960).
1386 2015-02-24  Karl Fogel  <kfogel@red-bean.com>  (tiny change)
1388         * comint.el (comint-mode-map): Fix obvious typo.
1390 2015-02-24  Johan Claesson  <johanclaesson@bredband.net>  (tiny change)
1392         * filecache.el (file-cache-filter-regexps):
1393         Add lock files.  (Bug#19516)
1395 2015-02-24  Glenn Morris  <rgm@gnu.org>
1397         * mail/rmailsum.el (rmail-summary-next-all)
1398         (rmail-summary-previous-all, rmail-summary-next-msg):
1399         Fix handling of optional argument.  (Bug#19916)
1401         * progmodes/f90.el (f90-beginning-of-subprogram)
1402         (f90-end-of-subprogram, f90-match-end):
1403         Handle continued strings where the continuation does not start
1404         with "&" and happens to match our regexp.  (Bug#19809)
1406 2015-02-24  Bozhidar Batsov  <bozhidar@batsov.com>
1408         * comint.el (comint-clear-buffer): New command.
1409         (comint-mode-map): Bind `comint-clear-buffer' to 'C-c M-o'.
1411 2015-02-23  Pete Williamson  <petewil0@googlemail.com>  (tiny change)
1413         Use ${EXEEXT} more uniformly in makefiles
1414         * Makefile.in (EMACS): Append ${EXEEXT}.
1416 2015-02-23  Sam Steingold  <sds@gnu.org>
1418         * files.el (recover-session): Handle `auto-save-list-file-prefix'
1419         being a directory (empty non-directory part).
1421 2015-02-23  Magnus Henoch  <magnus.henoch@gmail.com>
1423         * net/sasl.el (sasl-mechanism-alist): Refer to sasl-scram-rfc
1424         instead of sasl-scram-sha-1, as the former is the name that can be
1425         required.
1427         * net/sasl-scram-rfc.el (sasl-scram-sha-1-steps)
1428         (sasl-scram-sha-1-client-final-message)
1429         (sasl-scram-sha-1-authenticate-server): Move to end of file.
1431 2015-02-23  Paul Eggert  <eggert@cs.ucla.edu>
1433         * bindings.el (ctl-x-map): Use [?\C-\;] to get the desired binding.
1434         (Bug#19826)
1436 2015-02-23  Stefan Monnier  <monnier@iro.umontreal.ca>
1438         * emacs-lisp/macroexp.el (macroexp-parse-body): Handle cl-declare
1439         and :documentation.  Change return value format accordingly.
1440         * emacs-lisp/cl-generic.el (cl--generic-lambda):
1441         * emacs-lisp/pcase.el (pcase-lambda): Adjust accordingly.
1442         * emacs-lisp/cl-macs.el (cl--transform-lambda): Use macroexp-parse-body.
1444 2015-02-23  Dmitry Gutov  <dgutov@yandex.ru>
1446         Introduce `xref-etags-mode'.
1447         * progmodes/xref.el (xref-etags-mode--saved): New variable.
1448         (xref-etags-mode): New minor mode.  (Bug#19466)
1450 2015-02-22  Lars Magne Ingebrigtsen  <larsi@gnus.org>
1452         * dom.el (dom-previous-sibling): New function.
1454 2015-02-21  Paul Eggert  <eggert@cs.ucla.edu>
1456         * bindings.el (ctl-x-map): There is no 'C-;'.
1457         For now, make do with 'M-;'; this allows 'make bootstrap' to work.
1458         Perhaps some other binding should be chosen.  (Bug#19826)
1460 2015-02-21  Artur Malabarba  <bruce.connor.am@gmail.com>
1462         * bindings.el (ctl-x-map): Fix `comment-line' binding.  (Bug#19826)
1464 2015-02-21  Michael Albinus  <michael.albinus@gmx.de>
1466         * autorevert.el (auto-revert-notify-add-watch)
1467         (auto-revert-notify-handler, auto-revert-buffers): Handle also
1468         buffers without an associated file, like dired buffers.  (Bug#16112)
1470 2015-02-21  Dima Kogan  <dima@secretsauce.net>
1472         * autorevert.el (auto-revert-mode, auto-revert-tail-mode)
1473         (global-auto-revert-mode): Remove (let (auto-revert-use-notify) ... )
1474         wrappers.  Call (auto-revert-buffers) consequently in order to
1475         install handlers.
1477 2015-02-21  Wilson Snyder  <wsnyder@wsnyder.org>
1479         Sync with upstream verilog-mode revision 0d6420b.
1480         * progmodes/verilog-mode.el (verilog-mode-version): Update.
1481         (vector-skip-list): Remove.
1482         (verilog-auto-inst-port, verilog-auto-inst-port-list)
1483         (verilog-auto-inst, verilog-auto-inst-param):
1484         Use arguments rather than vector-skip.
1485         (verilog-auto-inst-port): Fix AUTOINST interfaces to not show
1486         modport if signal attachment is itself a modport.
1487         Reported by Matthew Lovell.
1489 2015-02-21  Reto Zimmermann  <reto@gnu.org>
1491         Sync with upstream vhdl mode v3.37.1.  Add VHDL'08 support.
1492         * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp)
1493         (vhdl-doc-release-notes): Update.
1494         (vhdl-standard): Add VHDL'08 option.
1495         (vhdl-sensitivity-list-all): New option.
1496         (vhdl-directive-keywords): Add psl.
1497         (vhdl-offsets-alist-default, vhdl-mode-abbrev-table-init)
1498         (vhdl-template-construct-alist-init, vhdl-create-mode-menu):
1499         (vhdl-imenu-generic-expression): Add context, directive.
1500         (vhdl-offsets-alist, vhdl-mode, vhdl-doc-keywords): Doc fixes.
1501         (vhdl-template-map-init): Add vhdl-template-context.
1502         (vhdl-mode-syntax-table): Support VHDL'08 block comments.
1503         (vhdl-create-mode-menu): Add some entries.
1504         (vhdl-08-keywords, vhdl-08-types, vhdl-08-attributes)
1505         (vhdl-08-functions, vhdl-08-packages, vhdl-08-directives):
1506         New constants.
1507         (vhdl-directives): New variable.
1508         (vhdl-words-init, vhdl-template-process)
1509         (vhdl-template-replace-header-keywords): Support VHDL'08.
1510         (vhdl-abbrev-list-init): Add vhdl-directives.
1511         (vhdl-in-comment-p, vhdl-in-literal, vhdl-win-il)
1512         (vhdl-forward-syntactic-ws, vhdl-get-syntactic-context)
1513         (vhdl-lineup-comment): Handle block comments and directives.
1514         (vhdl-beginning-of-directive, vhdl-template-context)
1515         (vhdl-template-context-hook): New functions.
1516         (vhdl-libunit-re, vhdl-defun-re, vhdl-begin-p)
1517         (vhdl-corresponding-begin, vhdl-get-library-unit, vhdl-regress-line)
1518         (vhdl-align-declarations, vhdl-beginning-of-block, vhdl-end-of-block)
1519         (vhdl-font-lock-keywords-2, vhdl-get-end-of-unit)
1520         (vhdl-scan-context-clause): Add context.
1522 2015-02-20  Glenn Morris  <rgm@gnu.org>
1524         * calendar/solar.el (solar-sunrise-sunset-string):
1525         Shorten message a little.
1526         (sunrise-sunset): Use message rather than a window.  (Bug#19859)
1528         * progmodes/f90.el (f90-keywords-re, f90-procedures-re)
1529         (f90-font-lock-keywords-2): Some F2008 additions.
1531 2015-02-19  Dima Kogan  <dima@secretsauce.net>
1533         * autorevert.el (auto-revert-buffers-counter)
1534         (auto-revert-buffers-counter-lockedout): New variables.
1535         (auto-revert-buffers): Increase `auto-revert-buffers-counter'.
1536         (auto-revert-notify-handler): Apply `auto-revert-handler' if not
1537         suppressed by lockout.  (Bug#18958)
1539 2015-02-19  Stefan Monnier  <monnier@iro.umontreal.ca>
1541         * emacs-lisp/eieio-opt.el (eieio-help-class): `eieio-class-parents'
1542         returns classes, not class names (bug#19891).
1544         * emacs-lisp/cl-macs.el (cl-struct-slot-value): Handle a nil type.
1546         * emacs-lisp/smie.el (smie-prec2->grammar): Fix corner case problem.
1548 2015-02-18  Kelly Dean  <kelly@prtime.org>
1550         * register.el (jump-to-register):
1551         * emacs-lisp/lisp.el (check-parens):
1552         Push mark before goto-char so user doesn't lose his previous place.
1554 2015-02-18  Kelly Dean  <kelly@prtime.org>
1556         * rect.el (rectangle-mark-mode):
1557         Suppress superfluous "Mark set" message from push-mark.
1559 2015-02-18  Kelly Dean  <kelly@prtime.org>
1561         * help-mode.el (help-go-back, help-go-forward, help-follow):
1562         * simple.el (yank-pop, pop-to-mark-command, exchange-point-and-mark):
1563         * winner.el (winner-redo):
1564         * windmove.el (windmove-do-window-select):
1565         * register.el (jump-to-register, increment-register, insert-register)
1566         (append-to-register, prepend-to-register):
1567         * files.el (find-alternate-file, abort-if-file-too-large, write-file)
1568         (set-visited-file-name):
1569         * emacs-lisp/lisp.el (kill-backward-up-list):
1570         Use user-error instead of error.  (Bug#14480)
1572 2015-02-18  Stefan Monnier  <monnier@iro.umontreal.ca>
1574         * emacs-lisp/checkdoc.el (checkdoc-show-diagnostics): Don't make bogus
1575         assumptions about window ordering.
1577 2015-02-16  Kelly Dean  <kelly@prtime.org>
1579         * files.el (insert-file-contents-literally): Fix docstring typo.
1581 2015-02-16  Kelly Dean  <kelly@prtime.org>
1583         * emacs-lisp/easy-mmode.el (define-minor-mode): Process macro
1584         arguments correctly. (Bug#19685)
1585         (define-minor-mode): Clarify docstring.
1586         Clarify mode switch messages for minor modes.  (Bug#19690)
1588 2015-02-16  Kelly Dean  <kelly@prtime.org>
1590         * emacs-lisp/package-x.el (package-upload-buffer-internal):
1591         Create valid tar files.  (Bug#19536)
1593 2015-02-16  Kelly Dean  <kelly@prtime.org>
1595         * desktop.el (desktop-read): Conditionally re-enable desktop autosave.
1596         (Bug#19059)
1598 2015-02-16  Kelly Dean  <kelly@prtime.org>
1600         * help-mode.el (help-do-xref): Prevent duplicated display of Info
1601         buffer, and prevent interference with existing buffer.  (Bug#13190)
1603 2015-02-16  Fabián Ezequiel Gallina  <fgallina@gnu.org>
1605         python.el: Do not deactivate mark on shell fontification.  (Bug#19871)
1607         * progmodes/python.el (python-shell-font-lock-post-command-hook):
1608         Do not deactivate mark on fontification.
1610 2015-02-16  Ivan Shmakov  <ivan@siamics.net>
1612         * net/eww.el: Fix desktop support.  (Bug#19226)
1613         (eww-mode): Add autoload cookie.
1614         (eww-restore-desktop): Use inhibit-read-only.
1616         * net/eww.el (eww-suggest-uris): Add autoload cookie, so that
1617         add-hook works correctly even if the file is not yet loaded.
1619 2015-02-16  Stefan Monnier  <monnier@iro.umontreal.ca>
1621         * emacs-lisp/eieio.el (defclass): Use make-instance rather than
1622         eieio-constructor.
1623         (set-slot-value): Mark as obsolete.
1624         (eieio-object-class-name): Improve call to eieio-class-name.
1625         (eieio-slot-descriptor-name, eieio-class-slots): New functions.
1626         (object-slots): Use it.  Declare obsolete.
1627         (eieio-constructor): Merge it with `make-instance'.
1628         (initialize-instance): Use `dolist'.
1629         (eieio-override-prin1, eieio-edebug-prin1-to-string):
1630         Use eieio--class-print-name.
1632         * emacs-lisp/eieio-core.el (eieio--class-print-name): New function.
1633         (eieio-class-name): Make it do what the docstring claims.
1634         (eieio-defclass-internal): Simplify since `prots' isn't used any more.
1635         (eieio--slot-name-index): Simplify accordingly.
1636         (eieio-barf-if-slot-unbound): Pass the class object rather than its
1637         name to `slot-unbound'.
1639         * emacs-lisp/eieio-base.el (make-instance): Add a method here rather
1640         than on eieio-constructor.
1642 2015-02-16  Stefan Monnier  <monnier@iro.umontreal.ca>
1644         * emacs-lisp/cl-macs.el (cl-defstruct): Keep type=nil by default.
1645         * emacs-lisp/cl-preloaded.el (cl-struct-define): Add sanity checks
1646         about relationship between `type', `named', and `slots'.
1647         * emacs-lisp/cl-generic.el (cl--generic-struct-tagcode): Adjust to new
1648         value of `cl-struct-type' property.
1650 2015-02-15  Jérémy Compostella  <jeremy.compostella@gmail.com>
1652         * net/tramp-sh.el (tramp-remote-process-environment): Disable paging
1653         with PAGER=cat.  (Bug#19870)
1655 2015-02-14  Artur Malabarba  <bruce.connor.am@gmail.com>
1657         * emacs-lisp/package.el (package-read-all-archive-contents):
1658         Don't build the compatibility table.
1659         (package-refresh-contents, package-initialize): Do build the
1660         compatibility table.
1661         (package--build-compatibility-table): New function.
1662         (describe-package-1): Describe why a package is incompatible.
1664 2015-02-14  Stefan Monnier  <monnier@iro.umontreal.ca>
1666         * emacs-lisp/cl-preloaded.el (cl-struct-define): Register as children
1667         of the parent.
1668         (cl--assertion-failed): New function.
1669         (cl-assertion-failed): Move in from cl-lib.el.
1671         * emacs-lisp/cl-macs.el (cl-defstruct): Don't generate code to register
1672         as children of its parents.
1673         (cl--make-type-test, cl--compiler-macro-typep): Remove functions.
1674         (cl-typep): Reimplement using define-inline.
1675         (cl-assert): Use cl--assertion-failed.
1676         (cl-struct-slot-value): Use define-inline.
1678         * emacs-lisp/cl-lib.el: Move autoloaded code to cl-preload.
1680         * textmodes/flyspell.el (flyspell-word): Defvar (bug#19844).
1681         (flyspell-generic-check-word-p): Mark as obsolete.
1683 2015-02-13  Artur Malabarba  <bruce.connor.am@gmail.com>
1685         * emacs-lisp/package.el (package--compatibility-table): New var.
1686         (package--add-to-compatibility-table): New function.
1687         (package-read-all-archive-contents): Populate compatibility table.
1688         (package--incompatible-p): Also look in dependencies.
1689         (describe-package-1): Fix "incompat" handling.
1691 2015-02-13  Lars Ingebrigtsen  <larsi@gnus.org>
1693         * net/rfc2104.el: Moved here from lisp/gnus.
1695 2015-02-13  Magnus Henoch  <magnus.henoch@gmail.com>
1697         * net/sasl-scram-rfc.el: New file.
1699         * net/sasl.el (sasl-mechanisms): Remove SCRAM-MD5.
1700         Add SCRAM-SHA-1 first.
1701         (sasl-mechanism-alist): Remove SCRAM-MD5 entry.  Add SCRAM-SHA-1
1702         entry (bug#17636).
1704 2015-02-13  Lars Ingebrigtsen  <larsi@gnus.org>
1706         * net/shr.el (shr-tag-li): Speed up rendering pages with lots of
1707         <ul>.
1709 2015-02-12  Oleh Krehel  <ohwoeowho@gmail.com>
1711         * progmodes/gdb-mi.el (gdb-display-io-nopopup): New defcustom.
1712         (gdb-inferior-filter): Don't pop up the buried output buffer when
1713         `gdb-display-io-nopopup' is non-nil.
1715 2015-02-12  Fabián Ezequiel Gallina  <fgallina@gnu.org>
1717         python.el: Allow killing shell buffer if process is dead.  (Bug#19823)
1719         * progmodes/python.el (python-shell-font-lock-kill-buffer):
1720         Don't require a running process.
1721         (python-shell-font-lock-post-command-hook): Fontify only if the
1722         shell process is running.
1724 2015-02-11  Stefan Monnier  <monnier@iro.umontreal.ca>
1726         * hi-lock.el (hi-lock-unface-buffer): Don't call
1727         font-lock-remove-keywords if not needed (bug#19796).
1729 2015-02-11  Artur Malabarba  <bruce.connor.am@gmail.com>
1731         * emacs-lisp/package.el (package-install): Invert the second
1732         argument, for better backwards compatibility.
1733         (package-install-button-action, package-reinstall)
1734         (package-menu-execute): Account for the change.
1736 2015-02-11  Nicolas Petton  <nicolas@petton.fr>
1738         * emacs-lisp/seq.el (seq-reverse): Add a backward-compatible
1739         version of seq-reverse that works on sequences in Emacs 24.
1740         Bump seq.el version to 1.2.
1742 2015-02-11  Artur Malabarba  <bruce.connor.am@gmail.com>
1744         * emacs-lisp/package.el (package--incompatible-p): New function.
1745         Return non-nil if PKG has no chance of being installable.
1746         (package--emacs-version-list): New variable.
1747         (describe-package-1, package-desc-status)
1748         (package-menu--print-info, package-menu--status-predicate):
1749         Account for the "incompat" status.
1751 2015-02-11  Martin Rudalics  <rudalics@gmx.at>
1753         * frame.el (toggle-frame-maximized, toggle-frame-fullscreen):
1754         Rename frame parameter `maximized' to `fullscreen-restore'.
1755         Restore fullwidth/-height after fullboth state.  Update doc-strings.
1757 2015-02-11  Lars Ingebrigtsen  <larsi@gnus.org>
1759         * net/shr.el (shr-insert): Make sure the space inserted has the
1760         right font (for width).
1761         (shr-fill-line): Preserve background colors when indenting/folding.
1762         (shr-ensure-paragraph): Don't insert a new paragraph as the first
1763         item in a <li>.
1765 2015-02-10  Lars Ingebrigtsen  <larsi@gnus.org>
1767         * net/shr.el (shr-use-fonts): New variable.
1768         (shr-fill-text): Rename from "fold".
1769         (shr-pixel-column, shr-pixel-region, shr-string-pixel-width):
1770         New functions.
1771         (shr-insert): Just insert, don't fill the text.  Filling is now
1772         done afterwards per display unit.
1773         (shr-fill-lines, shr-fill-line): New functions to fill text on a
1774         per-unit base.
1775         (shr-find-fill-point): Take a "beginning" parameter.
1776         (shr-indent): Indent using the :width display parameter when using
1777         fonts.
1778         (shr-parse-style): Ignore "inherit" values, since we already do that.
1779         (shr-tag-img): Remove the insertion states.
1780         (shr-tag-blockquote): New-style filling.
1781         (shr-tag-dd): Ditto.
1782         (shr-tag-li): Ditto.
1783         (shr-mark-fill): New function to mark lines that need filling.
1784         (shr-tag-h1): Use a larger font.
1785         (shr-tag-table-1): Get the natural and suggested widths in one
1786         rendering.
1787         (shr-tag-table): Create the "fixed" version of the table only once
1788         so that we can cache data in the table.
1789         (shr-insert-table): Get colspan calculations right by having
1790         zero-width columns after colspan ones.
1791         (shr-expand-alignments): New function to make :align-to specs work
1792         right when rendered in one buffer and displayed in another one.
1793         (shr-insert-table-ruler): Use :align-to to get the widths right.
1794         (shr-make-table): Cache more.
1795         (shr-make-table-1): Use the new <td> data layout.
1796         (shr-pixel-buffer-width): New function.
1797         (shr-render-td): Add a caching layer.
1798         (shr-dom-max-natural-width): New function.
1799         (shr-tag-h1): Don't use variable-pitch fonts on fontless rendering.
1800         (shr-tag-tt): New function.
1801         (shr-tag-hr): Compute the right length when using fonts.
1802         (shr-table-widths): Off-by-one error in width computation.
1803         (shr-expand-newlines): Remove dead code.
1804         (shr-insert-table): Extend background colors to the end of the column.
1805         (shr-insert-table): Only copy the background, not underline and
1806         the like.
1807         (shr-face-background): New function.
1809 2015-02-10  Fabián Ezequiel Gallina  <fgallina@gnu.org>
1811         python.el: Improved shell font lock respecting markers.  (Bug#19650)
1813         * progmodes/python.el
1814         (python-shell-font-lock-get-or-create-buffer): Use special buffer name.
1815         (python-shell-font-lock-with-font-lock-buffer): Enable font lock.
1816         (python-shell-font-lock-post-command-hook): Fontify by copying text
1817         properties from fontified buffer to shell, keeping markers unchanged.
1818         (python-shell-font-lock-turn-off): Fix typo.
1819         (python-util-text-properties-replace-name): Delete function.
1821 2015-02-09  Nicolas Petton  <nicolas@petton.fr>
1823         * emacs-lisp/seq.el (seq-group-by): Improves seq-group-by to
1824         return sequence elements in correct order.
1826 2015-02-09  Simen Heggestøyl  <simenheg@gmail.com>  (tiny change)
1828         * textmodes/css-mode.el (css-smie-rules): Fix paren indent (bug#19815).
1830 2015-02-09  Stefan Monnier  <monnier@iro.umontreal.ca>
1832         * emacs-lisp/cl-generic.el (cl--generic-lambda): Use macroexp-parse-body.
1834         * emacs-lisp/eieio-core.el (eieio-oset-default): Catch the unexpected
1835         case where the default value would be re-interpreted as a form!
1837 2015-02-09  Christopher Genovese  <genovese@cmu.edu>  (tiny change)
1839         * help-fns.el (help-fns--signature): Keep doc for keymap.
1841 2015-02-09  Kelly Dean  <kelly@prtime.org>
1843         * desktop.el: Save mark-ring less verbosely.
1844         (desktop-var-serdes-funs): New var.
1845         (desktop-buffer-info, desktop-create-buffer): Use it.
1846         (desktop-file-version): Update to 208.
1848 2015-02-09  Leo Liu  <sdl.web@gmail.com>
1850         * emacs-lisp/pcase.el (pcase-lambda): New Macro.  (Bug#19814)
1852         * emacs-lisp/lisp-mode.el (el-kws-re): Include `pcase-lambda'.
1854         * emacs-lisp/macroexp.el (macroexp-parse-body): New function.
1856 2015-02-08  Paul Eggert  <eggert@cs.ucla.edu>
1858         Port to platforms lacking test -a and -o
1859         * Makefile.in (compile-clean):
1860         * net/tramp-sh.el (tramp-find-executable):
1861         Prefer '&&' and '||' to 'test -a' and 'test -o'.
1863 2015-02-08  Artur Malabarba  <bruce.connor.am@gmail.com>
1865         * newcomment.el (comment-line): Fix missing paren.
1867 2015-02-08  Ulrich Müller  <ulm@gentoo.org>
1869         * play/gamegrid.el: Update comment to reflect that the
1870         'update-game-score' helper program is now setgid by default.
1872 2015-02-08  David Kastrup  <dak@gnu.org>
1874         * subr.el (apply-partially): Use lexical binding here.
1876 2015-02-08  Artur Malabarba  <bruce.connor.am@gmail.com>
1878         * newcomment.el (comment-line): New command.
1880         * bindings.el (ctl-x-map): Bind to `C-x C-;'.
1882 2015-02-08  Oleh Krehel  <ohwoeowho@gmail.com>
1884         * outline.el (outline-show-entry): Fix one invisible char for the
1885         file's last outline.  (Bug#19493)
1887 2015-02-08  Stefan Monnier  <monnier@iro.umontreal.ca>
1889         * subr.el (indirect-function): Change advertised calling convention.
1891 2015-02-08  Fabián Ezequiel Gallina  <fgallina@gnu.org>
1893         python.el: Fix completion-at-point.  (Bug#19667)
1895         * progmodes/python.el
1896         (python-shell-completion-native-get-completions): Force process buffer.
1897         (python-shell-completion-at-point): Handle case where call is not
1898         in a shell buffer.
1900 2015-02-08  Fabián Ezequiel Gallina  <fgallina@gnu.org>
1902         python.el: Fix shell font-lock multiline input.  (Bug#19744)
1904         * progmodes/python.el
1905         (python-shell-font-lock-post-command-hook): Handle multiline input.
1907 2015-02-08  Fabián Ezequiel Gallina  <fgallina@gnu.org>
1909         python.el: Make shell font-lock respect markers.  (Bug#19650)
1911         * progmodes/python.el (python-shell-font-lock-cleanup-buffer):
1912         Use `erase-buffer`.
1913         (python-shell-font-lock-comint-output-filter-function):
1914         Handle newlines.
1915         (python-shell-font-lock-post-command-hook): Respect markers on
1916         text fontification.
1918 2015-02-07  Fabián Ezequiel Gallina  <fgallina@gnu.org>
1920         python.el: Keep eldoc visible while typing args.  (Bug#19637)
1921         * progmodes/python.el (python-eldoc--get-symbol-at-point):
1922         New function based on Carlos Pita <carlosjosepita@gmail.com> patch.
1923         (python-eldoc--get-doc-at-point, python-eldoc-at-point): Use it.
1925 2015-02-07  Fabián Ezequiel Gallina  <fgallina@gnu.org>
1927         Fix hideshow integration.  (Bug#19761)
1928         * progmodes/python.el
1929         (python-hideshow-forward-sexp-function): New function based on
1930         Carlos Pita <carlosjosepita@gmail.com> patch.
1931         (python-mode): Make `hs-special-modes-alist` use it and initialize
1932         the end regexp with the empty string to avoid skipping parens.
1934 2015-02-07  Fabián Ezequiel Gallina  <fgallina@gnu.org>
1936         * progmodes/python.el (python-check-custom-command): Do not use
1937         defvar-local for compat with Emacs<24.3.
1939 2015-02-07  Martin Rudalics  <rudalics@gmx.at>
1941         * frame.el (frame-notice-user-settings):
1942         Update `frame-size-history'.
1943         (make-frame): Update `frame-size-history'.
1944         Call `frame-after-make-frame'.
1945         * faces.el (face-set-after-frame-default): Remove call to
1946         frame-can-run-window-configuration-change-hook.
1948 2015-02-06  Dmitry Gutov  <dgutov@yandex.ru>
1950         * vc/vc-cvs.el (vc-cvs-dir-status-files): Don't pass DIR to
1951         `vc-cvs-command' (bug#19732).
1953 2015-02-06  Nicolas Petton  <nicolas@petton.fr>
1955         * emacs-lisp/seq.el (seq-mapcat, seq-partition, seq-group-by):
1956         New functions.
1957         * emacs-lisp/seq.el (seq-drop-while, seq-take-while, seq-count)
1958         (seq--drop-list, seq--take-list, seq--take-while-list):
1959         Better docstring.
1961 2015-02-06  Artur Malabarba  <bruce.connor.am@gmail.com>
1963         * doc-view.el (doc-view-kill-proc-and-buffer): Obsolete. Use
1964         `image-kill-buffer' instead.
1966 2015-02-06  Thomas Fitzsimmons  <fitzsim@fitzsim.org>
1968         * net/ldap.el (ldap-search-internal): Fix docstring.
1970 2015-02-06  Lars Ingebrigtsen  <larsi@gnus.org>
1972         * subr.el (define-error): The error conditions may be constant
1973         lists, so use `append' to concatenate them.
1975 2015-02-06  Wolfgang Jenkner  <wjenkner@inode.at>
1977         * net/network-stream.el (network-stream-open-tls): Respect the
1978         :end-of-capability setting.
1980 2015-02-05  Artur Malabarba  <bruce.connor.am@gmail.com>
1982         * emacs-lisp/package.el (package--sort-by-dependence):
1983         New function.  Return PACKAGE-LIST sorted by dependencies.
1984         (package-menu-execute): Use it to delete packages in order.
1985         (package--sort-deps-in-alist): New function.
1986         (package-menu-mark-install): Can mark dependencies.
1987         (package--newest-p): New function.
1988         (package-delete): Don't deselect when deleting an older version of
1989         an upgraded package.
1991         * emacs-lisp/package.el: Add missing (require 'subr-x)
1993 2015-02-05  Stefan Monnier  <monnier@iro.umontreal.ca>
1995         * textmodes/css-mode.el (scss-smie--not-interpolation-p): Vars can be
1996         hyphenated (bug#19263).
1998         * textmodes/css-mode.el (css-fill-paragraph): Fix filling in presence
1999         of variable interpolation (bug#19751).
2001 2015-02-05  Era Eriksson  <era+emacs@iki.fi>
2003         * json.el (json-end-of-file): New error (bug#19768).
2004         (json-pop, json-read): Use it.
2006 2015-02-05  Kelly Dean  <kelly@prtime.org>
2008         * help-mode.el (help-xref-interned): Pass BUFFER and FRAME to
2009         `describe-variable'.
2011         * help-fns.el (describe-function-or-variable): New function.
2013         * help.el (help-map): Bind `describe-function-or-variable' to o.
2014         (help-for-help-internal): Document o key.
2016 2015-02-05  Stefan Monnier  <monnier@iro.umontreal.ca>
2018         * emacs-lisp/eieio-compat.el (eieio--defmethod): Use new
2019         special (:documentation ...) feature.
2020         * emacs-lisp/eieio-core.el (eieio-make-class-predicate)
2021         (eieio-make-child-predicate): Same.
2022         (eieio-copy-parents-into-subclass): Remove unused arg.
2023         (eieio-defclass-internal): Adjust call accordingly and remove redundant
2024         `pname' var.
2025         (eieio--slot-name-index): Remove unused arg `obj' and adjust all
2026         callers accordingly.
2028         * emacs-lisp/cconv.el (cconv--convert-function):
2029         Add `docstring' argument.
2030         (cconv-convert): Use it to handle the new (:documentation ...) form.
2031         (cconv-analyze-form): Handle the new (:documentation ...) form.
2033         * emacs-lisp/bytecomp.el:
2034         (byte-compile-initial-macro-environment): Use macroexp-progn.
2035         (byte-compile-cl-warn): Don't silence use of cl-macroexpand-all.
2036         (byte-compile-file-form-defvar-function): Rename from
2037         byte-compile-file-form-define-abbrev-table.
2038         (defvaralias, byte-compile-file-form-custom-declare-variable): Use it.
2039         (byte-compile): Use byte-compile-top-level rather than
2040         byte-compile-lambda so we can compile non-values.
2041         (byte-compile-form): Add warnings for failed uses of lexical vars via
2042         quoted symbols.
2043         (byte-compile-unfold-bcf): Improve message for failed inlining.
2044         (byte-compile-make-closure): Handle new format of internal-make-closure
2045         for dynamically-generated docstrings.
2047         * delsel.el: Deprecate the `kill' option.  Use lexical-binding.
2048         (open-line): Delete like all other commands, instead of killing.
2049         (delete-active-region): Don't define any return any value.
2051         * progmodes/python.el: Try to preserve compatibility with Emacs-24.
2052         (python-mode): Don't assume eldoc-documentation-function has a non-nil
2053         default.
2055 2015-02-04  Sam Steingold  <sds@gnu.org>
2057         * progmodes/python.el (python-indent-calculate-indentation):
2058         Avoid the error when computing top-level indentation.
2060 2015-02-04  Stefan Monnier  <monnier@iro.umontreal.ca>
2062         * emacs-lisp/cl-generic.el (cl--generic-member-method): Fix paren typo.
2064         * textmodes/flyspell.el: Use lexical-binding and cl-lib.
2065         (mail-mode-flyspell-verify): Fix last change.
2066         (flyspell-external-point-words, flyspell-large-region):
2067         Avoid add-to-list on local vars.
2069 2015-02-04  Tassilo Horn  <tsdh@gnu.org>
2071         * emacs-lisp/package.el (package-installed-p): Fix typo causing
2072         void-variable error.
2074 2015-02-04  Artur Malabarba  <bruce.connor.am@gmail.com>
2076         * image-mode.el (image-kill-buffer): New command.
2077         (image-mode-map): Bind it to k.
2079         * emacs-lisp/package.el (package-delete): Remove package from
2080         `package-selected-packages' even if it can't be deleted.
2081         (package-installed-p): Accept package-desc objects.
2082         (package-install): Can be used to mark dependencies as
2083         selected. When given a package-desc object which is already
2084         installed, the package is not downloaded again, but it is marked
2085         as selected (if it wasn't already).
2086         (package-reinstall): Accept package-desc objects.
2088 2015-02-03  Artur Malabarba  <bruce.connor.am@gmail.com>
2090         * emacs-lisp/package.el (package-delete): Document NOSAVE.
2091         (package--get-deps): delete-dups when ONLY is nil.
2092         (package-autoremove): Warn the user if `package-selected-packages'
2093         is empty.
2095         (package--user-selected-p): New function.
2096         (package-delete, package-install, package-install-from-buffer):
2097         Use it
2098         (package-selected-packages): Mention it.
2100         (package-initialize): Don't populate `package-selected-packages'.
2101         (package-install-user-selected-packages, package-autoremove):
2102         Special handling for empty `package-selected-packages'.
2103         (package-install): Fix when PKG is a package-desc.
2105         (package-desc-status): Add "dependency" status to the Package
2106         Menu.
2107         (package-menu--status-predicate, package-menu--print-info)
2108         (package-menu-mark-delete, package-menu--find-upgrades)
2109         (package-menu--status-predicate, describe-package-1): Use it
2111         (package--removable-packages): New function.
2112         (package-autoremove): Use it.
2113         (package-menu-execute): Offer to remove unneeded packages.
2115         (package--read-pkg-desc, package-tar-file-info): Fix reference to
2116         tar-desc.
2118 2015-02-03  Thierry Volpiatto  <thierry.volpiatto@gmail.com>
2120         * emacs-lisp/package.el (package-reinstall): Don't change package's selected status.
2121         (package-delete): New NOSAVE argument.
2123 2015-02-03  Michael Albinus  <michael.albinus@gmx.de>
2125         * net/tramp-sh.el (tramp-histfile-override): Fix docstring.
2126         (tramp-open-shell, tramp-maybe-open-connection): Set also
2127         HISTFILESIZE and HISTSIZE when needed.  (Bug#19731)
2129 2015-02-02  Artur Malabarba  <bruce.connor.am@gmail.com>
2131         * emacs-lisp/package.el (package--find-non-dependencies):
2132         New function.
2133         (package-initialize): Use it to populate `package-selected-packages'.
2134         (package-menu-execute): Clean unnecessary `and'.
2135         (package--get-deps): Fix returning duplicates.
2137 2015-02-02  Michael Albinus  <michael.albinus@gmx.de>
2139         * net/tramp-sh.el (tramp-histfile-override): Add another choice t.
2140         Use it as default.
2141         (tramp-open-shell, tramp-maybe-open-connection): Support it.
2142         (Bug#19731)
2144 2015-02-02  Thierry Volpiatto  <thierry.volpiatto@gmail.com>
2146         * emacs-lisp/package.el (package-delete): Remove package from
2147         package-selected-packages.
2148         (package-autoremove): Remove unneeded variable.
2150 2015-02-01  Artur Malabarba  <bruce.connor.am@gmail.com>
2152         * emacs-lisp/package.el (package-selected-packages): Fix :type
2153         (package-install): Rename ARG to MARK-SELECTED.
2154         (package--get-deps): Fix for indirect dependencies.
2155         (package-used-elsewhere-p): Rename to
2156         (package--used-elsewhere-p): New function.
2157         (package-reinstall, package-user-selected-packages-install)
2158         (package-autoremove): Use sharp-quote.
2159         (package-user-selected-packages-install): Reindent and rename to
2160         (package-install-user-selected-packages): New function.
2162 2015-02-01  Thierry Volpiatto  <thierry.volpiatto@gmail.com>
2164         * emacs-lisp/package.el: Don't allow deleting dependencies.
2166         (package-used-elsewhere-p): New function.
2167         (package-delete): Use it, return now an error when trying to
2168         delete a package used as dependency by another package.
2170         Add a reinstall package command.
2171         (package-reinstall): New function.
2173         Add a package-autoremove command.
2174         (package-selected-packages): New user var.
2175         (package-install): Add an optional arg to notify interactive use.
2176         Fix docstring. Save installed package to
2177         packages-installed-directly.
2178         (package-install-from-buffer): Same.
2179         (package-user-selected-packages-install): Allow installing all
2180         packages in packages-installed-directly at once.
2181         (package--get-deps): New function.
2182         (package-autoremove): New function.
2183         (package-install-button-action): Call package-install with
2184         interactive arg.
2185         (package-menu-execute): Same but only for only for not installed
2186         packages.
2188 2015-01-31  Stefan Monnier  <monnier@iro.umontreal.ca>
2190         * emacs-lisp/eieio.el (defclass): Use new eieio-make-class-predicate
2191         and eieio-make-child-predicate.
2192         (eieio-class-parents): Use eieio--class-object.
2193         (slot-boundp, find-class, eieio-override-prin1): Avoid class-p.
2194         (slot-exists-p): Use find-class.
2196         * emacs-lisp/eieio-opt.el (eieio-help-class, eieio-help-constructor):
2197         Use find-lisp-object-file-name, help-fns-short-filename and new calling
2198         convention for eieio-class-def.
2199         (eieio-build-class-list): Remove function, unused.
2200         (eieio-method-def): Remove button type, unused.
2201         (eieio-class-def): Inherit from help-function-def.
2202         (eieio--defclass-regexp): New constant.
2203         (find-function-regexp-alist): Use it.
2204         (eieio--specializers-apply-to-class-p): Handle eieio--static as well.
2205         (eieio-help-find-method-definition, eieio-help-find-class-definition):
2206         Remove functions.
2208         * emacs-lisp/eieio-core.el (eieio--check-type): Remove.
2209         Use cl-check-type everywhere instead.
2210         (eieio-class-object): Remove, use find-class instead when needed.
2211         (class-p): Don't inline.
2212         (eieio-object-p): Check more thoroughly, so we don't treat cl-structs,
2213         such as eieio classes, as objects.  Don't inline.
2214         (object-p): Mark as obsolete.
2215         (eieio-defclass-autoload, eieio-defclass-internal, eieio-oref)
2216         (eieio--generic-tagcode): Avoid `class-p'.
2217         (eieio-make-class-predicate, eieio-make-child-predicate): New functions.
2218         (eieio-defclass-internal): Use current-load-list rather than
2219         `class-location'.
2221         * emacs-lisp/cl-generic.el (cl--generic-search-method): Fix regexp.
2223 2015-01-30  Stefan Monnier  <monnier@iro.umontreal.ca>
2225         * emacs-lisp/backquote.el (backquote-delay-process): Don't reuse `s'
2226         since it may be "equivalent" in some sense, yet different (bug#19734).
2228 2015-01-30  Oleh Krehel  <ohwoeowho@gmail.com>
2230         * outline.el (outline-font-lock-face): Add docstring.
2231         (outline-invisible-p): Improve docstring.
2232         (outline-invent-heading): Add docstring.
2233         (outline-promote): Improve docstring.
2234         (outline-demote): Improve docstring.
2235         (outline-head-from-level): Improve docstring.
2236         (outline-end-of-heading): Add docstring.
2237         (outline-next-visible-heading): Improve docstring.
2238         (outline-previous-visible-heading): Improve docstring.
2239         (outline-hide-region-body): Improve docstring.
2240         (outline-flag-subtree): Add docstring.
2241         (outline-end-of-subtree): Add docstring.
2242         (outline-headers-as-kill): Improve docstring.
2244 2015-01-30  Oleh Krehel  <ohwoeowho@gmail.com>
2246         * outline.el (outline-hide-entry): Rename from `hide-entry'.
2247         (hide-entry): Declare as obsolete.
2248         (outline-show-entry): Rename from `show-entry'.
2249         (show-entry): Declare as obsolete.
2250         (outline-hide-body): Rename from `hide-body'.
2251         (hide-body): Declare as obsolete.
2252         (outline-hide-region-body): Rename from `hide-region-body'.
2253         (hide-region-body): Declare as obsolete.
2254         (outline-show-all): Rename from `show-all'.
2255         (show-all): Declare as obsolete.
2256         (outline-hide-subtree): Rename from `hide-subtree'.
2257         (hide-subtree): Declare as obsolete.
2258         (outline-hide-leaves): Rename from `hide-leaves'.
2259         (hide-leaves): Declare as obsolete.
2260         (outline-show-subtree): Rename from `show-subtree'.
2261         (show-subtree): Declare as obsolete.
2262         (outline-hide-sublevels): Rename from `hide-sublevels'.
2263         (hide-sublevels): Declare as obsolete.
2264         (outline-hide-other): Rename from `hide-other'.
2265         (hide-other): Declare as obsolete.
2266         (outline-show-children): Rename from `show-children'.
2267         (show-children): Declare as obsolete.
2268         (outline-show-branches): Rename from `show-branches'.
2269         (show-branches): Declare as obsolete.
2271 2015-01-30  Oleh Krehel  <ohwoeowho@gmail.com>
2273         * outline.el (outline-mode): Clean up docstring.
2274         (font-lock-warning-face): Remove obsolete declaration.
2275         (outline-font-lock-face): Remove obsolete comment.
2277 2015-01-30  Oleh Krehel  <ohwoeowho@gmail.com>
2279         * custom.el (defface): Set `indent' to 1.
2281 2015-01-30  Oleh Krehel  <ohwoeowho@gmail.com>
2283         * emacs-lisp/easy-mmode.el (define-minor-mode): Set `indent' to 1.
2285 2015-01-30  Michal Nazarewicz  <mina86@mina86.com>
2287         * files.el (save-buffers-kill-emacs): If `confirm-kill-emacs'
2288         is set, but user has just been asked whether they really want to
2289         kill Emacs (for example with a ‘Modified buffers exist; exit
2290         anyway?’ prompt), do not ask them for another confirmation.
2292 2015-01-29  Jay Belanger  <jay.p.belanger@gmail.com>
2294         * calc/calc-units.el (calc-convert-exact-units): New function.
2295         (calc-convert-units): Check for missing units.
2296         (math-consistent-units-p): Strengthen the test for consistent units.
2298         * calc/calc-ext.el (calc-init-extensions):  Autoload
2299         `calc-convert-exact-units' and assign it a keybinding.
2301         * calc/calc-help.el (calc-u-prefix-help): Add help for the
2302         "un" keybinding.
2304 2015-01-28  Stefan Monnier  <monnier@iro.umontreal.ca>
2306         * emacs-lisp/cl.el (cl--function-convert): Simplify.
2308 2015-01-28  Tassilo Horn  <tsdh@gnu.org>
2310         * textmodes/reftex.el (reftex-syntax-table-for-bib): Give ( and )
2311         punctuation syntax since to allow bibtex fields with values such
2312         as {Test 1) and 2)} (bug#19205, bug#19707).
2313         (reftex--prepare-syntax-tables): New function.
2314         (reftex-mode): Use it.
2316 2015-01-28  Fabián Ezequiel Gallina  <fgallina@gnu.org>
2318         python.el: New non-global state dependent indentation engine.
2319         (Bug#18319, Bug#19595)
2320         * progmodes/python.el (python-syntax-comment-or-string-p):
2321         Accept PPSS as argument.
2322         (python-syntax-closing-paren-p): New function.
2323         (python-indent-current-level)
2324         (python-indent-levels): Mark obsolete.
2325         (python-indent-context): Return more context cases.
2326         (python-indent--calculate-indentation)
2327         (python-indent--calculate-levels): New functions.
2328         (python-indent-calculate-levels): Use them.
2329         (python-indent-calculate-indentation, python-indent-line):
2330         (python-indent-line-function): Rewritten to use new API.
2331         (python-indent-dedent-line): Simplify logic.
2332         (python-indent-dedent-line-backspace): Use `unless`.
2333         (python-indent-toggle-levels): Delete function.
2335 2015-01-28  Daniel Koning  <dk@danielkoning.com>  (tiny change)
2337         * subr.el (posnp): Correct docstring of `posnp'.
2338         (posn-col-row): Make it work with all mouse position objects.
2339         * textmodes/artist.el (artist-mouse-draw-continously):
2340         Cancel timers if an error occurs during continuous drawing.  (Bug#6130)
2342 2015-01-28  Eli Zaretskii  <eliz@gnu.org>
2344         * button.el (button-activate, push-button): Doc fix.  (Bug#19628)
2346 2015-01-28  Michael Albinus  <michael.albinus@gmx.de>
2348         * filenotify.el (file-notify-descriptors, file-notify-handle-event):
2349         Adapt docstring.
2350         (file-notify--descriptor): New defun.
2351         (file-notify-callback, file-notify-add-watch, file-notify-rm-watch):
2352         Adapt docstring.  Handle multiple values for
2353         `file-notify-descriptors' entries.  (Bug#18880)
2355         * net/tramp.el (tramp-handle-file-notify-rm-watch): Do not check
2356         `file-notify-descriptors', the implementation has been changed.
2358 2015-01-28  Eli Zaretskii  <eliz@gnu.org>
2360         * net/net-utils.el (net-utils-run-program, net-utils-run-simple):
2361         On MS-Windows, bind coding-system-for-read to the console output
2362         codepage.  (Bug#19458)
2364 2015-01-28  Dmitry Gutov  <dgutov@yandex.ru>
2366         Unbreak `mouse-action' property in text buttons.
2367         * button.el (push-button): Fix regression from 2012-12-06.
2369 2015-01-28  Glenn Morris  <rgm@gnu.org>
2371         * progmodes/sh-script.el (sh-mode): Doc fix.
2372         (sh-basic-indent-line): Handle electric newline.  (Bug#18756)
2374 2015-01-28  Paul Eggert  <eggert@cs.ucla.edu>
2376         Fix dired quoting bug with "Hit`N`Hide".
2377         * files.el (shell-quote-wildcard-pattern): Also quote "`".  (Bug#19498)
2379 2015-01-28  Stefan Monnier  <monnier@iro.umontreal.ca>
2381         Tighten up the tagcode used for eieio and cl-struct objects.
2382         * loadup.el: Load cl-preloaded.
2383         * emacs-lisp/eieio-core.el (eieio-defclass-internal): Set the function
2384         slot of the tag symbol to :quick-object-witness-check.
2385         (eieio-object-p): Use :quick-object-witness-check.
2386         (eieio--generic-tagcode): Use cl--generic-struct-tag.
2387         * emacs-lisp/cl-preloaded.el: New file.
2388         * emacs-lisp/cl-macs.el (cl--bind-inits): Remove, unused.
2389         (cl--transform-lambda, cl-destructuring-bind): Remove cl--bind-inits.
2390         (cl--make-usage-args): Strip away &aux args.
2391         (cl-case, cl-typecase, cl--parse-loop-clause): Use macroexp-let2.
2392         (cl-the, cl-check-type): Use macroexp-let2 and cl-typep.
2393         (cl-defstruct): Use `declare' and cl-struct-define.
2394         * emacs-lisp/cl-generic.el (cl--generic-struct-tag): New function.
2395         (cl--generic-struct-tagcode): Use it to tighten the tagcode.
2397 2015-01-27  Katsumi Yamaoka  <yamaoka@jpl.org>
2399         * emacs-lisp/cl.el (cl--function-convert):
2400         Merge cache that cl--labels-convert adds (bug#19699).
2402 2015-01-27  Ivan Shmakov  <ivan@siamics.net>
2404         * tar-mode.el: Allow for adding new archive members.  (Bug#19274)
2405         (tar-new-regular-file-header, tar--pad-to, tar--put-at)
2406         (tar-header-serialize): New functions.
2407         (tar-current-position): Split from tar-current-descriptor.
2408         (tar-current-descriptor): Use it.
2409         (tar-new-entry): New command.
2410         (tar-mode-map): Bind it.
2412 2015-01-27  Sam Steingold  <sds@gnu.org>
2414         * progmodes/python.el (python-check-custom-command): Buffer local
2415         because it usually includes the buffer name.
2416         (python-check-command): Set to epylint when pyflakes is not available.
2418 2015-01-27  Artur Malabarba  <bruce.connor.am@gmail.com>
2420         * isearch.el (isearch-process-search-char): Add docstring.
2422 2015-01-27  Oleh Krehel  <ohwoeowho@gmail.com>
2424         * emacs-lisp/derived.el (define-derived-mode): Declare indent 3.
2426 2015-01-27  Katsumi Yamaoka  <yamaoka@jpl.org>
2428         * emacs-lisp/cl.el (cl--function-convert): Run cl--labels-convert
2429         for the case cl-flet or cl-labels form is wrapped with lexical-let
2430         (bug#19613).
2432 2015-01-26  Stefan Monnier  <monnier@iro.umontreal.ca>
2434         * emacs-lisp/cl-generic.el (cl--generic-method): New struct.
2435         (cl--generic): The method-table is now a (list-of cl--generic-method).
2436         (cl--generic-member-method): New function.
2437         (cl-generic-define-method): Use it.
2438         (cl--generic-build-combined-method, cl--generic-cache-miss):
2439         Adapt to new method-table.
2440         (cl--generic-no-next-method-function): Add `method' argument.
2441         (cl-generic-call-method): Adapt to new method representation.
2442         (cl--generic-cnm-sample, cl--generic-nnm-sample): Adjust.
2443         (cl-find-method, cl-method-qualifiers): New functions.
2444         (cl--generic-method-info): Adapt to new method representation.
2445         Return a string for the qualifiers.
2446         (cl--generic-describe):
2447         * emacs-lisp/eieio-opt.el (eieio-help-class): Adjust accordingly.
2448         (eieio-all-generic-functions, eieio-method-documentation):
2449         Adjust to new method representation.
2451         * emacs-lisp/eieio-compat.el (eieio--defmethod): Use cl-find-method.
2453 2015-01-26  Stefan Monnier  <monnier@iro.umontreal.ca>
2455         * emacs-lisp/cl-generic.el: Add a method-combination hook.
2456         (cl-generic-method-combination-function): New var.
2457         (cl--generic-lambda): Remove `with-cnm' arg.
2458         (cl-defmethod): Change accordingly.
2459         (cl-generic-define-method): Don't check qualifiers validity.
2460         Preserve all qualifiers in `method-table'.
2461         (cl-generic-call-method): New function.
2462         (cl--generic-nest): Remove (morph into cl-generic-call-method).
2463         (cl--generic-build-combined-method): Adjust to new format of method-table
2464         and use cl-generic-method-combination-function.
2465         (cl--generic-standard-method-combination): New function, extracted from
2466         cl--generic-build-combined-method.
2467         (cl--generic-cnm-sample): Adjust to new format of method-table.
2469         * emacs-lisp/eieio-compat.el (eieio--defmethod): Use () qualifiers
2470         instead of :primary.
2472         * emacs-lisp/eieio-datadebug.el (eieio-debug-methodinvoke):
2473         Remove obsolete function.
2475 2015-01-26  Lars Ingebrigtsen  <larsi@gnus.org>
2477         * net/shr.el (shr-make-table-1): Fix colspan typo.
2478         (shr-make-table-1): Add comments.
2479         (shr-make-table-1): Make colspan display more sensibly.
2481         * net/eww.el (eww-add-bookmark): Fix prompt and clean up the code
2482         slightly.
2484 2015-01-25  Stefan Monnier  <monnier@iro.umontreal.ca>
2486         * emacs-lisp/cl-generic.el (cl--generic-no-next-method-function): New fun.
2487         (cl--generic-build-combined-method, cl--generic-nnm-sample): Use it
2488         (bug#19672).
2489         (cl--generic-typeof-types): Add support for `sequence'.
2490         (cl-defmethod): Add non-keywords in the qualifiers.
2492 2015-01-25  Dmitry Gutov  <dgutov@yandex.ru>
2494         * emacs-lisp/find-func.el (find-function-regexp): Don't match
2495         `defgroup' (regression from the previous change here).
2497 2015-01-23  Thomas Fitzsimmons  <fitzsim@fitzsim.org>
2499         * net/ldap.el (ldap-search-internal): Mention binddn in invalid
2500         credentials error message.
2502 2015-01-23  Thomas Fitzsimmons  <fitzsim@fitzsim.org>
2504         * net/ldap.el (ldap-password-read): Validate password before
2505         caching it.
2506         (ldap-search-internal): Handle ldapsearch error conditions.
2508 2015-01-23  Thomas Fitzsimmons  <fitzsim@fitzsim.org>
2510         * net/ldap.el (ldap-password-read): Handle password-cache being nil.
2512 2015-01-23  Thomas Fitzsimmons  <fitzsim@fitzsim.org>
2514         * net/eudc.el (eudc-expand-inline): Always restore former server
2515         and protocol.
2517 2015-01-23  Thomas Fitzsimmons  <fitzsim@fitzsim.org>
2519         * net/eudcb-ldap.el: Don't nag the user in case a default base is
2520         provided by the LDAP system configuration file.
2522 2015-01-23  Thomas Fitzsimmons  <fitzsim@fitzsim.org>
2524         * net/eudc.el (eudc-format-query): Preserve the
2525         eudc-inline-query-format ordering of attributes in the returned list.
2526         * net/eudcb-ldap.el (eudc-ldap-format-query-as-rfc1558):
2527         Append the LDAP wildcard character to the last attribute value.
2529 2015-01-23  Thomas Fitzsimmons  <fitzsim@fitzsim.org>
2531         * net/eudcb-ldap.el (eudc-ldap-cleanup-record-simple):
2532         Downcase field names of LDAP results.
2533         (eudc-ldap-cleanup-record-filtering-addresses): Likewise.
2535 2015-01-23  Thomas Fitzsimmons  <fitzsim@fitzsim.org>
2537         * net/ldap.el (ldap-ldapsearch-password-prompt): New defcustom.
2538         (ldap-search-internal): Send password to ldapsearch through a pipe
2539         instead of via the command line.
2541 2015-01-23  Thomas Fitzsimmons  <fitzsim@fitzsim.org>
2543         * net/ldap.el: Require password-cache.
2544         (ldap-password-read): New function.
2545         (ldap-search-internal): Call ldap-password-read when it is
2546         configured to be called.
2548 2015-01-23  Thomas Fitzsimmons  <fitzsim@fitzsim.org>
2550         * net/eudc-vars.el (eudc-expansion-overwrites-query):
2551         Change default to nil.
2553 2015-01-23  Thomas Fitzsimmons  <fitzsim@fitzsim.org>
2555         * net/eudc.el (eudc-expand-inline): Ignore text properties of
2556         string-to-expand.
2558 2015-01-23  Thomas Fitzsimmons  <fitzsim@fitzsim.org>
2560         * net/eudc-vars.el (eudc-inline-expansion-format): Default to a
2561         format that includes first name and surname.
2563 2015-01-23  Thomas Fitzsimmons  <fitzsim@fitzsim.org>
2565         * net/eudc-vars.el (eudc-inline-query-format): Change default to
2566         query email and first name instead of surname.
2568 2015-01-23  Thomas Fitzsimmons  <fitzsim@fitzsim.org>
2570         * net/ldap.el (ldap-search-internal): Support new-style LDAP URIs.
2572 2015-01-23  Thomas Fitzsimmons  <fitzsim@fitzsim.org>
2574         * net/eudc-vars.el (eudc-server): Adjust docstring to mention
2575         eudc-server-hotlist.
2576         (eudc-server-hotlist): Move from eudc.el and make defcustom.
2577         * net/eudc.el (eudc-server-hotlist): Move to eudc-vars.el.
2578         (eudc-set-server): Allow setting protocol to nil.
2579         (eudc-expand-inline): Support hotlist-only expansions when server
2580         is not set.
2582 2015-01-23  Stefan Monnier  <monnier@iro.umontreal.ca>
2584         * emacs-lisp/cl-generic.el (cl-no-primary-method): New fun and error.
2585         (cl--generic-build-combined-method): Use it.
2587 2015-01-22  Paul Eggert  <eggert@cs.ucla.edu>
2589         Don't downcase system diagnostics' first letters
2590         * emacs-lisp/bytecomp.el (byte-compile-file):
2591         * ffap.el (find-file-at-point):
2592         * files.el (insert-file-1):
2593         * net/ange-ftp.el (ange-ftp-barf-if-not-directory)
2594         (ange-ftp-copy-file-internal):
2595         * progmodes/etags.el (visit-tags-table):
2596         Keep diagnostics consistent with system's.
2597         * ffap.el (ffap-machine-p):
2598         Ignore case while comparing diagnostics.
2600 2015-01-22  Stefan Monnier  <monnier@iro.umontreal.ca>
2602         * help.el (help-make-usage): Don't turn a "_" arg into an empty-string
2603         arg (bug#19645).
2604         * emacs-lisp/cl-generic.el (cl--generic-lambda): Don't confuse a string
2605         body with a docstring.
2607 2015-01-22  Dmitry Gutov  <dgutov@yandex.ru>
2609         * progmodes/xref.el (xref-location-marker, xref-location-group):
2610         Use `cl-defgeneric' and `cl-defmethod' instead of the EIEIO
2611         counterparts.
2613         * progmodes/etags.el (xref-location-marker): Same.
2615         * progmodes/xref.el (xref--current): Rename from `xref--selected'.
2616         (xref--inhibit-mark-current): Rename from
2617         `xref--inhibit-mark-selected'.  Update the usages.
2618         (xref-quit): Reword the docstring.  Kill buffers after quitting
2619         windows instead of before.
2620         (xref--insert-xrefs): Tweak help-echo.
2621         (xref--read-identifier-history, xref--read-pattern-history):
2622         New variables.
2623         (xref--read-identifier, xref-find-apropos): Use them.
2625 2015-01-21  Ulrich Müller  <ulm@gentoo.org>
2627         * play/gamegrid.el (gamegrid-add-score-with-update-game-score):
2628         Allow the 'update-game-score' helper program to run suid or sgid.
2630 2015-01-21  Stefan Monnier  <monnier@iro.umontreal.ca>
2632         * emacs-lisp/eieio.el: Use cl-defmethod.
2633         (defclass): Generate cl-defmethod calls; use setf methods for :accessor.
2634         (eieio-object-name-string): Declare as obsolete.
2636         * emacs-lisp/eieio-opt.el: Adapt to cl-generic.
2637         (eieio--specializers-apply-to-class-p): New function.
2638         (eieio-all-generic-functions): Use it.
2639         (eieio-method-documentation): Use it as well as cl--generic-method-info.
2640         Change format of return value.
2641         (eieio-help-class): Adapt accordingly.
2643         * emacs-lisp/eieio-compat.el (eieio--defmethod): Avoid no-next-method
2644         errors when there's a `before' but no `primary' (bug#19645).
2645         (next-method-p): Return nil rather than signal an error.
2646         (eieio-defgeneric): Remove bogus (fboundp 'method).
2648         * emacs-lisp/eieio-speedbar.el:
2649         * emacs-lisp/eieio-datadebug.el:
2650         * emacs-lisp/eieio-custom.el:
2651         * emacs-lisp/eieio-base.el: Use cl-defmethod.
2653         * emacs-lisp/cl-generic.el (cl-defgeneric): Add support for `declare'.
2654         (cl--generic-setf-rewrite): Setup the setf expander right away.
2655         (cl-defmethod): Make sure the setf expander is setup before we expand
2656         the body.
2657         (cl-defmethod): Silence byte-compiler warnings.
2658         (cl-generic-define-method): Shuffle code to change return value.
2659         (cl--generic-method-info): New function, extracted from
2660         cl--generic-describe.
2661         (cl--generic-describe): Use it.
2663 2015-01-21  Dmitry Gutov  <dgutov@yandex.ru>
2665         * progmodes/xref.el (xref--xref-buffer-mode-map): Define before
2666         the major mode.  Remap `quit-window' to `xref-quit'.
2667         (xref--xref-buffer-mode): Inherit from special-mode.
2669         xref: Keep track of temporary buffers  (bug#19466).
2670         * progmodes/xref.el (xref--temporary-buffers, xref--selected)
2671         (xref--inhibit-mark-selected): New variables.
2672         (xref--mark-selected): New function.
2673         (xref--show-location): Maybe add the buffer to
2674         `xref--temporary-buffers', add `xref--mark-selected' to
2675         `buffer-list-update-hook' there.
2676         (xref--window): Add docstring.
2677         (xref-quit): Rename from `xref--quit'.  Update both references.
2678         Add KILL argument.  When it's non-nil, kill the temporary buffers
2679         that haven't been selected by the user.
2680         (xref--show-xref-buffer): Change the second argument to alist,
2681         extract the values for `xref--window' and
2682         `xref--temporary-buffers' from it.  Add `xref--mark-selected' to
2683         `buffer-list-update-hook' to each buffer in the list.
2684         (xref--show-xrefs): Move the logic of calling `xref-find-function'
2685         here.  Save the difference between buffer lists before and after
2686         it's called as "temporary buffers", and `pass it to
2687         `xref-show-xrefs-function'.
2688         (xref--find-definitions, xref-find-references)
2689         (xref-find-apropos): Update accordingly.
2691 2015-01-20  Artur Malabarba  <bruce.connor.am@gmail.com>
2693         * emacs-lisp/package.el (package-dir-info): Fix `while' logic.
2695 2015-01-20  Stefan Monnier  <monnier@iro.umontreal.ca>
2697         * emacs-lisp/eieio-generic.el: Remove.
2698         (defgeneric, defmethod): Move to eieio-compat.el.  Mark obsolete.
2699         * emacs-lisp/eieio-compat.el: New file.
2700         * emacs-lisp/eieio.el: Don't require eieio-generic any more.
2701         * emacs-lisp/eieio-core.el (eieio--slot-originating-class-p):
2702         Remove unused function.
2703         (eieio-defclass): Move to eieio-compat.el.
2704         * emacs-lisp/macroexp.el (macroexp-macroexpand): New function.
2705         (macroexp--expand-all): Use it.
2706         * emacs-lisp/bytecomp.el (byte-compile-recurse-toplevel): Here too.
2708 2015-01-20  Michal Nazarewicz  <mina86@mina86.com>
2710         * emacs-lisp/eldoc.el (eldoc-documentation-function): Describe how
2711         major modes should use `add-function' to alter value of the variable.
2712         * hexl.el (hexl-mode):
2713         * ielm.el (inferior-emacs-lisp-mode):
2714         * progmodes/cfengine.el (cfengine3-mode):
2715         * progmodes/elisp-mode.el (emacs-lisp-mode):
2716         * progmodes/octave.el (octave-mode):
2717         * progmodes/python.el (python-mode):
2718         * simple.el (read--expression): Set `eldoc-documentation-function'
2719         using `add-function' so the default value is always used.
2721         * descr-text.el (describe-char-eldoc): New function returning
2722         basic Unicode codepoint information (e.g. name) about character
2723         at point.  It is meant to be used as a default value of the
2724         `eldoc-documentation-function' variable.
2725         (describe-char-eldoc--format, describe-char-eldoc--truncate):
2726         New helper functions for `describe-char-eldoc' function.
2728 2015-01-20  Michal Nazarewicz  <mina86@mina86.com>
2730         * textmodes/paragraphs.el (sentence-end-base): Include an
2731         ellipsis (…) and interrobang (‽) characters as end of a sentence,
2732         and a closing single quote (’) as an end of a quote.
2734 2015-01-20  Michal Nazarewicz  <mina86@mina86.com>
2736         * textmodes/tildify.el (tildify-double-space-undos): A new
2737         variable specifying whether pressing space in `tildify-mode' after
2738         a space has been replaced with hard space undos the substitution.
2739         (tildify-space): Add code branch for handling `tildify-doule-space'.
2741         * textmodes/tildify.el (tildify-space): A new function
2742         which can be used as a `post-self-insert-hook' to automatically
2743         convert spaces into hard spaces.
2744         (tildify-space-pattern): A new variable specifying pattern where
2745         `tildify-space' should take effect.
2746         (tildify-space-predicates): A new variable specifying list of
2747         predicate functions that all must return non-nil for
2748         `tildify-space' to take effect.
2749         (tildify-space-region-predicate): A new functions meant to be
2750         used as a predicate in `tildify-space-predicates' list.
2751         (tildify-mode): A new minor mode enabling `tildify-space' as a
2752         `post-self-insert-hook'
2754 2015-01-20  Daniel Colascione  <dancol@dancol.org>
2756         * vc/vc-dir.el (vc-dir): Default to repository root, not
2757         default-directory.
2759 2015-01-20  Dmitry Gutov  <dgutov@yandex.ru>
2761         * progmodes/etags.el (xref-etags-location): New class.
2762         (xref-make-etags-location): New function.
2763         (etags--xref-find-definitions): Use it.
2764         (xref-location-marker): New method implementation.
2766         * progmodes/xref.el: Mention that xref-location is an EIEIO class.
2767         (xref--insert-xrefs): Expand help-echo string.
2769 2015-01-19  Dmitry Gutov  <dgutov@yandex.ru>
2771         * ido.el: Update Customization instructions.
2773 2015-01-19  Jonas Bernoulli  <jonas@bernoul.li>
2775         Define Ido keymaps once (bug#17000).
2776         * ido.el (ido-common-completion-map)
2777         (ido-file-dir-completion-map)
2778         (ido-file-completion-map, ido-buffer-completion-map): Set up key
2779         bindings when each variable is defined.
2780         (ido-completion-map): Move definition.
2781         (ido-init-completion-maps): Noop.
2782         (ido-common-initialization): Don't call it.
2783         (ido-setup-completion-map): Improve doc-string, cleanup.
2785 2015-01-19  Ivan Shmakov  <ivan@siamics.net>
2787         * cus-dep.el (custom-make-dependencies): Ensure that
2788         default-directory is interpreted as a directory (see bug#19140.)
2790 2015-01-19  Dmitry Gutov  <dgutov@yandex.ru>
2792         * progmodes/xref.el (xref--display-position):
2793         Set `other-window-scroll-buffer'.
2794         (xref-goto-xref): Use `user-error'.
2796 2015-01-19  Dmitry Gutov  <dgutov@yandex.ru>
2798         * progmodes/xref.el (xref--display-history): New variable.
2799         (xref--window-configuration): Remove.
2800         (xref--save-to-history): New function.
2801         (xref--display-position): Use it.  Add new argument.
2802         (xref--restore-window-configuration): Remove.
2803         (xref--show-location, xref-show-location-at-point):
2804         Update accordingly.
2805         (xref--xref-buffer-mode): Don't use `pre-command-hook'.
2806         (xref--quit): New command.
2807         (xref-goto-xref): Use it.
2808         (xref--xref-buffer-mode-map): Bind `q' to it.
2810 2015-01-18  Dmitry Gutov  <dgutov@yandex.ru>
2812         * progmodes/xref.el (xref-goto-xref): Perform the jump even inside
2813         indentation or at eol.
2815 2015-01-18  Stefan Monnier  <monnier@iro.umontreal.ca>
2817         * emacs-lisp/eieio-core.el: Add `subclass' specializer for cl-generic.
2818         (eieio--generic-subclass-tagcode, eieio--generic-subclass-tag-types):
2819         New functions.
2820         (cl-generic-tagcode-function, cl-generic-tag-types-function): Use them.
2822         * emacs-lisp/eieio.el (defclass): Add obsolescence warning for the
2823         `newname' argument.
2825         * emacs-lisp/cl-generic.el (cl-generic-define-method): Correctly handle
2826         introduction of a new dispatch argument.
2827         (cl--generic-cache-miss): Handle dispatch on an argument which was not
2828         considered as dispatchable for this method.
2829         (cl-defmethod): Warn when adding a method to an obsolete generic function.
2830         (cl--generic-lambda): Make sure it works if cl-lib is not yet loaded.
2832         * emacs-lisp/eieio-generic.el (eieio--defgeneric-init-form): Use autoloadp.
2834 2015-01-18  Artur Malabarba  <bruce.connor.am@gmail.com>
2836         * emacs-lisp/package.el (package--append-to-alist): Rename from
2837         `package--add-to-alist'
2838         Updated docstring due to new name.
2840 2015-01-18  Leo Liu  <sdl.web@gmail.com>
2842         * emacs-lisp/cl-extra.el (cl-subseq): Use seq-subseq and fix
2843         multiple evaluation.  (Bug#19519)
2845         * emacs-lisp/seq.el (seq-subseq): Throw bad bounding indices
2846         error.  (Bug#19434)
2848 2015-01-18  Stefan Monnier  <monnier@iro.umontreal.ca>
2850         * emacs-lisp/eieio-core.el: Add `subclass' specializer for cl-generic.
2851         (eieio--generic-subclass-tagcode, eieio--generic-subclass-tag-types):
2852         New functions.
2853         (cl-generic-tagcode-function, cl-generic-tag-types-function): Use them.
2855         * emacs-lisp/cl-macs.el (cl-defstruct): Minor optimization when include
2856         or print is nil.
2857         (cl-struct-type-p): New function.
2859         * emacs-lisp/cl-generic.el: Add support for cl-next-method-p.
2860         (cl-defmethod): Add edebug spec.
2861         (cl--generic-build-combined-method): Fix call to
2862         cl-no-applicable-method.
2863         (cl--generic-nnm-sample, cl--generic-cnm-sample): New constant.
2864         (cl--generic-isnot-nnm-p): New function.
2865         (cl--generic-lambda): Use it to add support for cl-next-method-p.
2866         (cl-no-next-method, cl-no-applicable-method): Simplify arg list.
2867         (cl-next-method-p): New function.
2869 2015-01-17  Ulrich Müller  <ulm@gentoo.org>
2871         * version.el (emacs-repository-get-version): Update docstring.
2873 2015-01-17  Ivan Shmakov  <ivan@siamics.net>
2875         * files.el (find-file-other-window, find-file-other-frame):
2876         Use mapc instead of mapcar.  (Bug#18175)
2878         * files.el (dir-locals-collect-variables): Use default-directory
2879         in place of the file name while working on non-file buffers, just
2880         like hack-dir-local-variables already does.  (Bug#19140)
2882         * textmodes/enriched.el (enriched-encode):
2883         Use inhibit-point-motion-hooks in addition to inhibit-read-only.
2884         (Bug#18246)
2886         * desktop.el (desktop-read): Do not call desktop-clear when no
2887         desktop file is found.  (Bug#18371)
2889         * misearch.el (multi-isearch-unload-function): New function.
2890         (misearch-unload-function): New alias.  (Bug#19566)
2892 2015-01-17  Stefan Monnier  <monnier@iro.umontreal.ca>
2894         * emacs-lisp/eieio-core.el (eieio--class-constructor): Rename from
2895         class-constructor, and make it an alias for `identity'.
2896         Update all callers.
2898         * emacs-lisp/eieio.el (eieio-constructor): Handle obsolete object name
2899         argument here (bug#19620)...
2900         (defclass): ...instead of in the constructor here.
2902 2015-01-16  Jorgen Schaefer  <contact@jorgenschaefer.de>
2904         * emacs-lisp/package.el (package-archive-priorities):
2905         Specify correct type.
2907 2015-01-17  Ulrich Müller  <ulm@gentoo.org>
2909         * version.el (emacs-bzr-version-dirstate, emacs-bzr-version-bzr):
2910         Remove.
2911         (emacs-repository-get-version): Discard the Bazaar case.
2912         * vc/vc-bzr.el (vc-bzr-version-dirstate): Rename from
2913         emacs-bzr-version-dirstate and move from version.el to here.
2914         (vc-bzr-working-revision): Use it.
2916 2015-01-17  Stefan Monnier  <monnier@iro.umontreal.ca>
2918         * emacs-lisp/eieio-generic.el (call-next-method): Don't bother checking
2919         eieio--scoped-class any more.
2921         * emacs-lisp/eieio-core.el (eieio--scoped-class-stack): Remove var.
2922         (eieio--scoped-class): Remove function.
2923         (eieio--with-scoped-class): Remove macro.  Replace uses with `progn'.
2924         (eieio--slot-name-index): Don't check the :protection anymore.
2925         (eieio-initializing-object): Remove var.
2926         (eieio-set-defaults): Don't let-bind eieio-initializing-object.
2928 2015-01-17  Stefan Monnier  <monnier@iro.umontreal.ca>
2930         Improve handling of doc-strings and describe-function for cl-generic.
2932         * help-mode.el (help-function-def): Add optional arg `type'.
2934         * help-fns.el (find-lisp-object-file-name): Accept any `type' as long
2935         as it's a symbol.
2936         (help-fns-short-filename): New function.
2937         (describe-function-1): Use it.  Use autoload-do-load.
2939         * emacs-lisp/find-func.el: Use lexical-binding.
2940         (find-function-regexp): Don't rule out `defgeneric'.
2941         (find-function-regexp-alist): Document new possibility of including
2942         a function instead of a regexp.
2943         (find-function-search-for-symbol): Implement that new possibility.
2944         (find-function-library): Don't assume that `function' is a symbol.
2945         (find-function-do-it): Remove unused var `orig-buf'.
2947         * emacs-lisp/eieio-generic.el (eieio--defalias): Move from eieio-core.
2948         (eieio--defgeneric-init-form): Don't throw away a previous docstring.
2949         (eieio--method-optimize-primary): Don't mess with the docstring.
2950         (defgeneric): Keep the `args' in the docstring.
2951         (defmethod): Don't use the method's docstring for the generic
2952         function's docstring.
2954         * emacs-lisp/eieio-core.el (eieio--defalias): Move to eieio-generic.el.
2955         (eieio-defclass-autoload): Don't record the superclasses any more.
2956         (eieio-defclass-internal): Reuse the old class object if it was just an
2957         autoload stub.
2958         (eieio--class-precedence-list): Load the class if it's autoloaded.
2960         * emacs-lisp/cl-generic.el (cl-generic-ensure-function): It's OK to
2961         override an autoload.
2962         (cl-generic-current-method-specializers): Replace dyn-bind variable
2963         with a lexically-scoped macro.
2964         (cl--generic-lambda): Update accordingly.
2965         (cl-generic-define-method): Record manually in the load-history with
2966         type `cl-defmethod'.
2967         (cl--generic-get-dispatcher): Minor optimization.
2968         (cl--generic-search-method): New function.
2969         (find-function-regexp-alist): Add entry for `cl-defmethod' type.
2970         (cl--generic-search-method): Add hyperlinks for methods.  Merge the
2971         specializers and the function's arguments.
2973 2015-01-16  Artur Malabarba  <bruce.connor.am@gmail.com>
2975         * emacs-lisp/package.el (package--read-pkg-desc):
2976         New function. Read a `define-package' form in current buffer.
2977         Return the pkg-desc, with desc-kind set to KIND.
2978         (package-dir-info): New function. Find package information for a
2979         directory. The return result is a `package-desc'.
2980         (package-install-from-buffer): Install packages from dired buffer.
2981         (package-install-file): Install packages from directory.
2982         (package-desc-suffix)
2983         (package-install-from-archive)
2984         * emacs-lisp/package-x.el (package-upload-buffer-internal):
2985         Ensure all remaining instances of `package-desc-kind' handle the 'dir
2986         value.
2988 2015-01-16  Jorgen Schaefer  <contact@jorgenschaefer.de>
2990         * emacs-lisp/package.el: Provide repository priorities.
2991         (package-archive-priorities): New variable.
2992         (package--add-to-alist): New function.
2993         (package--add-to-archive-contents): Use it.
2994         (package-menu--find-upgrades): Use it as well. Small clean up to
2995         make the use of the package name here explicit.
2996         (package-archive-priority): New function.
2997         (package-desc-priority-version): New function.
2999 2015-01-16  Daniel Colascione  <dancol@dancol.org>
3001         * cus-start.el (all): Make `ring-bell-function' customizable.
3003 2015-01-16  Dmitry Gutov  <dgutov@yandex.ru>
3005         * vc/vc-svn.el (vc-svn-dir-status-files): Pass t as
3006         vc-svn-after-dir-status's second argument.  (Bug#19429)
3008 2015-01-16  Samer Masterson  <samer@samertm.com>
3010         * pcomplete.el (pcomplete-parse-arguments): Parse arguments
3011         regardless of pcomplete-cycle-completions's value.  (Bug#18950)
3013 2015-01-16  Lars Magne Ingebrigtsen  <larsi@gnus.org>
3015         * dom.el (dom-strings): New function.
3017         * files.el (directory-files-recursively): Don't use the word
3018         "path" for a file name.
3020 2015-01-15  Wolfgang Jenkner  <wjenkner@inode.at>
3022         * calc/calc-units.el (math-units-in-expr-p)
3023         (math-single-units-in-expr-p, math-find-compatible-unit-rec)
3024         (math-extract-units): Handle the `neg' operator.  (Bug#19582)
3026 2015-01-15  Stefan Monnier  <monnier@iro.umontreal.ca>
3028         * emacs-lisp/cl-macs.el (cl--labels-magic): New constant.
3029         (cl--labels-convert): Use it to ask the macro what is its replacement
3030         in the #'f case.
3032         * emacs-lisp/cl-generic.el (cl--generic-build-combined-method):
3033         Return the value of the primary rather than the after method.
3035         * emacs-lisp/eieio-core.el: Provide support for cl-generic.
3036         (eieio--generic-tagcode): New function.
3037         (cl-generic-tagcode-function): Use it.
3038         (eieio--generic-tag-types): New function.
3039         (cl-generic-tag-types-function): Use it.
3040         (eieio-object-p): Tighten up the test.
3042         * emacs-lisp/cl-generic.el (cl-generic-define-method): Fix paren typo.
3044 2015-01-14  Stefan Monnier  <monnier@iro.umontreal.ca>
3046         * emacs-lisp/cl-generic.el: New file.
3048         * emacs-lisp/cl-macs.el (cl-flet): Allow (FUN EXP) forms.
3049         (cl-load-time-value, cl-labels): Use closures rather than
3050         backquoted lambdas.
3051         (cl-macrolet): Use `eval' to create the function value, and support CL
3052         style arguments in for the defined macros.
3054 2015-01-14  Stefan Monnier  <monnier@iro.umontreal.ca>
3056         * net/eww.el: Use lexical-binding.
3057         (eww-links-at-point): Remove unused arg.
3058         (eww-mode-map): Inherit from special-mode-map.
3059         (eww-mode): Derive from special-mode.  Don't use `setq' on a hook.
3061 2015-01-13  Alan Mackenzie  <acm@muc.de>
3063         Allow compilation during loading of CC Mode-derived modes (bug#19206).
3064         * progmodes/cc-bytecomp.el (cc-bytecomp-compiling-or-loading):
3065         New function which walks the stack to discover whether we're compiling
3066         or loading.
3067         (cc-bytecomp-is-compiling): Reformulate, and move towards beginning.
3068         (cc-bytecomp-is-loading): New defsubst.
3069         (cc-bytecomp-setup-environment, cc-bytecomp-restore-environment):
3070         Use the above defsubsts.
3071         (cc-require-when-compile, cc-bytecomp-defvar)
3072         (cc-bytecomp-defun): Simplify conditionals.
3073         * progmodes/cc-defs.el (cc-bytecomp-compiling-or-loading):
3074         "Borrow" this function from cc-bytecomp.el.
3075         (c-get-current-file): Reformulate using the above.
3076         (c-lang-defconst): Prevent duplicate entries of file names in a
3077         symbol's 'source property.
3078         (c-lang-const): Use cc-bytecomp-is-compiling.
3079         * progmodes/cc-langs.el (c-make-init-lang-vars-fun):
3080         Use cc-bytecomp-is-compiling.
3082 2015-01-13  Stefan Monnier  <monnier@iro.umontreal.ca>
3084         * emacs-lisp/eieio-core.el (eieio-defclass): Fix call to `defclass'
3085         (bug#19552).
3087 2015-01-13  Dmitry Gutov  <dgutov@yandex.ru>
3089         * menu-bar.el (menu-bar-goto-menu): Before calling
3090         `xref-marker-stack-empty-p', first check that `xref' is loaded.
3091         (Bug#19554)
3093 2015-01-12  Martin Rudalics  <rudalics@gmx.at>
3095         * progmodes/xref.el (xref-marker-stack-empty-p): Add autoload
3096         cookie (Bug#19554).
3098         * frame.el (frame-notice-user-settings): Remove code dealing with
3099         frame-initial-frame-tool-bar-height.  Turn off `tool-bar-mode'
3100         only if `window-system-frame-alist' or `default-frame-alist' ask
3101         for it.
3102         (make-frame): Update frame-adjust-size-history if needed.
3104 2015-01-12  Paul Eggert  <eggert@cs.ucla.edu>
3106         Have 'make' output better GEN names
3107         * Makefile.in (PHONY_EXTRAS): New macro.
3108         (.PHONY): Depend on it, and on $(lisp)/loaddefs.el, so that the
3109         relevant files' time stamps are ignored.
3110         (custom-deps, $(lisp)/cus-load.el, finder-data)
3111         ($(lisp)/finder-inf.el): Use PHONY_EXTRAS.
3112         (custom-deps, $(lisp)/cus-load.el, finder-data)
3113         ($(lisp)/finder-inf.el, autoloads, $(lisp)/loaddefs.el)
3114         ($(lisp)/subdirs.el, update-subdirs):
3115         Output more-accurate destination names with GEN.
3117         Say "ELC foo.elc" instead of "GEN foo.elc"
3118         * Makefile.in (AM_V_ELC, am__v_ELC_, am__v_ELC_0, am__v_ELC_1):
3119         New macros.
3120         ($(THEFILE)c, .el.elc): Use them.
3122 2015-01-11  Michael Albinus  <michael.albinus@gmx.de>
3124         * files.el (directory-files-recursively): Do not include
3125         superfluous remote file names.
3127 2015-01-11  Lars Magne Ingebrigtsen  <larsi@gnus.org>
3129         * net/eww.el (eww): Interpret anything that looks like a protocol
3130         designator as a full URL.
3132 2015-01-10  Lars Magne Ingebrigtsen  <larsi@gnus.org>
3134         * net/shr.el (shr-urlify): Don't bother the user about
3135         invalidly-encoded display strings.
3137 2015-01-10  Ivan Shmakov  <ivan@siamics.net>
3139         * net/shr.el (shr-urlify): Decode URLs before using them as titles
3140         (bug#19555).
3142 2015-01-10  Lars Magne Ingebrigtsen  <larsi@gnus.org>
3144         * net/eww.el (eww): Always interpret URLs that start with https?:
3145         as plain URLs, even if they have spaces in them (bug#19556).
3146         (eww): Also interpret things like "en.wikipedia.org/wiki/Free
3147         software" as an URL.
3148         (eww): Don't interpret "org/foo" as an URL.
3149         (eww): Clear the title when loading so that we don't display
3150         misleading information.
3152 2015-01-10  Daniel Colascione  <dancol@dancol.org>
3154         * vc/vc-hooks.el (vc-prefix-map): Bind vc-delete-file to C-x v x,
3155         by analogy with dired.
3157 2015-01-09  Daniel Colascione  <dancol@dancol.org>
3159         * progmodes/js.el (js--function-heading-1-re)
3160         (js--function-prologue-beginning): Parse ES6 generator function
3161         declarations.  (That is, "function* name()").
3163 2015-01-08  Stefan Monnier  <monnier@iro.umontreal.ca>
3165         * emacs-lisp/eieio.el (defclass): Move from eieio-defclass all the code
3166         that creates functions, and most of the sanity checks.
3167         Mark as obsolete the <class>-child-p function.
3168         * emacs-lisp/eieio-core.el (eieio--define-field-accessors): Remove.
3169         (eieio--class, eieio--object): Use cl-defstruct.
3170         (eieio--object-num-slots): Define manually.
3171         (eieio-defclass-autoload): Use eieio--class-make.
3172         (eieio-defclass-internal): Rename from eieio-defclass.  Move all the
3173         `(lambda...) definitions and most of the sanity checks to `defclass'.
3174         Mark as obsolete the <class>-list-p function, the <class> variable and
3175         the <initarg> variables.  Use pcase-dolist.
3176         (eieio-defclass): New compatibility function.
3177         * emacs-lisp/eieio-opt.el (eieio-build-class-alist)
3178         (eieio-class-speedbar): Don't use eieio-default-superclass var.
3180 2015-01-08  Stefan Monnier  <monnier@iro.umontreal.ca>
3182         * emacs-lisp/eieio-generic.el: New file.
3183         * emacs-lisp/eieio-core.el: Move all generic function code to
3184         eieio-generic.el.
3185         (eieio--defmethod): Declare.
3187         * emacs-lisp/eieio.el: Require eieio-generic.  Move all generic
3188         function code to eieio-generic.el.
3189         * emacs-lisp/eieio-opt.el (eieio-help-generic): Move to
3190         eieio-generic.el.
3191         * emacs-lisp/eieio-datadebug.el (eieio-debug-methodinvoke): Update call
3192         to eieio--generic-call.
3193         * emacs-lisp/eieio-base.el (eieio-instance-inheritor): Don't use
3194         <class>-child type.
3196 2015-01-08  Stefan Monnier  <monnier@iro.umontreal.ca>
3198         * emacs-lisp/chart.el (chart-add-sequence, chart-bar-quickie):
3199         Don't use <class> as a variable.
3201         * emacs-lisp/eieio.el (same-class-p): Accept class object as well.
3202         (call-next-method): Simplify.
3203         (clone): Obey eieio-backward-compatibility.
3205         * emacs-lisp/eieio-opt.el (eieio-read-generic-p): Remove.
3206         (eieio-read-generic): Use `generic-p' instead.
3208         * emacs-lisp/eieio-core.el (eieio-backward-compatibility): New var.
3209         (eieio-defclass-autoload): Obey it.
3210         (eieio--class-object): Improve error behavior.
3211         (eieio-class-children-fast, same-class-fast-p): Remove.  Inline at
3212         every use site.
3213         (eieio--defgeneric-form-primary-only): Rename from
3214         eieio-defgeneric-form-primary-only; update all callers.
3215         (eieio--defgeneric-form-primary-only-one): Rename from
3216         eieio-defgeneric-form-primary-only-one; update all callers.
3217         (eieio-defgeneric-reset-generic-form)
3218         (eieio-defgeneric-reset-generic-form-primary-only)
3219         (eieio-defgeneric-reset-generic-form-primary-only-one): Remove.
3220         (eieio--method-optimize-primary): New function to replace them.
3221         (eieio--defmethod, eieio-defmethod): Use it.
3222         (eieio--perform-slot-validation): Rename from
3223         eieio-perform-slot-validation; update all callers.
3224         (eieio--validate-slot-value): Rename from eieio-validate-slot-value.
3225         Change `class' to be a class object.  Update all callers.
3226         (eieio--validate-class-slot-value): Rename from
3227         eieio-validate-class-slot-value.  Change `class' to be a class object.
3228         Update all callers.
3229         (eieio-oset-default): Accept class object as well.
3230         (eieio--generic-call-primary-only): Rename from
3231         eieio-generic-call-primary-only.  Update all callers.
3233         * emacs-lisp/eieio-base.el (eieio-persistent-validate/fix-slot-value):
3234         Improve error messages.
3235         (eieio-persistent-slot-type-is-class-p): Handle `list-of' types, as
3236         well as user-defined types.  Emit errors for legacy types like
3237         <class>-child and <class>-list, if not eieio-backward-compatibility.
3239 2015-01-08  Stefan Monnier  <monnier@iro.umontreal.ca>
3241         * emacs-lisp/eieio.el (eieio-class-parents): Accept class objects.
3242         (eieio--class-slot-initarg): Rename from class-slot-initarg.
3243         Change `class' arg to be a class object.  Update all callers.
3244         (call-next-method): Adjust to new return value of `eieio-generic-form'.
3245         (eieio-default-superclass): Set var to the class object.
3246         (eieio-edebug-prin1-to-string): Fix recursive call for lists.
3247         Change print behavior to affect class objects rather than
3248         class symbols.
3250         * emacs-lisp/eieio-core.el (eieio-class-object): New function.
3251         (eieio-class-parents-fast): Remove macro.
3252         (eieio--class-option-assoc): Rename from class-option-assoc.
3253         Update all callers.
3254         (eieio--class-option): Rename from class-option.  Change `class' arg to
3255         be a class object.  Update all callers.
3256         (eieio--class-method-invocation-order): Rename from
3257         class-method-invocation-order.  Change `class' arg to be a class
3258         object.  Update all callers.
3259         (eieio-defclass-autoload, eieio-defclass): Set the `parent' field to
3260         a list of class objects rather than names.
3261         (eieio-defclass): Remove redundant quotes.  Use `eieio-oref-default'
3262         for accessors to class allocated slots.
3263         (eieio--perform-slot-validation-for-default): Rename from
3264         eieio-perform-slot-validation-for-default.  Update all callers.
3265         (eieio--add-new-slot): Rename from eieio-add-new-slot.
3266         Update all callers.  Use push.
3267         (eieio-copy-parents-into-subclass): Adjust to new content of
3268         `parent' field.  Use dolist.
3269         (eieio-oref): Remove support for providing a class rather than
3270         an object.
3271         (eieio-oref-default): Prefer class objects over class names.
3272         (eieio--slot-originating-class-p): Rename from
3273         eieio-slot-originating-class-p.  Update all callers.  Use `or'.
3274         (eieio--slot-name-index): Turn check into assertion.
3275         (eieio--class-slot-name-index): Rename from
3276         eieio-class-slot-name-index.  Change `class' arg to be a class object.
3277         Update all callers.
3278         (eieio-attribute-to-initarg): Move to eieio-test-persist.el.
3279         (eieio--c3-candidate): Rename from eieio-c3-candidate.
3280         Update all callers.
3281         (eieio--c3-merge-lists): Rename from eieio-c3-merge-lists.
3282         Update all callers.
3283         (eieio--class-precedence-c3): Rename from eieio-class-precedence-c3.
3284         Update all callers.
3285         (eieio--class-precedence-dfs): Rename from eieio-class-precedence-dfs.
3286         Update all callers.
3287         (eieio--class-precedence-bfs): Rename from eieio-class-precedence-bfs.
3288         Update all callers.  Adjust to new `parent' content.
3289         (eieio--class-precedence-list): Rename from -class-precedence-list.
3290         Update all callers.
3291         (eieio-generic-call): Use autoloadp and autoload-do-load.
3292         Slight simplification.
3293         (eieio-generic-call, eieio-generic-call-primary-only): Adjust to new
3294         return value of `eieio-generic-form'.
3295         (eieiomt-add): Index the hashtable with class objects rather than
3296         class names.
3297         (eieio-generic-form): Accept class objects as well.
3299         * emacs-lisp/eieio-base.el (eieio-persistent-convert-list-to-object):
3300         Adjust to new convention for eieio-persistent-validate/fix-slot-value.
3301         (eieio-persistent-validate/fix-slot-value):
3302         Change `class' arg to be a class object.  Update all callers.
3304 2015-01-08  Stefan Monnier  <monnier@iro.umontreal.ca>
3306         * emacs-lisp/eieio.el (child-of-class-p): Make it accept class objects
3307         additionally to class names.
3309         * emacs-lisp/eieio-core.el (eieio--with-scoped-class): Use let-binding.
3310         (object): Remove first (constant) slot; rename second to `class-tag'.
3311         (eieio--object-class-object, eieio--object-class-name): New funs
3312         to replace eieio--object-class.
3313         (eieio--class-object, eieio--class-p): New functions.
3314         (same-class-fast-p): Make it a defsubst, change its implementation
3315         to check the class objects rather than their names.
3316         (eieio-object-p): Rewrite.
3317         (eieio-defclass): Adjust the object initialization according to the new
3318         object layout.
3319         (eieio--scoped-class): Declare it returns a class object (not a class
3320         name any more).  Adjust calls accordingly (along with calls to
3321         eieio--with-scoped-class).
3322         (eieio--slot-name-index): Rename from eieio-slot-name-index and change
3323         its class arg to be a class object.  Adjust callers accordingly.
3324         (eieio-slot-originating-class-p): Make its start-class arg a class
3325         object.  Adjust all callers.
3326         (eieio--initarg-to-attribute): Rename from eieio-initarg-to-attribute.
3327         Make its `class' arg a class object.  Adjust all callers.
3329         * emacs-lisp/eieio-base.el (eieio-persistent-validate/fix-slot-value):
3330         Use eieio--slot-name-index rather than eieio-slot-name-index.
3332 2015-01-08  Stefan Monnier  <monnier@iro.umontreal.ca>
3334         * emacs-lisp/eieio.el (make-instance): Simplify by not adding an object
3335         name argument.
3336         (eieio-object-name): Use eieio-object-name-string.
3337         (eieio--object-names): New const.
3338         (eieio-object-name-string, eieio-object-set-name-string): Re-implement
3339         using a hashtable rather than a built-in slot.
3340         (eieio-constructor): Rename from `constructor'.  Remove `newname' arg.
3341         (clone): Don't mess with the object's "name".
3343         * emacs-lisp/eieio-custom.el (eieio-widget-test): Remove dummy arg.
3344         (eieio-object-value-get): Use eieio-object-set-name-string.
3346         * emacs-lisp/eieio-core.el (eieio--defalias): Follow aliases.
3347         (eieio--object): Remove `name' field.
3348         (eieio-defclass): Adjust to new convention where constructors don't
3349         take an "object name" any more.
3350         (eieio--defgeneric-init-form, eieio--defmethod): Follow aliases.
3351         (eieio-validate-slot-value, eieio-oset-default)
3352         (eieio-slot-name-index): Don't hardcode eieio--object-num-slots.
3353         (eieio-generic-call-primary-only): Simplify.
3355         * emacs-lisp/eieio-base.el (clone) <eieio-instance-inheritor>:
3356         Use call-next-method.
3357         (eieio-constructor): Rename from `constructor'.
3358         (eieio-persistent-convert-list-to-object): Drop objname.
3359         (eieio-persistent-validate/fix-slot-value): Don't hardcode
3360         eieio--object-num-slots.
3361         (eieio-named): Use a normal slot.
3362         (slot-missing) <eieio-named>: Remove.
3363         (eieio-object-name-string, eieio-object-set-name-string, clone)
3364         <eieio-named>: New methods.
3366 2015-01-08  Stefan Monnier  <monnier@iro.umontreal.ca>
3368         * emacs-lisp/eieio-core.el (eieio--class-v): Rename from class-v.
3369         (method-*): Add a "eieio--" prefix to those constants.
3371         * emacs-lisp/eieio.el: Move edebug specs to the corresponding macro.
3373         * emacs-lisp/eieio-speedbar.el: Use lexical-binding.
3375 2015-01-08  Stefan Monnier  <monnier@iro.umontreal.ca>
3377         * emacs-lisp/eieio.el (child-of-class-p): Fix case where `class' is
3378         `eieio-default-superclass'.
3380         * emacs-lisp/eieio-datadebug.el: Use lexical-binding.
3382         * emacs-lisp/eieio-custom.el: Use lexical-binding.
3383         (eieio-object-value-to-abstract): Simplify.
3385         * emacs-lisp/eieio-opt.el (eieio-build-class-list): Use cl-mapcan.
3386         (eieio-build-class-alist): Use dolist.
3387         (eieio-all-generic-functions): Adjust to use of hashtables.
3389         * emacs-lisp/eieio-core.el (class): Rename field symbol-obarray to
3390         symbol-hashtable.  It contains a hashtable instead of an obarray.
3391         (generic-p): Use symbol property `eieio-method-hashtable' instead of
3392         `eieio-method-obarray'.
3393         (generic-primary-only-p, generic-primary-only-one-p):
3394         Slight optimization.
3395         (eieio-defclass-autoload-map): Use a hashtable instead of an obarray.
3396         (eieio-defclass-autoload, eieio-defclass): Adjust/simplify accordingly.
3397         (eieio-class-un-autoload): Use autoload-do-load.
3398         (eieio-defclass): Use dolist, cl-pushnew, cl-callf.
3399         Use new cl-deftype-satisfies.  Adjust to use of hashtables.
3400         Don't hardcode the value of eieio--object-num-slots.
3401         (eieio-defgeneric-form-primary-only-one): Remove `doc-string' arg.
3402         Use a closure rather than a backquoted lambda.
3403         (eieio--defmethod): Adjust call accordingly.  Set doc-string via the
3404         function-documentation property.
3405         (eieio-slot-originating-class-p, eieio-slot-name-index)
3406         (eieiomt--optimizing-hashtable, eieiomt-install, eieiomt-add)
3407         (eieio-generic-form): Adjust to use of hashtables.
3408         (eieiomt--sym-optimize): Rename from eieiomt-sym-optimize; take
3409         additional class argument.
3410         (eieio-generic-call-methodname): Remove, unused.
3412         * emacs-lisp/eieio-base.el (eieio-persistent-slot-type-is-class-p):
3413         Prefer \' to $.
3415 2015-01-08  Eli Zaretskii  <eliz@gnu.org>
3417         * simple.el (line-move-visual): When converting X pixel coordinate
3418         to temporary-goal-column, adjust the value for right-to-left
3419         screen lines.  This fixes vertical-motion, next/prev-line, etc.
3421 2015-01-08  Glenn Morris  <rgm@gnu.org>
3423         * files.el (file-tree-walk): Remove; of unknown authorship.  (Bug#19325)
3425 2015-01-07  K. Handa  <handa@gnu.org>
3427         * international/ccl.el (define-ccl-program): Improve the docstring.
3429 2015-01-06  Sam Steingold  <sds@gnu.org>
3431         * shell.el (shell-display-buffer-actions): Remove,
3432         use `display-buffer-alist' instead.
3434 2015-01-05  Dmitry Gutov  <dgutov@yandex.ru>
3436         * progmodes/xref.el (xref--insert-xrefs): Add `help-echo' property
3437         to the references.
3439 2015-01-05  Stefan Monnier  <monnier@iro.umontreal.ca>
3441         * minibuffer.el (completion-category-defaults): New var.
3442         Set unicode-name to use substring completion.
3443         (completion-category-defaults): Set it to nil.
3445 2015-01-04  Dmitry Gutov  <dgutov@yandex.ru>
3447         Add mouse interaction to xref.
3448         * progmodes/xref.el (xref--button-map): New variable.
3449         (xref--mouse-2): New command.
3450         (xref--insert-xrefs): Add `mouse-face' and `keymap' properties to
3451         the inserted references.
3453 2015-01-04  Paul Eggert  <eggert@cs.ucla.edu>
3455         Less 'make' chatter for lisp dir
3456         * Makefile.in (THEFILE): Define to be 'no-such-file' by default,
3457         to make it clearer that the caller must specify it.
3458         (compile-onefile): Remove, replacing by ...
3459         ($(THEFILE)c): ... new rule.  This lets us use AM_V_GEN here.
3460         ($(THEFILE)c, .el.elc, $(MH_E_DIR)/mh-loaddefs.el)
3461         ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
3462         ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
3463         Use AM_V_GEN to lessen 'make' chatter.
3464         (.el.elc): Omit duplicate comment.
3466         Less 'make' chatter in batch mode
3467         * emacs-lisp/autoload.el (autoload-generate-file-autoloads):
3468         * emacs-lisp/bytecomp.el (byte-compile-file):
3469         * files.el (save-buffer, basic-save-buffer):
3470         * international/quail.el (quail-update-leim-list-file):
3471         Don't output messages like "Generating ..." in batch mode.
3473 2015-01-04  Dmitry Gutov  <dgutov@yandex.ru>
3475         Unbreak `mouse-action' property in text buttons.
3476         * button.el (push-button): Fix regression from 2012-12-06.
3478 2015-01-03  Dmitry Gutov  <dgutov@yandex.ru>
3480         * progmodes/xref.el (xref-marker-stack-empty-p): New function.
3482         * menu-bar.el (menu-bar-goto-menu): Use it.
3484 2015-01-03  Dmitry Gutov  <dgutov@yandex.ru>
3486         * progmodes/xref.el (xref--window-configuration): New variable.
3487         (xref-show-location-at-point): New command.
3488         (xref--restore-window-configuration): New function.
3489         (xref-next-line, xref-prev-line): Delegate to
3490         `xref-show-location-at-point'.
3491         (xref--location-at-point): Don't signal the error.
3492         (xref-goto-xref): Do that here instead.
3493         (xref--xref-buffer-mode): Add `xref--restore-window-configuration'
3494         to `pre-command-hook'.
3495         (xref--xref-buffer-mode-map): Don't remap `next-line' and
3496         `previous-line'.  Additionally bind `xref-next-line' and
3497         `xref-prev-line' to `n' and `p' respectively.
3498         Bind `xref-show-location-at-point' to `C-o'.
3500 2015-01-01  Eli Zaretskii  <eliz@gnu.org>
3502         * tool-bar.el (tool-bar-local-item)
3503         (tool-bar-local-item-from-menu): Call force-mode-line-update to
3504         make sure the tool-bar changes show on display.
3506 2015-01-01  Michael Albinus  <michael.albinus@gmx.de>
3508         Sync with Tramp 2.2.11.
3510         * net/tramp-compat.el (top): Require cl-macs for Emacs 22.
3511         Make an alias for `default-toplevel-value' if it doesn't exist.
3513         * net/tramp-smb.el (tramp-smb-handle-copy-directory):
3514         Use `tramp-compat-delete-directory'.
3516         * net/trampver.el: Update release number.
3518 2015-01-01  Filipp Gunbin  <fgunbin@fastmail.fm>
3520         * autorevert.el (auto-revert-handler): Fix auto-revert-tail-mode
3521         for remote files.  (Bug#19449)
3523 2015-01-01  Simen Heggestøyl  <simenheg@gmail.com>  (tiny change)
3525         * textmodes/css-mode.el (scss-mode): Fix typo (bug#19446).
3527 2014-12-31  Paul Eggert  <eggert@cs.ucla.edu>
3529         Less 'make' chatter in lisp directory
3530         * Makefile.in (AM_DEFAULT_VERBOSITY, AM_V_GEN, am__v_GEN_)
3531         (am__v_GEN_0, am__v_GEN_1): New macros, from ../src/Makefile.in.
3532         (custom-deps, finder-data, autoloads, update-subdirs): Use them.
3534 2014-12-31  Filipp Gunbin  <fgunbin@fastmail.fm>
3536         * info.el (info-display-manual): Limit the completion alternatives
3537         to currently visited manuals if prefix argument is non-nil.
3539 2014-12-30  Paul Eggert  <eggert@cs.ucla.edu>
3541         * Makefile.in (semantic): Simplify.
3543 2014-12-30  Juri Linkov  <juri@linkov.net>
3545         * net/eww.el (eww-isearch-next-buffer): New function.
3546         (eww-mode): Set multi-isearch-next-buffer-function to it.
3548 2014-12-30  Dmitry Gutov  <dgutov@yandex.ru>
3550         * progmodes/xref.el (xref-find-definitions): Mention "no
3551         identifier at point" case in the docstring.
3553         * menu-bar.el (menu-bar-goto-uses-etags-p): New function.
3554         (menu-bar-goto-menu): Use it to show or hide the `set-tags-name'
3555         and `separator-tag-file' items.
3557 2014-12-29  Paul Eggert  <eggert@cs.ucla.edu>
3559         * obsolete/pc-select.el (pc-selection-mode): Use system-type.
3560         This is instead of system-name, which is both wrong here and obsolete.
3561         * desktop.el (desktop-save-frameset):
3562         * dnd.el (dnd-get-local-file-uri):
3563         * nxml/rng-uri.el (rng-uri-file-name-1):
3564         Prefer (system-name) to system-name, and avoid naming
3565         locals 'system-name'.
3566         * startup.el (system-name): Now an obsolete variable.  (Bug#19438)
3568 2014-12-29  Dmitry Gutov  <dgutov@yandex.ru>
3570         * menu-bar.el (menu-bar-next-tag-other-window)
3571         (menu-bar-next-tag): Remove.
3573 2014-12-29  K. Handa  <handa@gnu.org>
3575         * international/mule.el (make-translation-table-from-alist):
3576         Accept nil or zero-length vector for FROM and TO.
3578 2014-12-29  Lars Ingebrigtsen  <larsi@gnus.org>
3580         * net/eww.el (eww-mode): Truncate overlong lines for prettier
3581         display when resizing.
3583         * net/shr.el (shr-width): Default to using the window width when
3584         rendering.
3586 2014-12-29  Dmitry Gutov  <dgutov@yandex.ru>
3588         Unbreak jumping to an alias's definition.
3589         * emacs-lisp/find-func.el (find-function-library): Return a pair
3590         (ORIG-FUNCTION . LIBRARY) instead of just its second element.
3591         (find-function-noselect): Use it.
3592         * progmodes/elisp-mode.el (elisp--xref-identifier-file): Rename to
3593         `elisp--xref-identifier-location', incorporate logic from
3594         `elisp--xref-find-definitions', use the changed
3595         `find-function-library' return value.
3597 2014-12-29  Juri Linkov  <juri@linkov.net>
3599         * comint.el (comint-history-isearch-message): Use field-beginning
3600         instead of comint-line-beginning-position - that's more fixes for
3601         http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00305.html
3602         (comint-history-isearch-message): Fix args of isearch-message-prefix.
3604 2014-12-29  Juri Linkov  <juri@linkov.net>
3606         * vc/vc-dir.el (vc-dir-display-file): New command (bug#19450).
3607         (vc-dir-mode-map): Bind it to "\C-o".
3608         (vc-dir-menu-map): Add it to menu.
3610 2014-12-29  Dmitry Gutov  <dgutov@yandex.ru>
3612         * progmodes/etags.el (find-tag-other-window)
3613         (find-tag-other-frame, find-tag-regexp, tags-loop-continue)
3614         (tags-apropos): Declare obsolete.
3616         * menu-bar.el (menu-bar-goto-menu): Replace all but one etags item
3617         with xref ones.
3619 2014-12-28  Eli Zaretskii  <eliz@gnu.org>
3621         * international/mule.el (define-coding-system): Fix typos in the
3622         doc string.
3624 2014-12-28  Kenichi Handa  <handa@gnu.org>
3626         * international/mule.el (define-coding-system): Improve the doc
3627         string.
3629 2014-12-28  Ivan Shmakov  <ivan@siamics.net>
3631         * net/shr.el (shr-tag-table): Fix handling of tbody/header/footer
3632         elements in tables (bug#19444).
3634         * net/eww.el (eww-handle-link): Fix typo in "up" rel handling
3635         (bug#19445).
3637 2014-12-28  Juri Linkov  <juri@linkov.net>
3639         * vc/compare-w.el: Require diff-mode for diff faces.
3640         (compare-windows-removed, compare-windows-added): New faces
3641         inheriting from diff faces.
3642         (compare-windows): Define obsolete face alias.
3643         (compare-windows-highlight): Replace face `compare-windows' with
3644         new faces `compare-windows-added' and `compare-windows-removed'
3645         (bug#19451).
3646         (compare-windows-get-recent-window): Signal an error when
3647         no other window is found (bug#19170).
3649 2014-12-27  Dmitry Gutov  <dgutov@yandex.ru>
3651         * progmodes/elisp-mode.el (elisp--xref-identifier-file):
3652         Skip features that have no sources.
3654         * simple.el (execute-extended-command):
3655         When `suggest-key-bindings' is nil, don't.
3657 2014-12-27  Fabián Ezequiel Gallina  <fgallina@gnu.org>
3659         python.el: Native readline completion.
3660         * progmodes/python.el (python-shell-completion-native-disabled-interpreters)
3661         (python-shell-completion-native-enable)
3662         (python-shell-completion-native-output-timeout): New defcustoms.
3663         (python-shell-completion-native-interpreter-disabled-p)
3664         (python-shell-completion-native-try)
3665         (python-shell-completion-native-setup)
3666         (python-shell-completion-native-turn-off)
3667         (python-shell-completion-native-turn-on)
3668         (python-shell-completion-native-turn-on-maybe)
3669         (python-shell-completion-native-turn-on-maybe-with-msg)
3670         (python-shell-completion-native-toggle): New functions.
3671         (python-shell-completion-native-get-completions): New function.
3672         (python-shell-completion-at-point): Use it.
3674 2014-12-27  Fabián Ezequiel Gallina  <fgallina@gnu.org>
3676         python.el: Enhance shell user interaction and deprecate
3677         python-shell-get-or-create-process.
3678         * progmodes/python.el (python-shell-get-process-or-error):
3679         New function.
3680         (python-shell-with-shell-buffer): Use it.
3681         (python-shell-send-string, python-shell-send-region)
3682         (python-shell-send-buffer, python-shell-send-defun)
3683         (python-shell-send-file, python-shell-switch-to-shell): Use it.
3684         Add argument MSG to display user-friendly message when no process
3685         is running.
3686         (python-shell-switch-to-shell): Call pop-to-buffer with NORECORD.
3687         (python-shell-make-comint): Rename argument SHOW from POP.
3688         Use display-buffer instead of pop-to-buffer.
3689         (run-python): Doc fix.  Return process.
3690         (python-shell-get-or-create-process): Make obsolete.
3692 2014-12-27  Fabián Ezequiel Gallina  <fgallina@gnu.org>
3694         * progmodes/python.el (python-shell-buffer-substring):
3695         Handle cornercase when region sent starts at point-min.
3697 2014-12-27  Eli Zaretskii  <eliz@gnu.org>
3699         * language/misc-lang.el (composition-function-table): Add Syriac
3700         characters and also ZWJ/ZWNJ.
3701         See http://lists.gnu.org/archive/html/help-gnu-emacs/2014-12/msg00248.html
3702         for the details.
3704 2014-12-27  Fabián Ezequiel Gallina  <fgallina@gnu.org>
3706         python.el: Fix message when sending region.
3707         * progmodes/python.el (python-shell-send-region): Rename argument
3708         send-main from nomain.  Fix message.
3709         (python-shell-send-buffer): Rename argument send-main from arg.
3711         python.el: Cleanup temp files even with eval errors.
3712         * progmodes/python.el (python-shell-send-file): Make file-name
3713         mandatory.  Fix temp file removal in the majority of cases.
3715         python.el: Handle file encoding for shell.
3716         * progmodes/python.el (python-rx-constituents): Add coding-cookie.
3717         (python-shell--save-temp-file): Write file with proper encoding.
3718         (python-shell-buffer-substring): Add coding cookie for detected
3719         encoding to generated content.  Fix blank lines when removing
3720         if-name-main block.
3721         (python-shell-send-file): Handle file encoding.
3722         (python-info-encoding-from-cookie)
3723         (python-info-encoding): New functions.
3725 2014-12-27  Michael Albinus  <michael.albinus@gmx.de>
3727         * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
3728         Use `tramp-rsh-end-of-line', it ought to be more robust.
3730 2014-12-27  Stefan Monnier  <monnier@iro.umontreal.ca>
3732         * progmodes/js.el (js-syntax-propertize): "return" can't be divided
3733         (bug#19397).
3735 2014-12-27  Michael Albinus  <michael.albinus@gmx.de>
3737         * net/tramp.el (tramp-read-passwd): Ignore errors from `auth-source-*'.
3739         * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band): Use "\n"
3740         as end-of-line delimiter for passwords, when running on MS Windows.
3742 2014-12-27  Stefan Monnier  <monnier@iro.umontreal.ca>
3744         * progmodes/sh-script.el (sh-set-shell): Don't change the global value
3745         of indent-line-function (bug#19433).
3747 2014-12-27  Fabián Ezequiel Gallina  <fgallina@gnu.org>
3749         Fix line numbers on Python shell.
3750         * progmodes/python.el (python-shell--save-temp-file): Do not
3751         append coding cookie.
3752         (python-shell-send-string): Generalize for
3753         python-shell-send-region.
3754         (python--use-fake-loc): Delete var.
3755         (python-shell-buffer-substring): Cleanup fake-loc logic.
3756         (python-shell-send-region): Remove fake-loc logic, simplify.
3758 2014-12-27  Fabián Ezequiel Gallina  <fgallina@gnu.org>
3760         * progmodes/python.el (python-indent-post-self-insert-function):
3761         Make colon to re-indent only for dedenters, handling
3762         multiline-statements gracefully.
3764 2014-12-27  Michael Albinus  <michael.albinus@gmx.de>
3766         * net/tramp.el (tramp-handle-insert-file-contents):
3767         Set `find-file-not-found-functions' in case of errors.  (Bug#18623)
3769 2014-12-27  Michael Albinus  <michael.albinus@gmx.de>
3771         * net/tramp-sh.el (tramp-send-command-and-read): New optional
3772         arg MARKER.
3773         (tramp-get-remote-path): Use it.
3775 2014-12-27  Stefan Monnier  <monnier@iro.umontreal.ca>
3777         * subr.el (redisplay-dont-pause): Mark as obsolete.
3779 2014-12-27  Michael Albinus  <michael.albinus@gmx.de>
3781         * net/tramp.el (tramp-error-with-buffer): Call `message' properly.
3782         (tramp-accept-process-output): Use nil as argument for
3783         `accept-process-output', when there is a gateway prepended.
3785         * net/tramp-gw.el (tramp-gw-open-connection): Suppress traces in
3786         wrong debug buffer.
3787         (tramp-gw-open-connection): Set process coding system 'binary.
3788         (tramp-gw-open-network-stream): Handle HTTP error 403.
3790         * net/tramp-sh.el (tramp-compute-multi-hops): Suppress traces in
3791         wrong debug buffer.
3792         (tramp-maybe-open-connection): Set connection property "gateway".
3794 2014-12-27  Stefan Monnier  <monnier@iro.umontreal.ca>
3796         * subr.el (sit-for): Tweak docstring (bug#19381).
3798 2014-12-27  Dmitry Gutov  <dgutov@yandex.ru>
3800         * vc/vc-git.el (vc-git-after-dir-status-stage): Move `up-to-date'
3801         stage to after `diff-index' (bug#19386).
3803 2014-12-27  João Távora  <joaotavora@gmail.com>
3805         * textmodes/tex-mode.el (tex-insert-quote): Consider and respect
3806         `electric-pair-mode' (bug#19356).
3808 2014-12-27  Dmitry Gutov  <dgutov@yandex.ru>
3810         elisp-xref-find: Don't create buffers eagerly.
3812         * progmodes/elisp-mode.el (elisp--identifier-location): Fold back
3813         into `elisp--company-location'.
3814         (elisp--identifier-completion-table): Rename to
3815         `elisp--identifier-completion-table', and do not include just any
3816         symbols with a property list.
3817         (elisp-completion-at-point): Revert the 2014-12-25 change.
3818         (elisp--xref-identifier-file): New function.
3819         (elisp--xref-find-definitions): Use it.
3821         * emacs-lisp/find-func.el (find-function-library): New function,
3822         extracted from `find-function-noselect'.
3824         * progmodes/xref.el (xref-elisp-location): New class.
3825         (xref-make-elisp-location): New function.
3826         (xref-location-marker): New implementation.
3828 2014-12-27  Juri Linkov  <juri@linkov.net>
3830         * minibuffer.el (minibuffer-completion-help):
3831         Use shrink-window-if-larger-than-buffer in window-height
3832         when temp-buffer-resize-mode is nil.
3834         * window.el (with-displayed-buffer-window): Remove window-height
3835         from the action alist in the temp-buffer-window-show call
3836         when window-height is handled explicitly afterwards (bug#19355).
3838 2014-12-27  Juri Linkov  <juri@linkov.net>
3840         Support subdirectories when saving places in dired.
3841         * saveplace.el (toggle-save-place, save-place-to-alist)
3842         (save-places-to-alist, save-place-dired-hook):
3843         Use dired-current-directory instead of dired-directory (bug#19436).
3844         (save-place-dired-hook): Add check for alist to make the new
3845         format future-proof to allow other possible formats.
3847 2014-12-26  Fabián Ezequiel Gallina  <fgallina@gnu.org>
3849         python.el: Generate clearer shell buffer names.
3850         * progmodes/python.el (python-shell-get-process-name)
3851         (python-shell-internal-get-process-name): Use `buffer-name`.
3852         (python-shell-internal-get-or-create-process): Simplify.
3854 2014-12-26  Dmitry Gutov  <dgutov@yandex.ru>
3856         Add basic xref apropos implementation to elisp-mode.
3858         * progmodes/elisp-mode.el (elisp--xref-find-definitions):
3859         Filter out nil results.
3860         (elisp--xref-find-apropos): New function.
3861         (elisp-xref-find): Use it.
3863         * progmodes/xref.el (xref--show-xrefs): Use `user-error'.
3865 2014-12-25  Filipp Gunbin  <fgunbin@fastmail.fm>
3867         * dired-aux.el (dired-maybe-insert-subdir):
3868         Make dired-maybe-insert-subdir always skip trivial files.
3870 2014-12-25  Helmut Eller  <eller.helmut@gmail.com>
3871             Dmitry Gutov  <dgutov@yandex.ru>
3873         Consolidate cross-referencing commands.
3875         Move autoloaded bindings for `M-.', `M-,', `C-x 4 .' and
3876         `C-x 5 .' from etags.el to xref.el.
3878         * progmodes/xref.el: New file.
3880         * progmodes/elisp-mode.el (elisp--identifier-types): New variable.
3881         (elisp--identifier-location): New function, extracted from
3882         `elisp--company-location'.
3883         (elisp--company-location): Use it.
3884         (elisp--identifier-completion-table): New variable.
3885         (elisp-completion-at-point): Use it.
3886         (emacs-lisp-mode): Set the local values of `xref-find-function'
3887         and `xref-identifier-completion-table-function'.
3888         (elisp-xref-find, elisp--xref-find-definitions)
3889         (elisp--xref-identifier-completion-table): New functions.
3891         * progmodes/etags.el (find-tag-marker-ring): Mark obsolete in
3892         favor of `xref--marker-ring'.
3893         (tags-lazy-completion-table): Autoload.
3894         (tags-reset-tags-tables): Use `xref-clear-marker-stack'.
3895         (find-tag-noselect): Use `xref-push-marker-stack'.
3896         (pop-tag-mark): Make an alias for `xref-pop-marker-stack'.
3897         (etags--xref-limit): New constant.
3898         (etags-xref-find, etags--xref-find-definitions): New functions.
3900 2014-12-25  Martin Rudalics  <rudalics@gmx.at>
3902         * cus-start.el (resize-mini-windows): Make it customizable.
3904 2014-12-24  Stephen Leake  <stephen_leake@stephe-leake.org>
3906         * startup.el (fancy-about-text): Change buttons for etc/CONTRIBUTE
3907         to (info "(emacs)Contributing").  (Bug#19299)
3909 2014-12-24  Martin Rudalics  <rudalics@gmx.at>
3911         * window.el (mouse-autoselect-window-position-1): New variable.
3912         (mouse-autoselect-window-cancel)
3913         (mouse-autoselect-window-select, handle-select-window):
3914         With delayed autoselection select window only if mouse moves after
3915         selecting its frame.
3917 2014-12-24  Michael Albinus  <michael.albinus@gmx.de>
3919         * eshell/esh-ext.el (eshell-find-interpreter): Expand relative
3920         remote file names.  (Bug#18782)
3922 2014-12-23  Sam Steingold  <sds@gnu.org>
3924         * shell.el (shell-display-buffer-actions): New user option.
3925         (shell): Pass it to `pop-to-buffer' instead of hard-coding
3926         `pop-to-buffer-same-window'.
3928 2014-12-23  Stefan Monnier  <monnier@iro.umontreal.ca>
3930         * progmodes/js.el (js--syntax-propertize-regexp-syntax-table): New var.
3931         (js-syntax-propertize-regexp): Use it to recognize "slash in
3932         a character class" (bug#19397).
3934 2014-12-22  Stefan Monnier  <monnier@iro.umontreal.ca>
3936         * completion.el: Use post-self-insert-hook (bug#19400).
3937         (completion-separator-self-insert-command)
3938         (completion-separator-self-insert-autofilling): Remove.
3939         (completion-separator-chars): New var.
3940         (completion-c-mode-hook, completion-setup-fortran-mode): Use it instead
3941         of changing the keymap.
3942         (completion--post-self-insert): New function.
3943         (dynamic-completion-mode): Use it instead of rebinding keys.
3944         (cmpl--completion-string): Rename from completion-string.
3945         (add-completion-to-head, delete-completion): Let-bind it explicitly.
3947 2014-12-22  Bozhidar Batsov  <bozhidar@batsov.com>
3949         * progmodes/ruby-mode.el (ruby--string-region): Simplify code
3950         by leveraging `syntax-ppss'.
3952 2014-12-22  Artur Malabarba  <bruce.connor.am@gmail.com>
3954         * emacs-lisp/let-alist.el (let-alist): Use `make-symbol'
3955         instead of `gensym'.
3957 2014-12-20  Michael Albinus  <michael.albinus@gmx.de>
3959         * net/tramp-sh.el (tramp-histfile-override): Add :version.
3961 2014-12-20  Teodor Zlatanov  <tzz@lifelogs.com>
3963         * net/tramp-sh.el (tramp-histfile-override): Clarify docstring.
3965 2014-12-19  Artur Malabarba  <bruce.connor.am@gmail.com>
3967         * emacs-lisp/let-alist.el (let-alist): Enable access to deeper alists by
3968         using dots inside the dotted symbols.
3970 2014-12-19  Alan Mackenzie  <acm@muc.de>
3972         Make C++11 uniform init syntax work.
3973         New keywords "final" and "override".
3974         * progmodes/cc-engine.el (c-back-over-member-initializer-braces):
3975         New function.
3976         (c-guess-basic-syntax): Set `containing-sex' and `lim' using the
3977         new function.
3978         * progmodes/cc-fonts.el (c-font-lock-declarations): Check more
3979         carefully for "are we at a declarator?" using
3980         c-back-over-member-initializers.
3981         * progmodes/cc-langs.el (c-type-modifier-kwds): Include "final"
3982         and "override" in the C++ value.
3984 2014-12-19  Martin Rudalics  <rudalics@gmx.at>
3986         * textmodes/ispell.el (ispell-command-loop): Don't use `next-window'.
3988 2014-12-21  Lars Ingebrigtsen  <larsi@gnus.org>
3990         * net/nsm.el (nsm-save-host): Don't save the host name twice
3991         (bug#19269).
3993 2014-12-18  Sam Steingold  <sds@gnu.org>
3995         Keyboard interface (C-f10) to `mouse-buffer-menu' (C-down-mouse-1).
3996         * mouse.el (mouse-buffer-menu-map): Extract from `mouse-buffer-menu'.
3997         (mouse-buffer-menu): Use `mouse-buffer-menu-map'.
3998         * menu-bar.el (menu-bar-buffer-vector): Extract from
3999         `menu-bar-update-buffers'.
4000         (menu-bar-update-buffers): Use `menu-bar-buffer-vector'.
4001         (buffer-menu-open): New user command, bound globally to C-f10,
4002         provides a keyboard interface to `mouse-buffer-menu' (C-down-mouse-1).
4003         (mouse-buffer-menu-keymap): Use `menu-bar-buffer-vector' to
4004         convert the value returned by `mouse-buffer-menu-map' to a list
4005         acceptable to `popup-menu' for `buffer-menu-open'.
4007 2014-12-18  Artur Malabarba  <bruce.connor.am@gmail.com>
4009         * emacs-lisp/let-alist.el (let-alist): Evaluate the `alist' argument only once.
4011 2014-12-18  Sam Steingold  <sds@gnu.org>
4013         * emacs-lisp/package.el: Avoid compilation warning by declaring
4014         the `find-library-name' function.
4015         (package-activate-1): Fix the `with-demoted-errors' calls:
4016         the first argument must be a string literal.
4018 2014-12-18  Martin Rudalics  <rudalics@gmx.at>
4020         Add code for "preserving" window sizes.
4021         * dired.el (dired-pop-to-buffer): Call fit-window-to-buffer with
4022         `preserve-size' t.
4023         (dired-mark-pop-up): Preserve size of window showing marked files.
4024         * electric.el (Electric-pop-up-window):
4025         * help.el (resize-temp-buffer-window): Call fit-window-to-buffer
4026         with `preserve-size' t.
4027         * minibuffer.el (minibuffer-completion-help):
4028         Use `resize-temp-buffer-window' instead of `fit-window-to-buffer'
4029         (Bug#19355).  Preserve size of completions window.
4030         * register.el (register-preview): Preserve size of register
4031         preview window.
4032         * tmm.el (tmm-add-prompt): Call fit-window-to-buffer
4033         with `preserve-size' t (Bug#1291).
4034         * window.el (with-displayed-buffer-window): Add calls to
4035         `window-preserve-size'.
4036         (window-min-pixel-size, window--preservable-size)
4037         (window-preserve-size, window-preserved-size)
4038         (window--preserve-size, window--min-size-ignore-p): New functions.
4039         (window-min-size, window-min-delta, window--resizable)
4040         (window--resize-this-window, split-window-below)
4041         (split-window-right): Amend doc-string.
4042         (window--min-size-1, window-sizable, window--size-fixed-1)
4043         (window-size-fixed-p, window--min-delta-1)
4044         (frame-windows-min-size, window--max-delta-1, window-resize)
4045         (window--resize-child-windows, window--resize-siblings)
4046         (enlarge-window, shrink-window, split-window): Handle preserving
4047         window sizes.
4048         (adjust-window-trailing-edge): Handle preserving window
4049         sizes.  Signal user-error instead of an error when there's no
4050         window above or below.
4051         (window--state-put-2): Handle horizontal scroll bars.
4052         (window--display-buffer): Call `preserve-size' if asked for.
4053         (display-buffer): Mention `preserve-size' alist member in doc-string.
4054         (fit-window-to-buffer): New argument PRESERVE-SIZE.
4055         * textmodes/ispell.el (ispell-command-loop): Suppress horizontal
4056         scroll bar on ispell's windows.  Don't count window lines and
4057         don't deal with dedicated windows.
4058         (ispell-show-choices, ispell-help): Let `ispell-display-buffer'
4059         do the window handling.
4060         (ispell-adjusted-window-height, ispell-overlay-window): Remove.
4061         (ispell-display-buffer): New function to reuse, create and fit
4062         window to ispell's buffers.  (Bug#3413)
4064 2014-12-18  Dmitry Gutov  <dgutov@yandex.ru>
4066         * emacs-lisp/package.el (package-activate): Do not re-activate or
4067         reload the dependencies (bug#19390).
4069 2014-12-18  Stefan Monnier  <monnier@iro.umontreal.ca>
4071         * progmodes/cc-cmds.el (c-subword-mode): Alias to subword-mode.
4072         (c-update-modeline):
4073         * progmodes/cc-langs.el (c-mode-menu): Use c-subword-mode.
4074         * progmodes/cc-mode.el (subword-mode): Move autoload to cc-cmds.el.
4075         (c-mode-base-map): Use c-subword-mode.
4077 2014-12-18  Eli Zaretskii  <eliz@gnu.org>
4079         * international/mule-diag.el (describe-font-internal):
4080         Display additional info returned by font-info.
4082         * linum.el (linum--face-width): Rename from linum--face-height,
4083         and use the new functionality of font-info.
4084         (linum-update-window): Use linum--face-width and frame-char-width,
4085         instead of approximating with height.
4087 2014-12-18  Dmitry Gutov  <dgutov@yandex.ru>
4089         * vc/vc-svn.el (vc-svn-dir-status-files): Revert the 2014-12-02
4090         change (bug#19387).  Use `apply' on `vc-dir-command'  (bug#19405).
4092         * emacs-lisp/package.el (package-activate-1): Add RELOAD argument
4093         and a docstring.
4094         (package-activate): Call itself on dependencies on PACKAGE with
4095         the same FORCE argument.  Pass FORCE as RELOAD into
4096         `package-activate-1'  (bug#19390).
4098 2014-12-17  Sam Steingold  <sds@gnu.org>
4100         * emacs-lisp/package.el (package--list-loaded-files):
4101         Handle `(nil ...)' elements in `load-history'.
4103 2014-12-17  Teodor Zlatanov  <tzz@lifelogs.com>
4105         * net/tramp-sh.el (tramp-histfile-override): New variable.
4106         (tramp-open-shell, tramp-maybe-open-connection): Use it.
4108 2014-12-17  Dmitry Gutov  <dgutov@yandex.ru>
4110         * vc/vc.el: Improve `dir-status-files' description.
4112         * emacs-lisp/package.el (package--list-loaded-files): Don't call
4113         file-truename on load-history elements (bug#19390).
4115 2014-12-16  Nicolas Petton  <petton.nicolas@gmail.com>
4117         * emacs-lisp/seq.el: New file.
4119 2014-12-16  Stefan Monnier  <monnier@iro.umontreal.ca>
4121         * jit-lock.el (jit-lock-function): Don't defer if jit-lock-defer-time
4122         is 0 and there is no input pending.
4124 2014-12-15  Juri Linkov  <juri@linkov.net>
4126         * replace.el (query-replace-read-from): Use query-replace-compile-replacement
4127         only on the return value (bug#19383).
4129 2014-12-15  Juri Linkov  <juri@linkov.net>
4131         * isearch.el (isearch-lazy-highlight-search): Extend the bound of
4132         the wrapped search by the length of the search string to be able
4133         to lazy-highlight the whole search string at point (bug#19353).
4135 2014-12-15  Lars Magne Ingebrigtsen  <larsi@gnus.org>
4137         * net/shr.el (shr-fold-text): Don't bug out on zero-length text.
4139 2014-12-14  Alan Mackenzie  <acm@muc.de>
4141         * cus-start.el (all): Add fast-but-imprecise-scrolling.
4143 2014-12-14  Artur Malabarba  <bruce.connor.am@gmail.com>
4145         * emacs-lisp/let-alist.el: Add lexical binding.
4147 2014-12-14  Steve Purcell  <steve@sanityinc.com>  (tiny change)
4149         * emacs-lisp/package.el (package-menu-mode): Use an extra column
4150         for the "Version" column, to accommodate date-and-time-based versions.
4152 2014-12-14  Cameron Desautels  <camdez@gmail.com>
4154         * cus-edit.el (custom-unsaved-options): New function, extracted
4155         from `customize-unsaved'.
4156         (custom-unsaved): Use it.
4157         (custom-prompt-customize-unsaved-options): New function.
4158         (Bug#19328)
4160 2014-12-14  Dmitry Gutov  <dgutov@yandex.ru>
4162         * fringe.el (fringe-bitmap-p): Fix 2014-12-05 breakage.
4164 2014-12-14  Dmitry Gutov  <dgutov@yandex.ru>
4166         Move ASYNC argument to the `diff' VC command to the fifth
4167         position, for better compatibility with existing third-party code,
4168         and document it.
4170         * vc/vc.el (vc-diff-internal): Pass `async' argument to the
4171         backend `diff' command in the last position.
4173         * vc/vc-svn.el (vc-svn-diff):
4174         * vc/vc-src.el (vc-src-diff):
4175         * vc/vc-sccs.el (vc-sccs-diff):
4176         * vc/vc-rcs.el (vc-rcs-diff):
4177         * vc/vc-mtn.el (vc-mtn-diff):
4178         * vc/vc-hg.el (vc-hg-diff):
4179         * vc/vc-git.el (vc-git-diff):
4180         * vc/vc-dav.el (vc-dav-diff):
4181         * vc/vc-cvs.el (vc-cvs-diff):
4182         * vc/vc-bzr.el (vc-bzr-diff):
4183         * obsolete/vc-arch.el (vc-arch-diff): Move ASYNC argument to the end.
4185 2014-12-14  Paul Eggert  <eggert@cs.ucla.edu>
4187         * emacs-lisp/cconv.el (cconv--analyze-use):
4188         Rename from cconv--analyse-use.
4189         (cconv--analyze-function): Rename from cconv--analyse-function.
4190         (cconv-analyze-form): Rename from cconv-analyse-form.
4192 2014-12-13  Andreas Schwab  <schwab@linux-m68k.org>
4194         * net/shr.el (shr-next-link): Don't error out at eob.
4196 2014-12-05  Nicolas Richard  <theonewiththeevillook@yahoo.fr>
4198         * isearch.el (isearch-open-necessary-overlays): Open overlay
4199         ending at point (bug#19333).
4201 2014-12-13  Lars Magne Ingebrigtsen  <larsi@gnus.org>
4203         * net/shr.el (shr-fold-text): New function.
4204         (shr-show-alt-text, shr-urlify, shr-tag-img): Use it to fold long
4205         alt/title texts.
4206         (shr-fold-text): Inhibit state from being altered.
4208         * files.el (directory-files-recursively): Really check whether
4209         files are symlinks.
4210         (directory-name-p): New function.
4211         (directory-files-recursively): Use it.
4213 2014-12-13  Artur Malabarba  <bruce.connor.am@gmail.com>
4215         * emacs-lisp/package.el (package--list-loaded-files): New function
4216         to list files in a given directory which correspond to already
4217         loaded files.
4218         (package-activate-1): Reload files given by `package--list-loaded-files'.
4219         Fix bug#10125, bug#18443, and bug#18448.
4221 2014-12-13  Eric S. Raymond  <esr@snark.thyrsus.com>
4223         * vc/vc-svn.el (vc-svn-diff): Fix bug #19312.
4225 2014-12-13  Michael Albinus  <michael.albinus@gmx.de>
4227         * simple.el (password-word-equivalents): Add "passcode", used for
4228         numeric secrets like PINs or RSA tokens.
4230 2014-12-13  Michael Albinus  <michael.albinus@gmx.de>
4232         * net/tramp-sh.el (tramp-get-remote-path): Use a login shell in
4233         order to determine `tramp-own-remote-path'.
4235 2014-12-13  Fabián Ezequiel Gallina  <fgallina@gnu.org>
4237         * progmodes/python.el (python-shell-parse-command):
4238         Quote `python-shell-interpreter`.  (Bug#19289)
4240 2014-12-12  Stefan Monnier  <monnier@iro.umontreal.ca>
4242         * progmodes/python.el (python-indent-line): Use `noindent' in strings.
4243         (python-indent-levels): Document extra value.
4244         (python-indent-calculate-indentation): Return `noindent' in strings.
4245         (python-indent-post-self-insert-function)
4246         (python-indent-calculate-levels): Handle new value.
4248 2014-12-12  Lars Magne Ingebrigtsen  <larsi@gnus.org>
4250         * net/network-stream.el (network-stream-open-starttls): No need to
4251         check for the availability of `gnutls-available-p'.
4253         * files.el (directory-files-recursively): Don't follow symlinks to
4254         other directories.
4256 2014-12-12  Eric S. Raymond  <esr@snark.thyrsus.com>
4258         * vc/vc-dav.el, vc/vc-git.el, vc/vc-hg.el, vc/vc-src.el:
4259         * vc/vc.el: latest-on-branch-p is no longer a public method.
4261         * vc/vc.el, vc/vc-hg.el, vc/vc-git.el, vc/vc-hooks.el:
4262         * vc/vc-mtn.el, vc/vc-rcs.el, vc/vc-sccs.el, vc/vc-src.el:
4263         Remove `rollback' method, to be replaced in the future by uncommit.
4265 2014-12-11  Michael Albinus  <michael.albinus@gmx.de>
4267         * vc/vc-hg.el (vc-hg-state): Make FILE absolute.  Handle the case
4268         that there is empty output.
4270 2014-12-11  Stefan Monnier  <monnier@iro.umontreal.ca>
4272         * emacs-lisp/eldoc.el (eldoc-documentation-function): Change default.
4273         (eldoc-mode, eldoc-schedule-timer): Adjust to new default.
4275 2014-12-10  Artur Malabarba  <bruce.connor.am@gmail.com>
4277         * emacs-lisp/let-alist.el: Add new package and macro.
4279 2014-12-10  Eric S. Raymond  <esr@snark.thyrsus.com>
4281         * vc/vc-dispatcher.el, vc/vc-hooks.el, vc/vc-rcs.el:
4282         * vc/vc-sccs.el, vc/vc.el: Righteous featurectomy of vc-keep-workfiles,
4283         it's a shoot-self-in-foot archaism.  Workfiles are always kept.
4285 2014-12-10  Rasmus Pank Roulund  <emacs@pank.eu>
4287         * net/ange-ftp.el (ange-ftp-switches-ok): Disallow flags causing
4288         trouble with ls over ftp.  These flags result in ls returning no
4289         output, causing Tramp-breakage.  (bug#19192)
4291 2014-12-10  Andreas Schwab  <schwab@suse.de>
4293         * files.el (file-tree-walk): Use file-name-as-directory unconditionally.
4295 2014-12-10  Lars Magne Ingebrigtsen  <larsi@gnus.org>
4297         * files.el (directory-files-recursively):
4298         Use `file-name-all-completions' instead of `directory-files' for
4299         greater speed.
4301         * net/shr.el (shr-tag-object): Don't bug out on text elements in
4302         <object>.
4304 2014-12-09  Bozhidar Batsov  <bozhidar@batsov.com>
4306         * progmodes/ruby-mode.el (auto-mode-alist): Add .rabl, Berksfile
4307         and Puppetfile.
4308         (ruby-toggle-string-quotes): New command that allows you to quickly
4309         toggle between single-quoted and double-quoted string literals.
4311 2014-12-09  Eric S. Raymond  <esr@snark.thyrsus.com>
4313         * vc/vc-src.el (vc-src-do-comand): Prepend -- to file argument
4314         list, avoids problems witt names containing hyphens.
4316 2014-12-09  Wilson Snyder  <wsnyder@wsnyder.org>
4318         Sync with upstream verilog-mode revision aa4b777.
4319         * progmodes/verilog-mode.el (verilog-mode-version): Update.
4320         (verilog-auto-end-comment-lines-re, verilog-end-block-ordered-re)
4321         (verilog-set-auto-endcomments): Automatically comment property/
4322         endproperty blocks to match other similar blocks like sequence/
4323         endsequence, function/endfunction, etc.  Reported by Alex Reed.
4324         (verilog-set-auto-endcomments): Fix end comments for functions of
4325         type void, etc.  Detect the function- or task-name when
4326         auto-commenting blocks that lack an explicit portlist.
4327         Reported by Alex Reed.
4328         (verilog-nameable-item-re): Fix nameable items that can have an
4329         end-identifier to include endchecker, endgroup, endprogram,
4330         endproperty, and endsequence.  Reported by Alex Reed.
4331         (verilog-preprocessor-re, verilog-beg-of-statement):
4332         Fix indentation of property/endproperty around pre-processor
4333         directives.  Reported by Alex Reed.
4334         (verilog-label-be): When auto-commenting a buffer, consider
4335         auto-comments on all known keywords (not just a subset thereof).
4336         Reported by Alex Reed.
4337         (verilog-beg-of-statement): Fix labeling do-while blocks, bug842.
4338         Reported by Alex Reed.
4339         (verilog-beg-of-statement-1, verilog-at-constraint-p):
4340         Fix hanging with many curly-bracket pairs, bug663.
4341         (verilog-do-indent): Fix electric tab deleting form-feeds.
4342         Note caused by indent-line-to deleting tabls pre 24.5.
4343         (verilog-auto-output, verilog-auto-input, verilog-auto-inout)
4344         (verilog-auto-inout-module, verilog-auto-inout-in): Doc fixes.
4345         (verilog-read-always-signals, verilog-auto-sense-sigs)
4346         (verilog-auto-reset): Fix AUTORESET with always_comb and always_latch,
4347         bug844.  Reported by Greg Hilton.
4349 2014-12-09  Alex Reed  <acreed4@gmail.com>  (tiny change)
4351         * progmodes/verilog-mode.el (verilog-no-indent-begin-re):
4352         Fix `verilog-indent-begin-after-if' nil not honoring 'forever',
4353         'foreach', and 'do' keywords.
4354         (verilog-endcomment-reason-re, verilog-beg-of-statement):
4355         Fix labeling do-while blocks, bug842.
4356         (verilog-backward-token): Fix indenting sensitivity lists with
4357         named events, bug840.
4359 2014-12-09  Reto Zimmermann  <reto@gnu.org>
4361         Sync with upstream vhdl mode v3.36.1.
4362         * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
4363         (vhdl-compiler-alist): Anchor all error regexps.
4364         (vhdl-compile-use-local-error-regexp): Change default to nil.
4365         (vhdl-asort, vhdl-anot-head-p): Remove.
4366         (vhdl-aput, vhdl-adelete, vhdl-aget): Simplify.
4367         Remove optional argument of vhdl-aget and update all callers.
4368         (vhdl-import-project): Also set `vhdl-compiler'.
4370 2014-12-09  Lars Magne Ingebrigtsen  <larsi@gnus.org>
4372         * files.el (find-files): New function.
4374         * net/shr.el (shr-dom-print): Don't print comments.
4375         (shr-tag-svg): Give inline SVG images the right type.
4377         * net/eww.el (eww-update-header-line-format): Mark valid/invalid
4378         certificates in the header line.
4379         (eww-invalid-certificate, eww-valid-certificate): New faces.
4381 2014-12-09  Fabián Ezequiel Gallina  <fgallina@gnu.org>
4383         * progmodes/python.el (inferior-python-mode):
4384         Set `comint-prompt-read-only` to `t` only locally.
4386 2014-12-08  Lars Magne Ingebrigtsen  <larsi@gnus.org>
4388         * net/nsm.el (nsm-check-protocol): Test for RC4 on `high'.
4389         (nsm-format-certificate): Include more data about the connection.
4390         (nsm-query): Fill the text to that it looks nicer.
4391         (nsm-check-protocol): Also warn if using SSL3 or older.
4393 2014-12-08  Stefan Monnier  <monnier@iro.umontreal.ca>
4395         * progmodes/gud.el (gud-gdb-completions): Remove unused var `start'.
4397         * obsolete/gulp.el (gulp-create-m-p-alist): Remove unused var `mnt-tm'.
4399         * net/tramp.el (tramp-handle-make-symbolic-link): Mark unused arg.
4401         * info.el (Info-mode-map): Remove left-over binding.
4403         * emacs-lisp/avl-tree.el: Use lexical-binding and cl-lib.
4404         (avl-tree--root): Remove redundant defsetf.
4406 2014-12-08  Lars Magne Ingebrigtsen  <larsi@gnus.org>
4408         * net/nsm.el (network-security-level): Remove the detailed
4409         description, which was already outdated, and refer the users to
4410         the manual.
4411         (nsm-check-protocol): Check for weak Diffie-Hellman prime bits
4412         (bug#19153).
4414 2014-12-06  Andrey Kotlarski  <m00naticus@gmail.com>
4416         * net/eww.el (eww-buffers-mode): New major mode.
4417         (eww-list-buffers, eww-buffer-select, eww-buffer-show-next)
4418         (eww-buffer-show-previous, eww-buffer-kill, eww-buffer-show):
4419         New commands/functions (bug#19131).
4421 2014-12-08  Lars Magne Ingebrigtsen  <larsi@gnus.org>
4423         * net/gnutls.el (gnutls-negotiate): Ignore files found via
4424         'file-name-handler-alist' since the gnutls library can't use those
4425         (bug#15866).
4427 2014-12-08  Dmitry Gutov  <dgutov@yandex.ru>
4429         * vc/vc-hg.el (vc-hg-dir-status-files): Only include ignores files
4430         when FILES is non-nil (bug#19304).
4432 2014-12-08  Eric S. Raymond  <esr@snark.thyrsus.com>
4434         * vc/vc-arch.el: Move to obsolete directory so a test framework
4435         won't trip over bit-rot in it.  There has been no Arch snapshot
4436         for nine years.
4438 2014-12-07  Lars Magne Ingebrigtsen  <larsi@gnus.org>
4440         * net/eww.el (eww-follow-link): Revert prefix behavior to
4441         previous behavior.
4442         (eww-copy-page-url): Add doc string.
4444 2014-12-07  Ivan Shmakov  <ivan@siamics.net>
4446         * net/eww.el (eww): Move history recording here...
4447         (eww-browse-url): ... from here (bug#19253).
4449         * net/eww.el (eww-browse-url): Use generate-new-buffer (was:
4450         iterating over possible buffer names.)
4452 2014-12-07  Lars Magne Ingebrigtsen  <larsi@gnus.org>
4454         * net/eww.el (eww-reload): Take a prefix to work locally (bug#19086).
4455         (eww-current-buffer): Compilation fix for bug#18550 patch.
4457 2014-12-07  Ivan Shmakov  <ivan@siamics.net>
4459         * net/eww.el (eww-list-histories): Restore the history in the
4460         correct buffer (bug#18550).
4462 2014-12-07  Lars Magne Ingebrigtsen  <larsi@gnus.org>
4464         * net/eww.el (eww-bookmark-prepare): Display URLs in first by
4465         displaying shortened titles first (bug#16398).
4467 2014-12-07  Tom Willemse  <tom@ryuslash.org>  (tiny change)
4469         * progmodes/python.el: Recognize docstrings.
4470         (python-docstring-at-p, python-font-lock-syntactic-face-function):
4471         New functions.
4472         (python-mode): Use them.
4474 2014-12-06  Ulf Jasper  <ulf.jasper@web.de>
4476         * net/newst-treeview.el (newsticker--treeview-list-add-item)
4477         (newsticker--treeview-propertize-tag): Bind tree menu to mouse-3.
4478         (newsticker--treeview-create-groups-menu)
4479         (newsticker--treeview-create-tree-menu): Remove.
4480         (newsticker--treeview-tree-open-menu): New.
4481         (newsticker-treeview-tree-click): Pass event to
4482         `newsticker-treeview-tree-do-click'.
4483         (newsticker-treeview-tree-do-click): Open treemenu on mouse-3.
4485 2014-12-05  Juri Linkov  <juri@linkov.net>
4487         * comint.el (comint-history-isearch-search)
4488         (comint-history-isearch-wrap): Use field-beginning instead of
4489         comint-line-beginning-position.
4490         (comint-send-input): Go to the end of the field instead of the end
4491         of the line to accept whole multi-line input.
4492         http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00305.html
4494 2014-12-05  Juri Linkov  <juri@linkov.net>
4496         * minibuffer.el (minibuffer-completion-help):
4497         Compare selected-window with minibuffer-window to check whether
4498         completions should be displayed near the minibuffer.  (Bug#17809)
4499         http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00311.html
4501 2014-12-05  Michael Albinus  <michael.albinus@gmx.de>
4503         * vc/vc-mtn.el (vc-mtn-root):
4504         * vc/vc-svn.el (vc-svn-registered): Make FILE absolute.
4506 2014-12-05  Stefan Monnier  <monnier@iro.umontreal.ca>
4508         * progmodes/sh-script.el (sh-smie-sh-rules): Go back to the beginning
4509         of the whole pipe when indenting an opening keyword after a |.
4510         Generalize this treatment to opening keywords like "while" (bug#18031).
4512 2014-12-05  Stefan Monnier  <monnier@iro.umontreal.ca>
4514         * simple.el (newline): Place the hook buffer-locally,
4515         to make sure it's first.
4517         * progmodes/prog-mode.el (prettify-symbols--compose-symbol):
4518         Fix handling of symbols with different syntax at beginning/end or with
4519         symbol rather than word syntax.
4521 2014-12-05  Eli Zaretskii  <eliz@gnu.org>
4523         * simple.el (line-move): If noninteractive, call line-move-1, not
4524         forward-line, since the former is compatible with line-move-visual
4525         both in terms of the column to which it moves and the return
4526         value.  (Bug#19211)
4528 2014-12-05  Stefan Monnier  <monnier@iro.umontreal.ca>
4530         * vc/ediff-init.el (ediff-odd-p): Remove.
4531         (ediff-background-face): Use cl-oddp instead.
4532         (ediff-buffer-live-p): Make it a defsubst.
4534         * tooltip.el (tooltip-region-active-p): Remove.
4536         * net/shr.el (shr-char-breakable-p, shr-char-kinsoku-bol-p)
4537         (shr-char-kinsoku-eol-p, shr-char-nospace-p): Use define-inline.
4539         * fringe.el (fringe-bitmap-p): Make it a plain function.
4541         * emacs-lisp/eieio-core.el: Prefer inlinable functions over macros.
4542         (class-p, generic-p, eieio-object-p, class-abstract-p):
4543         Make them defsubst, so as to avoid corner case problems where
4544         the arg might be evaluated in the condition-case, or it can't be passed
4545         to higher-order functions like `cl-some'.
4547 2014-12-05  Nicolas Richard  <theonewiththeevillook@yahoo.fr>
4549         * wid-edit.el (widget-choose): Let numeric keypad work (bug#19268)
4550         and remove old menu-related code.
4552 2014-12-05  Lars Magne Ingebrigtsen  <larsi@gnus.org>
4554         * net/eww.el (eww-display-pdf): Let mailcap determine how to
4555         display PDF files (bug#19270).
4557 2014-12-05  Juri Linkov  <juri@linkov.net>
4559         Compare with the most recent window by default.
4560         * vc/compare-w.el (compare-windows-get-window-function): New defcustom.
4561         (compare-windows-get-recent-window)
4562         (compare-windows-get-next-window): New functions.
4563         (compare-windows, compare-windows-sync-default-function):
4564         Use `compare-windows-get-window-function' instead of `next-window'.
4565         (compare-windows): Add diff/match messages with region boundaries.
4566         (Bug#19170)
4568 2014-12-04  Stefan Monnier  <monnier@iro.umontreal.ca>
4570         * subr.el (filter): Remove.  Use `cl-remove-if-not' or `seq-filter'.
4572 2014-12-04  Lars Magne Ingebrigtsen  <larsi@gnus.org>
4574         * net/shr.el (shr--extract-best-source): Ignore non-text children.
4576 2014-12-04  Eli Zaretskii  <eliz@gnu.org>
4578         Implement copying of a buffer portion while preserving visual order.
4579         * simple.el (bidi-directional-controls-chars)
4580         (bidi-directional-non-controls-chars): New variables.
4581         (squeeze-bidi-context-1, squeeze-bidi-context)
4582         (line-substring-with-bidi-context)
4583         (buffer-substring-with-bidi-context): New functions.
4585         * files.el (file-tree-walk): Doc fix.
4587 2014-12-04  Rupert Swarbrick  <ruperts@broadcom.com> (tiny change)
4588             Rüdiger Sonderfeld  <ruediger@c-plusplus.net>
4590         * autoinsert.el (auto-insert-alist): Update C/C++ header and
4591         program support to match more extensions.  Replace non-alnum
4592         characters when generating include guards (headers) and check for
4593         more extensions when generating includes (programs)
4594         (bug#19254).
4596 2014-12-03  Eric S. Raymond  <esr@snark.thyrsus.com>
4598         * files.el (file-tree-walk): Fix docstring.
4600 2014-12-03  Karl Fogel  <kfogel@red-bean.com>
4602         Fix bug whereby saving files hung in VC hook.
4604         Saving a buffer visiting a file under SVN control would hang if
4605         the remote repository were unreachable, because the VC hooks tried
4606         to run "svn status -u" on the file, where the "-u" tells svn to
4607         get update information from the remote repository.
4608         http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00174.html
4610         * vc/vc-svn.el (vc-svn-state): Remove optional `localp'
4611         argument and always pass "-v" to "svn status", never "-u".
4613 2014-12-03  Stefan Monnier  <monnier@iro.umontreal.ca>
4615         * emacs-lisp/inline.el: Fix up copyright header.
4616         (inline-quote, inline-const-p, inline-const-val, inline-error):
4617         Silence compiler warnings.
4618         (inline-letevals): Fix edebug spec.
4619         (inline--testconst-p): Consider lambda expressions as const-p.
4620         (inline--getconst-val): Use inline--testconst-p.
4622         * minibuffer.el (completion-table-dynamic): Add arg `switch-buffer'
4623         and change default to stay in the minibuffer when called from
4624         the minibuffer (bug#19250).
4625         (lazy-completion-table): Use this new argument to preserve the
4626         old behavior.
4628         * progmodes/elisp-mode.el (elisp--local-variables): Don't burp on
4629         incorrect lexical elements (bug#19250).
4631 2014-12-03  A. N. Other  <none@example.com>
4633         * files.el (file-tree-walk): Lisp translation of ANSI ftw(3).
4635 2014-12-02  Glenn Morris  <rgm@gnu.org>
4637         * whitespace.el (whitespace-big-indent-regexp): Add :version.
4639 2014-12-02  Eric S. Raymond  <esr@snark.thyrsus.com>
4641         * subr.el (filter): New macro.  Because it's just silly for a Lisp
4642         not to have this in 2014.  And VC needs it.
4644         * vc.el: All backends: API simplification: Abolish dir-status.
4645         It's replaced by dir-status-files.
4647         * vc.el: All backends: API simplification: Remove 4th
4648         'default-state' argument from vc-dir-status files and its backend
4649         methods - no backend method ever set it.  It was used only in the
4650         fallback method to to set a default of 'up-to-date, though a
4651         convoluted call chain obscured this.
4653         * vc-hooks.el: Bind vc-delete-file to Ctrl-x v delete.
4655         * vc.el (vc-expand-dirs): Now takes a second BACKEND argument,
4656         improving behavior on directories using multiple file-oriented VCSes.
4658         * vc/vc.el: All backends: API simplification; clear-headers
4659         is no longer a public method.  It is now local to the one place
4660         it's used, in the RCS steal-lock method.
4662 2014-12-01  Eric S. Raymond  <esr@snark.thyrsus.com>
4664         * vc/vc.el: In all backends: API simplification; could-register
4665         is no longer a public method.  (vc-cvs.el still has a private
4666         implementation.)
4668         * vc/vc.el: In all backends: API cleanup; the backend diff method
4669         takes an explicit async flag.  This eliminates a particularly ugly
4670         global.
4672         * vc-bzr.el: Restore vc-bzr-state-heuristic as a private method.
4673         VC randomly/unpredictably fails without it; cause not yet established.
4675 2014-12-01  Stefan Monnier  <monnier@iro.umontreal.ca>
4677         Merge some of the differences from the standalone CC-mode.
4678         The main change is to only use the `category' text-property only when
4679         available.  For that many calls are changed to use c-get-char-property,
4680         c-next-single-property-change, c-sc-scan-lists,
4681         c-sc-parse-partial-sexp, c-unmark-<->-as-paren.
4683         * progmodes/cc-mode.el (c-just-done-before-change): New var.
4684         (c-basic-common-init): Initialize it.
4685         (c-common-init): Only use mode-require-final-newline when available.
4686         (c-before-change): Check and set c-just-done-before-change.
4687         (c-after-change): Re-set c-just-done-before-change.
4688         (c-advise-fl-for-region): New macro.
4689         (lazy-lock-defer-rest-after-change, lazy-lock-defer-line-after-change)
4690         (font-lock-after-change-function, jit-lock-after-change):
4691         Advise if needed.
4693         * progmodes/cc-langs.el (c-modified-constant): New lang var.
4694         (c-known-type-key): Don't make a list just to throw it away.
4696         * progmodes/cc-engine.el (c-invalidate-state-cache, c-parse-state):
4697         Handle the case where categories are not available.
4698         (c-record-parse-state-state, c-replay-parse-state-state):
4699         Handle marker values.
4700         (c-before-change-check-<>-operators): Look for the `syntax-table'
4701         property rather than for the corresponding `category'.
4702         (c-looking-at-decl-block): Remove unused var
4703         `c-disallow-comma-in-<>-arglists'.
4704         (c-forward-<>-arglist-recur): Remove unused var
4705         `orig-record-found-types'.
4707         * progmodes/cc-defs.el (c-version): Bump up to 5.33.
4708         (c-use-category): New const.
4709         (c-next-single-property-change): New macro.
4710         (c-region-is-active-p): Prefer region-active-p when available.
4711         (c-search-backward-char-property): Fix old min/max typo; probably
4712         a copy/paste error.
4713         (c-mark-<-as-paren, c-mark->-as-paren, c-unmark-<->-as-paren):
4714         Turn them into macros that obey c-use-category.
4715         (c-sc-scan-lists-no-category+1+1, c-sc-scan-lists-no-category+1-1)
4716         (c-sc-scan-lists-no-category-1+1, c-sc-scan-lists-no-category-1-1)
4717         (c-sc-scan-lists, c-sc-parse-partial-sexp)
4718         (c-looking-at-non-alphnumspace): New macros.
4719         (c-sc-parse-partial-sexp-no-category): New function.
4720         (c-emacs-features): Add `category-properties' element.
4722         * progmodes/cc-cmds.el (c-forward-into-nomenclature)
4723         (c-backward-into-nomenclature): Use cc-subword if subword-mode is
4724         not available.
4725         (c-beginning-of-defun, c-end-of-defun, c-mark-function)
4726         (c-indent-line-or-region): Use c-region-is-active-p.
4728         * progmodes/cc-bytecomp.el (cc-bytecomp-unbound-variables)
4729         (cc-bytecomp-original-functions, cc-bytecomp-original-properties)
4730         (cc-bytecomp-loaded-files): Re-set each time the file is loaded.
4731         (cc-bytecomp-obsolete-var, cc-bytecomp-ignore-obsolete)
4732         (cc-bytecomp-obsolete-fun): Delete unused functions.
4734         * progmodes/cc-align.el (c-lineup-respect-col-0): New function.
4736 2014-12-01  Lars Magne Ingebrigtsen  <larsi@gnus.org>
4738         * net/shr.el (shr-dom-print): Fix up `shr-dom-print' after the
4739         dom.el changes.
4741 2014-12-01  Stefan Monnier  <monnier@iro.umontreal.ca>
4743         * vc/vc.el (vc-find-conflicted-file): Look for conflicted files in the
4744         current "project" rather than just the current directory.
4745         * vc/vc-git.el (vc-git-conflicted-files): Clarify in which directory
4746         the file names make sense.
4748         * vc/smerge-mode.el (smerge-swap): New command.
4750         * vc/diff-mode.el (diff-kill-applied-hunks): New command.
4752 2014-12-01  Ulf Jasper  <ulf.jasper@web.de>
4754         * net/newst-treeview.el (newsticker--treeview-item-show):
4755         Check window liveliness before measuring its width.
4757         * net/newst-backend.el (newsticker--get-news-by-url-callback):
4758         Pass correct status to `newsticker--sentinel-work'.
4759         (newsticker--sentinel-work): Use "newsticker--download-error" as
4760         guid in order to prevent multiple "Could not download..."
4761         messages.  (Bug#19166)
4763 2014-12-01  Ivan Shmakov  <ivan@siamics.net>
4765         * net/eww.el (eww-render): Call `eww-after-render-hook' in the
4766         correct buffer (bug#19225).
4768 2014-12-01  Lars Magne Ingebrigtsen  <larsi@gnus.org>
4770         * net/nsm.el (network-security-level): Change the default to `medium'.
4772         * net/eww.el (eww): Leave point in a place that doesn't cause
4773         scrolling when displaying "Loading...".
4775 2014-12-01  Eric S. Raymond  <esr@snark.thyrsus.com>
4777         * vc/vc.el, vc/vc-cvs.el, vc/vc-rcs.el, vc/vc-svn.el: The 'merge'
4778         backend method of RCS/CVS/SVN is now 'merge-file', to contrast with
4779         'merge-branch'.  Prompting for merge revisions is pushed down to
4780         the back ends; this fixes a layering violation that caused bad
4781         behavior with SVN.
4783         * vc/vc.el, vc-hooks.el: All backends: API simplification;
4784         vc-stay-local-p and repository-hostname are no longer public
4785         methods.  Only the CVS and SVN backends used these, and the SVN
4786         support was conditioned out because svn status -v is too slow.
4787         The CVS back end retains this machinery and the vc-stay-local
4788         configuration variable now only affects it.
4790 2014-12-01  Stefan Monnier  <monnier@iro.umontreal.ca>
4792         * emacs-lisp/inline.el: New file.
4794 2014-12-01  Eric S. Raymond  <esr@snark.thyrsus.com>
4796         * vc/vc.el, vc-hooks.el: All backends: API simplification;
4797         vc-state-heuristic is no longer a public method, having been
4798         removed where it is redundant, unnecessary, or known buggy.
4799         This eliminated all backends except CVS.  Eliminates bug#7850.
4801         * vc/vc-cvs.el, vc/vc-hooks.el, vc/vc-rcs.el, vc/vc-sccs.el:
4802         Eliminate vc-mistrust-permissions.  It was only relevant to the
4803         RCS and SCCS back ends and defaulted to t.  Code now always
4804         mistrusts permissions - by actual measurement the effect on
4805         performance is negligible.  As a side effect bug#11490 is now
4806         irrelevant.
4808         * vc/vc.el, vc-hooks.el: All backends: API simplification;
4809         vc-workfile-unchanged-p is no longer a public method (but the RCS
4810         and SCCS back ends retain it as a private method used in state
4811         computation).  This method was redundant with vc-state and usually
4812         implemented as a trivial call to same.  Fixes the failure mode
4813         described in bug#694.
4815         * vc/vc.el: All backends: API simplification; init-revision is
4816         gone, and vc-registered functions no longer take an
4817         initial-revision argument.
4819 2014-11-29  Glenn Morris  <rgm@gnu.org>
4821         * vc/vc-src.el (vc-src, vc-src-diff-switches)
4822         (vc-src-master-templates): Fix :version tags.
4824 2014-11-29  Paul Rankin  <paul@tilk.co>  (tiny change)
4826         * outline.el (outline-move-subtree-down): Refactor and improve code.
4828 2014-11-29  Stephen Berman  <stephen.berman@gmx.net>
4829             Stefan Monnier  <monnier@iro.umontreal.ca>
4831         * outline.el (outline-move-subtree-down): Make sure we can move
4832         forward to find the end of the subtree and the insertion point
4833         (bug#19102).
4835 2014-11-29  Fabián Ezequiel Gallina  <fgallina@gnu.org>
4837         * progmodes/python.el (python-shell-completion-setup-code):
4838         Use __builtin__ module (or builtins in Python 3) and catch all errors
4839         when importing readline and rlcompleter.
4841 2014-11-29  Stephen Berman  <stephen.berman@gmx.net>
4843         * calendar/todo-mode.el: Handle calling revert-buffer (bug#19187).
4844         (todo-revert-buffer): New function.
4845         (todo-modes-set-1): Use it as the buffer-local value of
4846         revert-buffer-function.
4848 2014-11-29  Stephen Berman  <stephen.berman@gmx.net>
4850         * calendar/todo-mode.el (todo-mode): If called interactively, just
4851         display a message saying to call todo-show to enter Todo mode
4852         (Bug#19112).
4854 2014-11-29  Dmitry Gutov  <dgutov@yandex.ru>
4856         * vc/vc-hg.el (vc-hg-dir-status-files): Include ignored files.
4857         (Bug#18579)
4859         * vc/vc-bzr.el (vc-bzr-after-dir-status): Don't skip ignored
4860         files.  (Bug#18579)
4862 2014-11-29  Michael Albinus  <michael.albinus@gmx.de>
4864         * textmodes/makeinfo.el (makeinfo-buffer): Make it work also for
4865         remote `buffer-file-name'.
4867 2014-11-29  Leo Liu  <sdl.web@gmail.com>
4869         * calendar/diary-lib.el (calendar-mark-1): Fix thinko.
4871 2014-11-29  Fabián Ezequiel Gallina  <fgallina@gnu.org>
4873         Set PYTHONUNBUFFERED on shell startup.
4875         * progmodes/python.el (python-shell-unbuffered): New var.
4876         (python-shell-calculate-process-environment): Use it.
4878 2014-11-29  Michael Albinus  <michael.albinus@gmx.de>
4880         * net/tramp.el (tramp-action-password): Clean password on subsequent
4881         attempts even if there was no wrong password indication.  (Bug#19047)
4883         * net/tramp-sh.el (tramp-get-remote-locale): Return "LC_ALL=C" as
4884         fallback.
4885         (tramp-open-connection-setup-interactive-shell): No need to check
4886         for nil as `tramp-get-remote-locale' return value.
4888 2014-11-29  Eli Zaretskii  <eliz@gnu.org>
4890         * vc/vc-git.el (vc-git-command, vc-git--call):
4891         Bind coding-system-for-read and coding-system-for-write to
4892         vc-git-commits-coding-system.
4893         (vc-git-previous-revision): Use "~1" instead of "^", since the
4894         latter is a special character for MS-Windows system shells.
4896 2014-11-29  Michael Albinus  <michael.albinus@gmx.de>
4898         Improve XEmacs compatibility.
4900         * net/tramp.el (tramp-autoload-file-name-handler):
4901         Wrap `temporary-file-directory' by `symbol-value', it doesn't
4902         exist in XEmacs.
4903         (tramp-read-passwd): Don't use `with-timeout-suspend' and
4904         `with-timeout-unsuspend' if they don't exist, like in XEmacs.
4905         (tramp-time-less-p, tramp-time-subtract): Remove functions.
4906         (tramp-handle-file-newer-than-file-p, tramp-time-diff):
4907         * net/tramp-adb.el (tramp-adb-ls-output-time-less-p):
4908         * net/tramp-cache.el (tramp-get-file-property):
4909         * net/tramp-smb.el (tramp-smb-handle-insert-directory):
4910         Use `time-less-p' and `time-subtract, respectively.
4912         * net/tramp-adb.el (top): Do not require time-date.el.
4914         * net/tramp-compat.el (top): Require time-date.el for XEmacs.
4916         * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
4917         Check, whether `utf-8' is a valid coding system.
4919 2014-11-29  Eli Zaretskii  <eliz@gnu.org>
4921         * vc/vc.el (vc-retrieve-tag): Doc fix.
4923 2014-11-28  Stefan Monnier  <monnier@iro.umontreal.ca>
4925         * simple.el (execute-extended-command--shorter): Fix the "M-p" case
4926         (bug#19152).
4928 2014-11-28  Martin Rudalics  <rudalics@gmx.at>
4930         Fix two issues around help-window-select.  (Bug#11039) (Bug#19012)
4931         * help.el (help-window-old-frame): New variable.
4932         (help-window-select): Default to nil (Bug#11039).
4933         Rewrite doc-string.
4934         (help-window-setup): When the help window appears on another
4935         frame and `help-window-select' is non-nil, give that frame input
4936         focus too (Bug#19012).
4937         (with-help-window): Store selected frame in
4938         help-window-old-frame.
4940 2014-11-28  Ulf Jasper  <ulf.jasper@web.de>
4942         * net/newst-treeview.el (newsticker--treeview-load): Take care of
4943         nil value for `newsticker-groups-filename'.
4945 2014-11-28  Daiki Ueno  <ueno@gnu.org>
4947         * epa.el (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
4948         (epa-sign-region, epa-encrypt-region):
4949         Use `epg-context-set-{passphrase,progress}-callback', instead of
4950         `setf'.  This partially reverts commit 9e48a95c (bug#19150).
4951         Reported by José A. Romero L.
4953 2014-11-27  Lars Magne Ingebrigtsen  <larsi@gnus.org>
4955         * net/eww.el (eww-restore-history):
4956         Bind `inhibit-modification-hooks' instead of `after-change-functions'.
4958 2014-11-27  Ulf Jasper  <ulf.jasper@web.de>
4960         * net/newst-backend.el (newsticker--parse-atom-1.0):
4961         Handle embedded (x)html in summary node.
4963 2014-11-27  Sam Steingold  <sds@gnu.org>
4965         * menu-bar.el (menu-bar-open): When everything else fails,
4966         use (mouse-menu-bar-map).
4968 2014-11-27  Ulf Jasper  <ulf.jasper@web.de>
4970         * net/newst-treeview.el (newsticker-groups-filename):
4971         Change default value to nil.  Point out that variable is obsolete in doc
4972         string.
4973         (newsticker--treeview-load): Change wording of the questions the
4974         user is asked when `newsticker-groups-filename' is found to be
4975         used and we offer to read and remove the groups file.  (Bug#19165)
4977 2014-11-27  Lars Magne Ingebrigtsen  <larsi@gnus.org>
4979         * net/eww.el (eww): Record the new URL immediately, so that if the
4980         HTTP fetch fails, we have the right URL in the buffer.
4981         (eww-process-text-input): Don't shorten the input field if
4982         deleting at the last character (bug#19085).
4983         (eww-restore-history): Inhibit change functions while restoring
4984         the history.
4985         (eww-process-text-input): Fix deletion at the start of the field, too.
4986         (eww-mode): Revert mistanken removal of `buffer-disable-undo'.
4987         (eww-process-text-input): Try to keep track of the size more reliably.
4989         * dom.el (dom-pp): New function.
4991 2014-11-27  Eli Zaretskii  <eliz@gnu.org>
4993         * vc/vc-bzr.el (vc-bzr-print-log, vc-bzr-expanded-log-entry):
4994         Don't assume --long is the default for "bzr log", always specify
4995         it explicitly, in case the user defined an alias for 'log' that
4996         uses some other format.
4998 2014-11-27  Fabián Ezequiel Gallina  <fgallina@gnu.org>
5000         * progmodes/python.el (python-eldoc--get-doc-at-point):
5001         Strip shell output before returning.  (bug#18794)
5003 2014-11-27  Dmitry Gutov  <dgutov@yandex.ru>
5005         Fix indentation before `!=' and after `+='.  Originally reported
5006         in https://github.com/mooz/js2-mode/issues/174.
5007         * progmodes/js.el (js--indent-operator-re): Make assignments and
5008         (in)equality operator a separate case.
5009         (js--continued-expression-p): Escape the second `+' in the regexp.
5011 2014-11-27  Stefan Monnier  <monnier@iro.umontreal.ca>
5013         * window.el (handle-select-window): Deactivate shift-region (bug#19003).
5015 2014-11-26  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5017         * net/nsm.el (nsm-new-fingerprint-ok-p): Display the certificate
5018         when querying about new certificates.
5020         * net/shr.el (shr-make-table-1): dom.el changes for table rendering.
5022         * dom.el (dom-by-tag): Use `equal' for comparisons so that tags
5023         can be strings.
5024         (dom-elements): Protect against non-text nodes.
5025         (dom-non-text-children): New function.
5027         * net/eww.el (eww-tag-title): Use `dom-text'.
5029 2014-11-26  Sam Steingold  <sds@gnu.org>
5031         * textmodes/sgml-mode.el (sgml-validate-command): Pass -utf8 to tidy.
5033 2014-11-26  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5035         * net/eww.el (eww-highest-readability): More dom.el fixes.
5037 2014-11-26  Ulf Jasper  <ulf.jasper@web.de>
5039         * net/newst-backend.el (newsticker--parse-generic-items):
5040         Take care of UIDs when adding elements to cache.
5042 2014-11-26  Alan Mackenzie  <acm@muc.de>
5044         Remove spurious reference to symbol category_properties.
5045         * progmodes/cc-engine.el (c-state-pp-to-literal): Fix here.
5047 2014-11-26  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5049         * net/eww.el: Use the new dom.el accessors throughout.
5051         * net/shr.el: Ditto.
5053         * dom.el: New file.
5055 2014-11-26  Glenn Morris  <rgm@gnu.org>
5057         * arc-mode.el (archive-visit-single-files): Add :version.
5059 2014-11-25  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5061         * net/nsm.el (nsm-format-certificate): Don't bug out on missing
5062         elements.
5063         (nsm-warnings-ok-p): The new version of this function always
5064         returned nil when everything was OK.
5066 2014-11-25  Teodor Zlatanov  <tzz@lifelogs.com>
5068         * net/gnutls.el (gnutls): Set :group to 'comm so it's near NSM.
5070         * net/nsm.el (nsm-check-tls-connection, nsm-save-host)
5071         (nsm-warnings-ok-p): Use `gnutls-peer-status-warning-describe'.
5073 2014-11-20  Nicolas Richard  <theonewiththeevillook@yahoo.fr>
5075         * emacs-lisp/byte-run.el (function-put): Match argument names to
5076         docstring.
5078 2014-11-24  Sam Steingold  <sds@gnu.org>
5080         * vc/vc-hooks.el (vc-directory-exclusion-list):
5081         Fix a trivial typo (bug#19171).
5083 2014-11-24  Stefan Monnier  <monnier@iro.umontreal.ca>
5085         * vc/vc-hooks.el (vc-state-base-face): Don't override
5086         mode-line-inactive.
5088 2014-11-24  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5090         * net/eww.el (eww-set-character-encoding): Use `read-coding-system'.
5091         (eww-process-text-input): Inhibit read only so that input fields
5092         don't get shortened (bug#19085).
5094 2014-11-24  Leo Liu  <sdl.web@gmail.com>
5096         * emacs-lisp/macroexp.el (macroexp-let2*): New macro.
5098         * window.el (with-temp-buffer-window)
5099         (with-current-buffer-window, with-displayed-buffer-window):
5100         * emacs-lisp/cl-macs.el (cl--compiler-macro-adjoin):
5101         * emacs-lisp/cl-lib.el (substring):
5102         * emacs-lisp/cl-extra.el (cl-getf): Use it.
5104 2014-11-24  Eli Zaretskii  <eliz@gnu.org>
5106         * isearch.el (isearch-update): Don't assume
5107         pos-visible-in-window-p will return nil when point is hscrolled
5108         out of view.  (Bug#19157)
5110 2014-11-20  Andrey Kotlarski  <m00naticus@gmail.com>
5112         * net/eww.el (eww-browse-url): Optionally create new eww buffer.
5113         (eww-follow-link): Follow in new buffer in case of prefix
5114         argument, open externally with double prefix (bug#19130).
5116 2014-11-23  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5118         * net/eww.el (eww-display-html): Decode the document-defined charset.
5119         (eww): Pop to the *eww* buffer immediately after executing the
5120         `M-x eww' command to avoid having buffers pop up later.
5121         (eww-display-html): Don't pop the *eww* buffer.
5122         (eww-display-raw): Ditto.
5123         (eww-display-image): Ditto.
5124         (eww-follow-link): Make going to #targets in the page work again.
5126 2014-11-23  Ivan Shmakov  <ivan@siamics.net>
5128         * net/eww.el (eww-suggest-uris): New variable.
5129         (eww-suggested-uris): New function.
5130         (eww): Default to URL under point.
5131         (eww-links-at-point): New function.
5133 2014-11-20  Mark Oteiza  <mvoteiza@udel.edu>  (tiny change)
5135         * net/eww.el (eww-add-bookmark): Fix bookmark titles.
5137 2014-11-17  Mark Oteiza  <mvoteiza@udel.edu>  (tiny change)
5139         * net/eww.el (eww-mode-map): Bind backtab to shr-previous-link.
5141 2014-11-23  Kenjiro Nakayama  <nakayamakenjiro@gmail.com>
5143         * net/eww.el (eww-set-character-encoding): New command and keystroke.
5144         (eww-display-raw): Use it (bug#16225).
5146 2014-11-23  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5148         * net/nsm.el (network-security-level): Rename from
5149         `nsm-security-level' and documented.
5151         * mail/smtpmail.el (smtpmail-via-smtp): Warn unless encrypted and
5152         we're sending a password.
5154         * net/nsm.el: New file that implements a Network Security Manager.
5156         * net/network-stream.el (open-network-stream): Add a new
5157         :warn-unless-encrypted parameter.
5158         (network-stream-open-plain): Allow warning unless encrypted.
5159         (network-stream-open-starttls): Call the Network Security Manager.
5160         (network-stream-open-tls): Ditto.
5162 2014-11-23  Leo Liu  <sdl.web@gmail.com>
5164         * calendar/cal-china.el (calendar-chinese-from-absolute-for-diary)
5165         (calendar-chinese-to-absolute-for-diary)
5166         (calendar-chinese-mark-date-pattern, diary-chinese-anniversary):
5167         Handle leap months in Chinese calendar.  (Bug#18953)
5169 2014-11-22  Alan Mackenzie  <acm@muc.de>
5171         Fix error with `mark-defun' and "protected:" in C++ Mode.
5172         * progmodes/cc-cmds.el (c-where-wrt-brace-construct): Handle a
5173         return code of (label) from c-beginning-of-decl-1.  (Bug#19134)
5175 2014-11-22  Ulf Jasper  <ulf.jasper@web.de>
5177         * net/newst-backend.el (newsticker--sentinel-work):
5178         Tell `libxml-parse-xml-region' to discard comments.  (Bug#18787)
5180 2014-11-22  Michael Albinus  <michael.albinus@gmx.de>
5182         * net/tramp-sh.el (tramp-sh-handle-start-file-process)
5183         (tramp-sh-handle-process-file): Propagate `process-environment'.
5185         * vc/vc-hg.el (vc-hg-state): No special handling for remote files;
5186         Tramp propagates environment variables now.
5188 2014-11-22  Eric S. Raymond  <esr@snark>
5190         * vc/vc-filewise.el: New file to isolate code used only by the
5191         file-oriented back ends (SCCS/RCS/CVS/SRC) which should not
5192         live in vc.el and certainly not in vc-hooks.el.
5194         * vc/vc-hooks.el, vc-rcs.el, vc-sccs.el: vc-name  -> vc-master-name.
5195         This is preparatory to isolating all the 'master' functions
5196         used only by the file-oriented back ends.  With this done first,
5197         the substantive diffs will be easier to read.
5199 2014-11-21  Rüdiger Sonderfeld  <ruediger@c-plusplus.net>
5201         * play/morse.el (nato-alphabet): Mark URL in docstring in a way
5202         that is recognized by `help-mode'.
5204 2014-11-21  Stefan Monnier  <monnier@iro.umontreal.ca>
5206         * desktop.el (desktop-create-buffer): Use activate-mark to set
5207         `mark-active' (bug#19058).
5209 2014-11-21  Eric S. Raymond  <esr@snark>
5211         * vc/vc-src.el (vc-src-state): Fix bug that produced spurious
5212         nil state.
5214 2014-11-21  Eli Zaretskii  <eliz@gnu.org>
5216         * vc/vc.el (vc-deduce-fileset): Support invocation from
5217         *vc-change-log* buffer.  (Bug#19084)
5219 2014-11-13  Matthew Leach  <matthew@mattleach.net>
5221         * arc-mode.el (archive-visit-single-files): New.
5222         (archive-mode): Visit file if archive contains a single file.
5223         (Bug#1702)
5225 2014-11-21  Ulrich Müller  <ulm@gentoo.org>
5227         * vc/vc.el: Fix a typo in the commentary.
5229 2014-11-20  Eric S. Raymond  <esr@snark.thyrsus.com>
5231         * vc/vc-src.el, vc/vc.el: Added support for SRC.  Needs more
5232         testing and a real log-view mode.
5234         * vc/vc-bzr.el, vc/vc-cvs.el, vc/vc-dav.el, vc/vc-git.el:
5235         * vc/vc-hg.el, vc/vc-mtn.el, vc/vc-rcs.el, vc/vc-sccs.el:
5236         * vc/vc-svn.el, vc/vc.el: Remove editable argument from the backend
5237         checkout methods; where it matters (which is only in SCCS and RCS)
5238         files are always checked out editable.  This may actually have
5239         been dynamically true already - it looks like the vc-next-action
5240         code evolved past visiting the other case.  Tested with RCS.
5242         * vc/vc-arch.el, vc/vc-bzr.el, vc/vc-cvs.el, vc/vc-dav.el:
5243         * vc/vc-git.el, vc/vc-hg.el, vc/vc-mtn.el, vc/vc-rcs.el:
5244         * vc/vc-sccs.el, vc/vc-svn.el, vc/vc.el: Remove never-used rev
5245         argument from the backend checkin methods.  Only the RCS, SCCS,
5246         and CVS back ends tried to do anything with it, and that code was
5247         never exercised.  Chiseling away the cruft of decades...
5249 2014-11-19  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5251         * net/eww.el (eww-render): Remove a no-op :title setting.
5253 2014-11-19  Ivan Shmakov  <ivan@siamics.net>
5255         * net/eww.el (eww-history-limit): New variable.
5256         (eww-save-history): Use it (bug#19105).
5257         (eww-reload): Reload the page in the right buffer.
5259 2014-11-19  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5261         * net/eww.el (eww-desktop-misc-data): Use `cl-remove-duplicates'.
5263 2014-11-19  Ivan Shmakov  <ivan@siamics.net>
5265         * net/eww.el (eww-desktop-remove-duplicates)
5266         (eww-restore-desktop, eww-restore-reload-prompt): New variables.
5267         (eww-mode): Set up desktop mode (bug#18010).
5268         (eww-desktop-data-save, eww-desktop-data-1)
5269         (eww-desktop-history-duplicate, eww-desktop-misc-data)
5270         (eww-restore-desktop): New functions.
5272 2014-11-19  Eli Zaretskii  <eliz@gnu.org>
5274         * vc/vc.el (vc-log-internal-common): Turn on log-view-mode in the
5275         correct buffer.  (Bug#19101)
5277 2014-11-19  Rüdiger Sonderfeld  <ruediger@c-plusplus.net>
5279         * vc/vc-git.el (vc-git-diff): Use "difftool -x diff" with
5280         `diff-switches' if `vc-git-diff-switches' is nil.  (Bug#19099)
5282 2014-11-19  Artur Malabarba  <bruce.connor.am@gmail.com>
5284         * ido.el (ido-bury-buffer-at-head): New command.
5285         (ido-buffer-completion-map): Bind it to C-S-b.
5287 2014-11-18  Juri Linkov  <juri@linkov.net>
5289         * simple.el (next-line-or-history-element): Wrap next-line
5290         in with-no-warnings.
5291         (previous-line-or-history-element): Wrap previous-line
5292         in with-no-warnings.
5294 2014-11-18  Juri Linkov  <juri@linkov.net>
5296         * progmodes/grep.el (grep-compute-defaults):
5297         Compute grep-highlight-matches before its use.
5299 2014-11-18  Juri Linkov  <juri@linkov.net>
5301         * replace.el (query-replace-from-to-separator): Turn defvar into
5302         defcustom.  Wrap char-displayable-p in ignore-errors because an
5303         attempt to autoload char-displayable-p fails during pre-loading.
5304         Move (propertize "\0" ... 'separator t) out of customizable part
5305         to query-replace-read-from.
5306         (query-replace-read-from): Call custom-reevaluate-setting on
5307         query-replace-from-to-separator to reevaluate the separator
5308         depending on the return value of char-displayable-p.
5309         http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00466.html
5311 2014-11-18  Juri Linkov  <juri@linkov.net>
5313         * bindings.el (minibuffer-local-map): Rebind [down] from
5314         next-history-element to next-line-or-history-element, and [up]
5315         from previous-history-element to previous-line-or-history-element.
5317         * simple.el (next-line-or-history-element)
5318         (previous-line-or-history-element): New commands.
5319         http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00822.html
5321 2014-11-18  Leo Liu  <sdl.web@gmail.com>
5323         * emacs-lisp/nadvice.el (define-advice): New macro.
5324         * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
5325         Add define-advice.
5326         (lisp-font-lock-keywords-1): Add define-advice.
5328 2014-11-18  Daiki Ueno  <ueno@gnu.org>
5330         * epg.el (epg-context): New slot EDIT-CALLBACK.
5331         (epg--process-filter): Call EDIT-CALLBACK when editing a key.
5332         (epg-reset): Reset EDIT-CALLBACK of the context.
5333         (epg-start-edit-key): New function.
5334         (epg-edit-key): New function.
5336 2014-11-18  Paul Eggert  <eggert@cs.ucla.edu>
5338         Port new time stamp handling to Emacs 23.2.
5339         This fix is for Gnus.  Reported by Katsumi Yamaoka.
5340         * calendar/time-date.el (time-add, time-subtract, time-less-p):
5341         Use eval-and-compile, not eval-when-compile.
5343 2014-11-18  Daiki Ueno  <ueno@gnu.org>
5345         * epg.el (epg-context-set-passphrase-callback)
5346         (epg-context-set-progress-callback): Check if the CALLBACK
5347         argument is a function, instead of a cons.
5349 2014-11-18  Daiki Ueno  <ueno@gnu.org>
5351         * epa-file.el (epa-file-insert-file-contents)
5352         (epa-file-write-region): Remove redundant check of
5353         epa-pinentry-mode.
5354         * epa.el (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
5355         (epa-sign-region, epa-encrypt-region): Remove redundant check of
5356         epa-pinentry-mode.
5358 2014-11-18  Daiki Ueno  <ueno@gnu.org>
5360         * epa-file.el (epa-file-insert-file-contents): Don't show
5361         "*Error*" buffer if input file does not exist.
5362         Reported by Herbert J. Skuhra.
5364 2014-11-18  Paul Pogonyshev  <pogonyshev@gmail.com>
5365             Rüdiger Sonderfeld  <ruediger@c-plusplus.net>
5367         * progmodes/cc-langs.el: Support some of the new keywords in C++11.
5368         An alternative version of the patch from bug#13871.
5369         (c-operators): Add "alignof".
5370         (c-primitive-type-kwds): Add "char16_t", "char32_t".
5371         (c-type-modifier-kwds): Add "constexpr", "noexcept".
5372         (c-modifier-kwds): Add "thread_local".
5373         (c-constant-kwds): Add "nullptr".
5375 2014-11-17  Michal Nazarewicz  <mina86@mina86.com>
5377         * textmodes/tildify.el (tildify-pattern, tildify-space-string):
5378         New variables for specifying tildify pattern and representation of
5379         a hard space -- a no-break space by default -- respectively.
5380         Being buffer-local they are much easier to handle than
5381         `tildify-string-alist' and `tildify-pattern-alist' respectively
5382         that have been used so far.  They also works better with derived
5383         modes.
5384         (tildify-foreach-region-function): New variable specifying
5385         a function determining portions of buffer that should be
5386         tildified.  It allows major modes to create a filtering function
5387         more elaborate than a set of regular expressions.  Initialized to
5388         `tildify--deprecated-ignore-evironments' by default to handle now
5389         deprecated `tildify-ignored-environments-alist' variable.
5390         (tildify--foreach-region): A new function that takes
5391         `tildify-foreach-region-function' into account and calls callback
5392         for regions of the buffer that should be tildified.
5393         (tildify-foreach-ignore-environments): A new function which can be
5394         partially applied and used as `tildify-foreach-region-function'.
5395         (tildify-ignored-environments-alist, tildify-pattern)
5396         (tildify-string-alist, tildify--pick-alist-entry): Mark as obsolete.
5397         (tildify--find-env): Rename from `tildify-find-env' and mark as
5398         obsolete.
5399         (tildify--deprecated-ignore-evironments): New function,
5400         immediately marked as obsolete, used to handle deprecated
5401         `tildify-ignored-environments-alist'.
5403         * textmodes/tex-mode.el (tex-common-initialization):
5404         Set `tildify-space-string' and `tildify-foreach-region-function'
5405         variables in all variants of TeX mode since `tildify-string-alist'
5406         and `tildify-ignored-environments-alist' are now empty by default.
5408         * nxml/nxml-mode.el (nxml-mode): Ditto in `nxml-mode'.
5409         If encoding supports it use no-break space instead of character
5410         entity; this changes previous default which used a numeric
5411         reference.
5413         * textmodes/sgml-mode.el (sgml-mode): ditto in `sgml-mode'.
5414         If encoding does not support no-break space, use numeric reference;
5415         this changes previous default which used named entity (“&nbsp;”)
5416         in HTML mode.
5418 2014-11-17  Ulf Jasper  <ulf.jasper@web.de>
5420         * calendar/icalendar.el (icalendar-export-alarms):
5421         New customizable variable.  (Bug#5433)
5422         (icalendar-export-region): Export alarms as specified in
5423         `icalendar-export-alarms'.
5424         (icalendar--create-ical-alarm, icalendar--do-create-ical-alarm):
5425         New functions for exporting alarms.
5427 2014-11-17  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5429         * bindings.el (search-map): Move `eww-search-words' to `M-s M-w'.
5431 2014-11-17  Paul Eggert  <eggert@cs.ucla.edu>
5433         Port new time stamp handling to old Emacs and to XEmacs.
5434         This is needed for Gnus, which copies time-date.el and which
5435         runs on older Emacs implementations.
5436         * calendar/time-date.el (with-decoded-time-value):
5437         Handle 'nil' and floating-point arg more compatibly with new Emacs.
5438         (encode-time-value, with-decoded-time-value):
5439         Obsolete only if new Emacs.
5440         (time-add, time-subtract, time-less-p): Define if not new Emacs.
5442         Improve time stamp handling, and be more consistent about it.
5443         This implements a suggestion made in:
5444         http://lists.gnu.org/archive/html/emacs-devel/2014-10/msg00587.html
5445         Among other things, this means timer.el no longer needs to
5446         autoload the time-date module.
5447         * allout-widgets.el (allout-elapsed-time-seconds): Doc fix.
5448         * arc-mode.el (archive-ar-summarize):
5449         * calendar/time-date.el (seconds-to-time, days-to-time, time-since):
5450         * emacs-lisp/timer.el (timer-relative-time, timer-event-handler)
5451         (run-at-time, with-timeout-suspend, with-timeout-unsuspend):
5452         * net/tramp.el (tramp-time-less-p, tramp-time-subtract):
5453         * proced.el (proced-time-lessp):
5454         * timezone.el (timezone-time-from-absolute):
5455         * type-break.el (type-break-schedule, type-break-time-sum):
5456         Simplify by using new functionality.
5457         * calendar/cal-dst.el (calendar-next-time-zone-transition):
5458         Do not return time values in obsolete and undocumented (HI . LO)
5459         format; use (HI LO) instead.
5460         * calendar/time-date.el (with-decoded-time-value):
5461         Treat 'nil' as current time.  This is mostly for XEmacs.
5462         (encode-time-value, with-decoded-time-value): Obsolete.
5463         (time-add, time-subtract, time-less-p): Use no-op autoloads, for
5464         XEmacs.  Define only if XEmacs, as they're now C builtins in Emacs.
5465         * ldefs-boot.el: Update to match new time-date.el
5466         * proced.el: Do not require time-date.
5468 2014-11-16  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5470         * net/eww.el (eww-mode): Make the buffer read-only.
5471         (eww-form-text): Inhibit read-only-ness in text input fields
5472         (bug#16476).
5474 2014-11-16  Stefan Monnier  <monnier@iro.umontreal.ca>
5476         * simple.el (execute-extended-command--shorter): Cut search here.
5477         (execute-extended-command): Instead of here.
5479 2014-11-16  Fabián Ezequiel Gallina  <fgallina@gnu.org>
5481         * progmodes/python.el (python-mode): Avoid use of set-local to
5482         keep Emacs 24.x compatibility.
5484 2014-11-16  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5486         * net/shr.el (shr): Move to the new defgroup `web'.
5488         * net/eww.el (eww): Ditto.
5490         * simple.el (execute-extended-command): Don't show the help
5491         message if the binding isn't significantly shorter than the
5492         M-x command the user typed (bug#19013).
5494 2014-11-16  Ulf Jasper  <ulf.jasper@web.de>
5496         * calendar/icalendar.el (icalendar--convert-tz-offset):
5497         Return complete cons when offsets of standard time and daylight saving
5498         time are equal.
5499         (icalendar-export-region): Fix unbound variable warning.
5501 2014-11-16  Fabián Ezequiel Gallina  <fgallina@gnu.org>
5503         * progmodes/python.el (run-python): Allow CMD to be optional and
5504         default it to a safe command, even for Windows.  (bug#18596)
5506 2014-11-16  Fabián Ezequiel Gallina  <fgallina@gnu.org>
5508         * progmodes/python.el (python-shell-calculate-command):
5509         Rename from python-shell-parse-command.  Cleanup.
5510         (run-python, run-python-internal): Use it.
5511         (python-shell-calculate-pythonpath): Rename from
5512         python-new-pythonpath.
5513         (python-shell-calculate-process-environment): Use it.
5514         (python-shell-calculate-exec-path): Add comment.
5516 2014-11-16  Thierry Banel  <tbanelwebmin@free.fr>  (tiny change)
5518         * calc/calc-arith.el (math-max-list, math-min-list): Fix bug
5519         for date handling.
5521 2014-11-16  Andreas Schwab  <schwab@linux-m68k.org>
5523         * version.el (emacs-repository-get-version): Use git rev-parse
5524         instead of git log.
5526 2014-11-16  Fabián Ezequiel Gallina  <fgallina@gnu.org>
5528         * progmodes/python.el (python-indent-calculate-levels):
5529         Fix indentation behavior multiline dedenter statement.  (Bug#18432)
5531 2014-11-16  Fabián Ezequiel Gallina  <fgallina@gnu.org>
5533         * progmodes/python.el (python-indent-region):
5534         Use python-indent-line and skip special cases.  (Bug#18843)
5536 2014-11-16  Peder O. Klingenberg  <peder@klingenberg.no>
5538         * mail/emacsbug.el (report-emacs-bug): Make a better guess at
5539           envelope-from when reporting through sendmail (bug#19054).
5541 2014-11-16  Oscar Fuentes  <ofv@wanadoo.es>
5543         Add faces for the VC modeline state indicator.
5544         * vc/vc-hooks.el:
5545         (vc-state-faces, vc-state-base-face)
5546         (vc-up-to-date-state, vc-needs-update-state)
5547         (vc-locked-state, vc-locally-added-state)
5548         (vc-conflict-state, vc-removed-state)
5549         (vc-missing-state, vc-edited-state):
5550         New faces.
5551         (vc-default-mode-line-string): Use them
5553 2014-11-16  Stefan Monnier  <monnier@iro.umontreal.ca>
5555         * emacs-lisp/backquote.el (backquote-process): Optimize away ",'".
5557 2014-11-15  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5559         * net/eww.el (eww-search-words): Mention `eww-search-prefix'.
5561 2014-11-15  Fabián Ezequiel Gallina  <fgallina@gnu.org>
5563         * progmodes/python.el (python-eldoc-setup-code): Enhance string
5564         type checks, simplify printing.  (Bug#18962)
5566 2014-11-14  Ivan Andrus  <darthandrus@gmail.com>
5568         * progmodes/python.el (python-shell-font-lock-kill-buffer):
5569         (python-shell-font-lock-with-font-lock-buffer)
5570         (python-shell-get-buffer, python-ffap-module-path):
5571         Use `derived-mode-p' instead of equality test on `major-mode'.
5573 2014-11-14  Fabián Ezequiel Gallina  <fgallina@gnu.org>
5575         * progmodes/python.el (python-shell-virtualenv-root): Rename from
5576         python-shell-virtualenv-path.
5577         (python-shell-internal-get-process-name)
5578         (python-shell-calculate-process-environment)
5579         (python-shell-calculate-exec-path): Use it.
5581 2014-11-14  Eli Zaretskii  <eliz@gnu.org>
5583         * bindings.el (search-map): Fix last change: don't use 'kbd' in
5584         bindings.el, since it is not yet loaded when bindings.el is
5585         preloaded.
5587 2014-11-14  Fabián Ezequiel Gallina  <fgallina@gnu.org>
5589         * progmodes/python.el (python-shell-completion-get-completions):
5590         Fix previous merge.
5592 2014-11-14  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5594         * net/eww.el (eww-render): Don't set the title to the URL.
5596 2014-11-13  Ulrich Müller  <ulm@gentoo.org>
5598         * version.el (emacs-repository-get-version): Call `git log'
5599         command with proper format argument (bug#19049).
5601 2014-11-14  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5603         * bindings.el (search-map): Bind M-s M-s to `eww-search-words'.
5605 2014-11-14  Kenjiro NAKAYAMA  <nakayamakenjiro@gmail.com>
5607         * net/eww.el (eww-search-words): New command (bug#16258).
5609 2014-11-13  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5611         * net/shr.el (shr-inhibit-images): Add a doc string.
5613         * net/eww.el (eww-after-render-hook): New variable.
5614         (eww-render): Use it.
5616         * net/shr.el (shr-descend): Don't descend further than
5617         `max-specpdl-size' allows (bug#16587).
5618         (shr-depth): New variable.
5619         (shr-warning): New variable.
5621 2014-11-13  Ivan Shmakov  <ivan@siamics.net>
5623         * net/shr.el (shr-parse-base): Handle <base href=""> correctly.
5624         (shr-expand-url): Expand absolute URLs correctly (bug#17958).
5626 2014-11-13  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5628         * net/eww.el (eww): Add comment to clarify.
5630         * net/shr.el (shr-parse-image-data): Remove blocked bits from
5631         external SVG images.
5632         (shr-tag-object): Display images in <object> forms (bug#16244).
5633         (shr-tag-table): Also insert <objects> after the tables.
5635 2014-11-13  Michael Albinus  <michael.albinus@gmx.de>
5637         * vc/vc-hg.el (vc-hg-state): Disable pager.  (Bug#18940)
5639 2014-11-13  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5641         * net/eww.el (eww-form-file): Fix version number.
5643 2014-11-10  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5645         * net/eww.el (eww-form-file): :type isn't a valid `defface' keyword.
5647 2014-11-10  Kenjiro NAKAYAMA  <nakayamakenjiro@gmail.com>
5649         * net/eww.el(eww-form-file(defface)): New defface of file upload form.
5650         (eww-submit-file): New key map of file upload.
5651         (eww-form-file): New file upload button and file name context.
5652         (eww-select-file): Select file and display selected file name.
5653         (eww-tag-input): Handle input tag of file type.
5654         (eww-update-field): Add point offset.
5655         (eww-submit): Add submit with multipart/form-data.
5657 2014-11-10  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5659         * net/eww.el (eww-render, eww-display-html, eww-setup-buffer):
5660         Allow taking a buffer to render data in.  This allows using several
5661         eww buffers (bug#16211).
5663 2014-11-10  Charles Rendleman  <carendle@gmail.com>  (tiny change)
5665         * net/eww.el (eww-download-callback): Save only the file contents,
5666         not the headers.
5668 2014-11-10  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5670         * net/eww.el (eww-data): New plist to store all the data relevant
5671         to a single page, used throughout the file instead of the
5672         variables `eww-current-url', `eww-current-dom',
5673         `eww-current-source', and `eww-current-title'.
5674         (eww-readable): Copy over pertinent data from the parent page.
5675         (eww-save-history): Don't let the history grow infinitely.
5677         * net/eww.el: Remove `eww-next-url', `eww-previous-url',
5678         `eww-up-url', `eww-home-url', `eww-start-url' and
5679         `eww-contents-url' and put the data into the `eww-data' plist.
5680         This allow restoring these values after going back in the history.
5682 2014-11-10  Sylvain Chouleur  <sylvain.chouleur@gmail.com>  (tiny change)
5684         Allow VTIMEZONE where daylight and standard time zones are equal.
5685         See: http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00494.html
5686         * calendar/icalendar.el (icalendar--convert-tz-offset):
5687         Support timezone without daylight saving time.
5689 2014-11-10  Glenn Morris  <rgm@gnu.org>
5691         * startup.el (command-line): Handle nil elements in load-path.
5693 2014-11-10  Stefan Monnier  <monnier@iro.umontreal.ca>
5695         * help.el (view-lossage): Include the actual commands run.
5697 2014-11-10  Dmitry Gutov  <dgutov@yandex.ru>
5699         * vc/vc-dir.el (vc-dir-hide-state): Also hide `ignored' items when
5700         no state is specified.  (Bug#18964)
5702 2014-11-09  Eric Ludlam  <zappo@gnu.org>
5704         * emacs-lisp/eieio-custom.el (eieio-customize-object):
5705         Set eieio-cog (current group) to g, which is an improved form of input
5706         group.
5708 2014-11-09  Juri Linkov  <juri@jurta.org>
5710         * isearch.el (isearch-message-prefix): Show "Multi-file" and
5711         "Multi-buffer" instead of "Multi".  (Bug#13592)
5713         * misearch.el (multi-isearch-file-list):
5714         Autoload multi-isearch-buffer-list and multi-isearch-file-list.
5715         (multi-isearch-end): Reset multi-isearch-buffer-list and
5716         multi-isearch-file-list to nil.
5718 2014-11-09  Stefan Monnier  <monnier@iro.umontreal.ca>
5720         * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
5721         Don't call byte-compile-preprocess since the result will go through
5722         cconv.
5723         (byte-compile-output-docform): Handle uninterned `name' correctly.
5724         * emacs-lisp/cl-macs.el (cl-define-compiler-macro): Use interned name
5725         to circumvent byte-compiler bug.
5727         * emacs-lisp/macroexp.el (macroexp--expand-all): Fix typo.
5728         (macroexp--compiler-macro): Remove left-over debug code.
5730         * emacs-lisp/cl-extra.el (cl-get): Silence compiler warning.
5732 2014-11-08  Juri Linkov  <juri@jurta.org>
5734         * simple.el (shell-command): Use buffer-name when output-buffer is
5735         a buffer.  (Bug#18096)
5737 2014-11-08  Juri Linkov  <juri@jurta.org>
5739         * minibuffer.el (minibuffer-completion-help): Compare this-command
5740         with completion-at-point.  (Bug#17809)
5742 2014-11-08  Glenn Morris  <rgm@gnu.org>
5744         * emacs-lisp/bytecomp.el (byte-compile-report-error):
5745         Allow the argument to be a string.  Due to the vague doc,
5746         it was already being used this way.
5748 2014-11-08  Michael Albinus  <michael.albinus@gmx.de>
5750         * net/tramp.el (tramp-check-cached-permissions): Include hop in
5751         the constructed Tramp file name.  (Bug#18943)
5753 2014-11-08  Stefan Monnier  <monnier@iro.umontreal.ca>
5755         * emulation/cua-base.el (cua--select-keymaps): Use region-active-p
5756         (bug#18952).
5757         (cua-set-mark, cua--post-command-handler-1):
5758         * emulation/cua-gmrk.el (cua-cancel-global-mark): Same.
5760 2014-11-08  Michael Albinus  <michael.albinus@gmx.de>
5762         * files.el (file-name-non-special): Wrap the call of
5763         `insert-file-contents' by `unwind-protect', in order to set the
5764         buffer's file name anyway.  (Bug#18891)
5766 2014-11-08  Alan Mackenzie  <acm@muc.de>
5768         Fix wrong bound to c-font-lock-declarators.
5769         * progmodes/cc-fonts.el (c-font-lock-declarations):
5770         Pass "(point-max)" as bound to c-font-lock-declarators, not "limit", as
5771         the buffer is sometimes narrowed to less than "limit" (e.g., in
5772         the presence of macros).  (Bug#18948)
5774 2014-11-08  Michael Albinus  <michael.albinus@gmx.de>
5776         * net/tramp.el (tramp-error-with-buffer): Show connection buffer
5777         only when message appeared in minibuffer.  (Bug#18891)
5779         * net/tramp-adb.el (tramp-adb-handle-file-attributes):
5780         * net/tramp-gvfs.el (tramp-gvfs-handle-file-attributes):
5781         * net/tramp-sh.el (tramp-sh-handle-file-attributes): Return nil in
5782         case of errors.
5784 2014-11-08  Stefan Monnier  <monnier@iro.umontreal.ca>
5786         * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
5787         Don't compile before eval in `eval-and-compile'.
5788         (byte-compile-arglist-warn): Add check for defining macros after their
5789         first use.  Check call use even if the function is fboundp.
5791 2014-11-08  Richard Stallman  <rms@gnu.org>
5793         * mail/rmail.el (rmail-epa-decrypt): Detect armor with line prefixes.
5794         Check more carefully for mime-part specified character set.
5795         Check for mime-part Content Transfer Encoding.
5796         Notify if no armor found.
5798 2014-11-08  Martin Rudalics  <rudalics@gmx.at>
5800         * faces.el (face-set-after-frame-default): Enable running
5801         `window-configuration-change-hook'.
5803 2014-11-07  Juri Linkov  <juri@jurta.org>
5805         * replace.el: History for query replace pairs.
5806         (query-replace-defaults): Promote to a list of cons cell.  Doc fix.
5807         (query-replace-from-to-separator): New variable.
5808         (query-replace-read-from): Let-bind query-replace-from-to-history
5809         to a list of FROM-TO strings created from query-replace-defaults
5810         and separated by query-replace-from-to-separator.  Use it as
5811         the history while reading from the minibuffer.  Split the returned
5812         string by the separator to get FROM and TO parts, and add them
5813         to the history variables.
5814         (query-replace-read-to): Add FROM-TO pairs to query-replace-defaults.
5815         (query-replace-regexp-eval): Let-bind query-replace-defaults to nil.
5816         http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00253.html
5818         * isearch.el (isearch-text-char-description): Keep characters
5819         intact and put formatted strings with the `display' property.
5821 2014-11-07  Martin Rudalics  <rudalics@gmx.at>
5823         * cus-start.el (frame-resize-pixelwise): Fix group.
5824         (frame-inhibit-implied-resize): Add entry.
5826 2014-11-07  Daiki Ueno  <ueno@gnu.org>
5828         * epa.el (epa-pinentry-mode): New user option.
5829         (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
5830         (epa-sign-region, epa-encrypt-region): Respect epa-pinentry-mode.
5831         * epa-file.el (epa-file-insert-file-contents)
5832         (epa-file-write-region): Respect epa-pinentry-mode.
5834 2014-11-07  Daiki Ueno  <ueno@gnu.org>
5836         * epg.el (epg--list-keys-1): Ignore fields after the 15th field
5837         (bug#18979).  Reported by Hideki Saito.
5839 2014-11-06  Daiki Ueno  <ueno@gnu.org>
5841         * emacs-lisp/package.el (package--display-verify-error): New function.
5842         (package--check-signature): Use it to display output sent to stderr.
5844 2014-11-06  Stefan Monnier  <monnier@iro.umontreal.ca>
5846         * subr.el (pop): Don't call the getter twice (bug#18968).
5848         * emacs-lisp/macroexp.el (macroexp--expand-all): Optimize away trivial
5849         uses of `funcall'.
5851 2014-11-06  Daiki Ueno  <ueno@gnu.org>
5853         * epa.el (epa-error-buffer): New variable.
5854         (epa-display-error): New function.
5855         (epa-decrypt-file, epa-verify-file, epa-verify-region)
5856         (epa-delete-keys, epa-import-keys): Display output sent to stderr.
5857         (epa-sign-file, epa-sign-region, epa-encrypt-region)
5858         (epa-export-keys, epa-insert-keys): Display output sent to stderr.
5859         Use setf instead of epg-context-set-*.
5860         * epa-file.el (epa-file-insert-file-contents):
5861         Use epa-display-error instead of epa-display-info.  Mimic the behavior
5862         of jka-compr when decryption program is not found.
5863         (epa-file-write-region): Use epa-display-error instead of
5864         epa-display-info.
5866 2014-11-05  Stefan Monnier  <monnier@iro.umontreal.ca>
5868         * vc/vc.el (vc-region-history): New command.
5869         (vc-print-log-internal): Use cl-some.
5871         * vc/vc-git.el (vc-git-region-history): New function.
5872         (vc-git-region-history-mode-map)
5873         (vc-git--log-view-long-font-lock-keywords)
5874         (vc-git-region-history-font-lock-keywords): New vars.
5875         (vc-git-region-history-font-lock): New function.
5876         (vc-git-region-history-mode): New major mode.
5878 2014-11-05  Tassilo Horn  <tsdh@gnu.org>
5880         * net/eww.el (subr-x): Require subr-x at compile-time because eww
5881         uses string-trim.
5883 2014-11-05  Daiki Ueno  <ueno@gnu.org>
5885         * epg.el (epg-context): Add new slot ERROR-OUTPUT.
5886         (epg-error-output): New buffer-local variable.
5887         (epg--start): Initialize epg-error-output.
5888         (epg--process-filter): Record output lines sent to stderr, in
5889         epg-error-output.
5890         (epg-wait-for-completion): Copy epg-error-output to ERROR-OUTPUT
5891         slot of context.
5892         * epa-file.el (epa-file-insert-file-contents): On error, display
5893         output sent to stderr.
5894         (epa-file-write-region): Likewise.
5896 2014-11-05  Eli Zaretskii  <eliz@gnu.org>
5898         * jit-lock.el (jit-lock-stealth-fontify): Be tolerant to nil being
5899         returned by load-average.
5901 2014-11-05  Michael Albinus  <michael.albinus@gmx.de>
5903         * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer): Don't use
5904         a local copy; setting `inhibit-file-name-handlers' proper might be
5905         more performant.  (Bug#18751)
5907 2014-11-05  Glenn Morris  <rgm@gnu.org>
5909         * mail/emacsbug.el (report-emacs-bug): No longer include
5910         recent-keys in the report.  (Bug#18900)
5912 2014-11-04  Paul Eggert  <eggert@cs.ucla.edu>
5914         * mouse.el (mouse-drag-line): Fix misspelling of "right-fringe".
5916 2014-11-04  Teodor Zlatanov  <tzz@lifelogs.com>
5918         * net/eww.el (eww): Trim URL with `string-trim'.
5919         Suggested by Vibhav Pant <vibhavp@gmail.com>.
5921 2014-11-03  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5923         * net/eww.el (eww-score-readability): Don't count comments positively.
5925         * net/shr.el (shr-retransform-dom): Typo fix.
5927         * net/eww.el (eww-score-readability): Parse SVC images correctly.
5928         (eww-display-html): Don't leave point inside forms.
5930         * net/shr.el: Ditto.
5932 2014-11-03  Stefan Monnier  <monnier@iro.umontreal.ca>
5934         * emacs-lisp/edebug.el (edebug-safe-prin1-to-string): Assume that
5935         edebug-prin1-to-string already handles circularity.
5937         * emacs-lisp/byte-run.el (defun-declarations-alist): Fix compiler-macro
5938         autoloading when specified as a lambda.
5940         * simple.el (execute-extended-command--last-typed): New var.
5941         (read-extended-command): Set it.
5942         Don't complete obsolete commands.
5943         (execute-extended-command--shorter-1)
5944         (execute-extended-command--shorter): New functions.
5945         (execute-extended-command): Use them to suggest shorter names.
5946         (indicate-copied-region, deactivate-mark): Use region-active-p.
5948 2014-11-03  Michael Albinus  <michael.albinus@gmx.de>
5950         * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer): Use a
5951         local copy of FILENAME, when it is remote.  (Bug#18751)
5953         * net/tramp-adb.el (tramp-adb-handle-process-file): Do not raise
5954         an error when the command fails; the return code must indicate.
5955         (tramp-adb-send-command-and-check): Fix docstring.
5957 2014-11-03  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5959         * net/shr.el (shr-retransform-dom): Don't ignore elements that
5960         have no children like <br />.
5962         * net/eww.el (eww-display-html): Clear `url-queue'.
5963         (eww-display-pdf): New function.
5964         (eww-render): Display PDFs with `doc-view'.
5965         (url-queue): Require `url-queue' to avoid compilation warning.
5966         (eww-colorize-region): Remove duplicate function.
5967         (eww-tag-body): Use `shr-colorize-region'.
5969 2014-11-03  Yoni Rabkin <yrk@gnu.org>
5971         * net/eww.el (eww-list-bookmarks): Autoload.
5973 2014-11-03  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5975         * net/shr.el (shr-retransform-dom): Allow several text sub-nodes.
5977         * net/eww.el (eww-display-html): The charset is called `utf-8',
5978         not `utf8'.
5979         (eww-readable): Decode the saved text correctly.
5980         (eww-readable): Save the history before displaying so that we can
5981         go back to the non-readable version.
5982         (eww-display-html): Don't try to decode the text if we've been
5983         passed in a pre-parsed DOM.
5984         (eww-tag-title): Remove newlines and extra whitespace from the
5985         displayed title.
5987 2014-11-02  Lars Magne Ingebrigtsen  <larsi@gnus.org>
5989         * net/eww.el (eww-readable): New command and keystroke.
5991         * net/shr.el (shr-retransform-dom): New function.
5993         * net/eww.el (eww-display-html): Set `eww-current-source' in the
5994         correct buffer.
5995         (eww-view-source): Use it.
5997 2014-11-02  Ivan Shmakov  <ivan@siamics.net>
5999         * net/eww.el (eww): Recognize colon-delimited IPv6 addresses.
6000         (Bug#18603).
6002 2014-11-02  Brian McKenna  <brian@brianmckenna.org>  (tiny change)
6004         * net/eww.el (eww-submit): Encode empty form values as "".  (Bug#17785).
6006 2014-11-02  Ivan Shmakov  <ivan@siamics.net>
6008         * net/eww.el (eww): Allow "file:/file/name" URLs.  (Bug#18825).
6010 2014-11-02  Ivan Shmakov  <ivan@siamics.net>
6012         * net/eww.el (eww-mode-map): Remove mentions of `eww-quit'.
6013         (Bug#18834).
6015 2014-11-02  Eric Abrahamsen  <eric@ericabrahamsen.net>
6017         * emacs-lisp/eieio.el (eieio-edebug-prin1-to-string): Adjust for
6018         use as advice.
6019         (edebug-setup-hook): Advise `edebug-prin1-to-string'.  (Bug#18897)
6021 2014-11-02  Stefan Monnier  <monnier@iro.umontreal.ca>
6023         * emacs-lisp/pp.el (pp-macroexpand-expression): Use macroexpand-1
6024         (bug#18821).
6025         * progmodes/elisp-mode.el (emacs-lisp-macroexpand): Idem.
6027 2014-11-01  Michael R. Mauger  <michael@mauger.com>
6029         * progmodes/sql.el (sql-mode-oracle-font-lock-keywords): Correct regexp
6030         syntax, add new keywords, and parse longer keywords first.
6031         (sql-redirect-one): Protect against empty command.
6032         (sql-mode, sql-interactive-mode): Set `custom-mode-group' property
6033         to SQL.  (Bug#14759)
6035 2014-11-01  Michael R. Mauger  <michael@mauger.com>
6037         * progmodes/sql.el (sql-interactive-mode, sql-stop): Correct fix for
6038         Bug#16814 with let-bind of comint-input-ring variables around read
6039         and save functions.
6041 2014-11-01  Michael Albinus  <michael.albinus@gmx.de>
6043         * net/tramp-cache.el (tramp-get-file-property)
6044         (tramp-set-file-property): Check that `tramp-cache-get-count-*'
6045         and `tramp-cache-set-count-*' are bound.  Otherwise, there might
6046         be compiler warnings.
6048         * net/tramp-sh.el (tramp-get-remote-uid, tramp-get-remote-gid):
6049         Return -1 respective "UNKNOWN", if uid or gid cannot be determined.
6051 2014-11-01  Eli Zaretskii  <eliz@gnu.org>
6053         * progmodes/compile.el (compilation-mode): Turn off deferred
6054         fontifications locally.  (Bug#18856)
6056 2014-11-01  Wolfgang Jenkner  <wjenkner@inode.at>
6058         * net/tramp-sh.el (tramp-send-command): Fix the case where the
6059         remote-echo connection property is non-nil (bug#18858).
6061 2014-11-01  Stefan Monnier  <monnier@iro.umontreal.ca>
6063         * simple.el (newline): Add assertions to try and help catch bug#18913.
6065         * emulation/cua-base.el (cua-delete-region): Use delete-active-region
6066         (bug#18886).
6067         (cua--last-deleted-region-pos, cua--last-deleted-region-text): Remove.
6069 2014-11-01  Kim F. Storm  <storm@cua.dk>
6071         Restore cua-delete-copy-to-register-0 and M-v command (bug#18886).
6072         * delsel.el (delete-selection-save-to-register)
6073         (delsel--replace-text-or-position): New vars.
6074         (delete-active-region): Use them.
6075         (delete-selection-repeat-replace-region): New command, moved from
6076         cua-base.el.
6077         * emulation/cua-base.el (cua--repeat-replace-text): Remove var.
6078         (cua-repeat-replace-region): Move command to delsel.el.
6079         (cua--init-keymaps): Update binding accordingly.
6080         (cua-mode): Set delete-selection-save-to-register.
6082 2014-11-01  Alan Mackenzie  <acm@muc.de>
6084         Make blink-parens work with a closing template delimiter.
6085         * progmodes/cc-cmds.el (c-electric-lt-gt): Cause a redisplay
6086         before calling blink-paren-function, so as to apply syntax-table
6087         properties to the ">".
6089 2014-11-01  Jan Djärv  <jan.h.d@swipnet.se>
6091         * select.el (gui-get-selection): Comment: data-type ignored on NS.
6093 2014-10-31  Stefan Monnier  <monnier@iro.umontreal.ca>
6095         * emacs-lisp/macroexp.el (macroexpand-1): New function (bug#18821).
6096         (macroexp--expand-all): Unrelated tweaks.
6098         * emacs-lisp/gv.el (gv-get): Use macroexpand-1.
6100 2014-10-30  Glenn Morris  <rgm@gnu.org>
6102         * startup.el (command-line): Remove pointless attempt to avoid
6103         statting the file-system (which expand-file-name doesn't do).
6105 2014-10-30  Daniel Colascione  <dancol@dancol.org>
6107         Add "enum class" support to C++ mode.
6108         * progmodes/cc-langs.el (c-after-brace-list-decl-kwds)
6109         (c-after-brace-list-key): New language consts/variables.
6110         * progmodes/cc-engine.el (c-looking-at-decl-block):
6111         Exclude spurious match of "enum struct" from decl-block recognition.
6112         (c-backward-colon-prefixed-type): New function.
6113         (c-backward-over-enum-header): Call above function to extend
6114         recognition of enum structure.
6116 2014-10-30  Stefan Monnier  <monnier@iro.umontreal.ca>
6118         * progmodes/cc-defs.el (c--macroexpand-all): New function (bug#18845).
6119         (c-lang-defconst):
6120         * progmodes/cc-langs.el (c-make-init-lang-vars-fun): Use it.
6122 2014-10-30  Eli Zaretskii  <eliz@gnu.org>
6124         * progmodes/compile.el (compilation-start):
6125         If compilation-scroll-output is non-nil, don't force window-start of
6126         the compilation buffer to be at beginning of buffer.  (Bug#18874)
6128         * startup.el (fancy-about-text): Read the entire tutorial, not
6129         just its first 256 bytes.  (Bug#18760)
6131 2014-10-30  Stefan Monnier  <monnier@iro.umontreal.ca>
6133         * emacs-lisp/bytecomp.el: Require cl-extra (bug#18804).
6134         * emacs-lisp/cl-extra.el: Add missing provide.
6136         * emacs-lisp/bytecomp.el (byte-compile-and-folded): Optimize case where
6137         all args are copyable (bug#18767).
6138         (=, <, >, <=, >=): Re-enable the optimization.
6140 2014-10-29  Glenn Morris  <rgm@gnu.org>
6142         * net/rcirc.el (rcirc-fill-column): Unbump :version.  Mark :risky.
6144         * version.el (emacs-bzr-version, emacs-bzr-get-version):
6145         Revert 2014-10-26 change.
6147 2014-10-29  Paul Eggert  <eggert@cs.ucla.edu>
6149         Simplify use of current-time and friends.
6150         * allout-widgets.el (allout-widgets-hook-error-handler):
6151         * calendar/appt.el (appt-display-message):
6152         * calendar/icalendar.el (icalendar--convert-float-to-ical):
6153         * calendar/timeclock.el (timeclock-in, timeclock-when-to-leave)
6154         (timeclock-last-period, timeclock-day-base):
6155         * eshell/em-ls.el (eshell-ls-file):
6156         * eshell/esh-util.el (eshell-parse-ange-ls):
6157         * generic-x.el (named-database-print-serial):
6158         * net/newst-backend.el (newsticker--get-news-by-url-callback)
6159         (newsticker-get-news, newsticker--sentinel-work)
6160         (newsticker--image-get, newsticker--image-sentinel):
6161         * net/tramp-sh.el (tramp-get-remote-touch):
6162         * progmodes/opascal.el (opascal-debug-log):
6163         * textmodes/remember.el (remember-mail-date)
6164         (remember-store-in-files):
6165         * vc/vc-annotate.el (vc-annotate-display-autoscale)
6166         (vc-default-annotate-current-time):
6167         * vc/vc-bzr.el (vc-bzr-shelve-snapshot):
6168         * vc/vc-cvs.el (vc-cvs-annotate-current-time):
6169         * vc/vc-rcs.el (vc-rcs-annotate-current-time):
6170         Omit unnecessary call to current-time.
6171         * calendar/time-date.el (time-to-seconds) [!float-time]:
6172         * vc/vc-annotate.el (vc-annotate-convert-time):
6173         Use current time if arg is nil, to be compatible with float-time.
6174         (time-date--day-in-year): New function, with most of the guts of
6175         the old time-to-day-in-year.
6176         (time-to-day-in-year): Use it.
6177         (time-to-days): Use it, to avoid decoding the same time stamp twice.
6178         * calendar/timeclock.el (timeclock-time-to-date):
6179         Arg is now optional, like current-time-string.
6180         (timeclock-update-mode-line):
6181         Don't call current-time twice to get the current time stamp,
6182         as this can lead to inconsistent results.
6183         * completion.el (cmpl-hours-since-origin):
6184         * ido.el (ido-time-stamp):
6185         * vc/vc-annotate.el (vc-annotate-convert-time):
6186         Simplify by using float-time.
6187         * completion.el (save-completions-to-file):
6188         Rename local var to avoid confusion.
6189         * net/rcirc.el (rcirc-float-time): Simplify to an alias because
6190         time-to-seconds now behaves like float-time with respect to nil arg.
6191         * subr.el (progress-reporter-do-update):
6192         Don't call float-time unless needed.
6194 2014-10-29  Leo Liu  <sdl.web@gmail.com>
6196         * net/rcirc.el (rcirc-fill-column): Use function.
6197         (rcirc-markup-fill): Remove adjustment.
6199 2014-10-28  Christopher Schmidt  <ch@ristopher.com>
6201         * calc/calc.el (quick-calc):
6202         * calc/calc-aent.el (calc-do-quick-calc): New argument INSERT.
6204 2014-10-28  Sam Steingold  <sds@gnu.org>
6206         * net/rcirc.el (rcirc-fill-column): Allow any symbolic value for
6207         the sake of `window-body-width' (in addition to `frame-width').
6209 2014-10-26  Eric S. Raymond  <esr@thyrsus.com>
6211         * version.el: Fix some fallback values to conform to the actual
6212         release number.
6214 2014-10-25  Eric S. Raymond  <esr@thyrsus.com>
6216         * Makefile.in: Change some production names so they're neutral
6217         about the repository type.
6219 2014-10-25  Michael Albinus  <michael.albinus@gmx.de>
6221         * net/tramp-gvfs.el (tramp-gvfs-methods-mounttracker)
6222         (tramp-gvfs-mountlocation-signature): Check `tramp-gvfs-enabled'
6223         during initialization.  (Bug#18774)
6225 2014-10-25  Vincent Belaïche  <vincentb1@users.sourceforge.net>
6227         * ses.el (macroexp): Add require for this package, so that
6228         function `ses--cell' gets macroexp-quote --- this change was
6229         supposed to be in my previous commit, but left out by mistake.
6230         (ses--cell): Do not make formula a macroexp-quote of value when
6231         value, not formula, is *skip*.
6233 2014-10-24  Vincent Belaïche  <vincentb1@users.sourceforge.net>
6235         * ses.el (macroexp): Add require for this package, so that function
6236         `ses--cell gets macroexp-quote.
6237         (ses--cell): Makes formula a macroexp-quote of value when formula
6238         is nil.  The rationale of this changr is to allow in the future
6239         shorter SES files, e.g. we could have only `(ses-cell A1 1.0)'
6240         instead of `(ses-cell A1 1.0 1.0 nil REFLIST)'.  In such a case
6241         reference list REFLIST would be re-computed after load --- thus
6242         trading off load time against file size.
6244         * emacs-lisp/package.el (package--alist-to-plist-args):
6245         Use macroexp-quote instead of a lambda expression which has the same
6246         content as macroexp-quote.
6247         (macroexp): Add require for this package, so that function
6248         `package--alist-to-plist-args' gets macroexp-quote.
6250         * emacs-lisp/macroexp.el (macroexp-quote): New defun.
6252 2014-10-24  Stefan Monnier  <monnier@iro.umontreal.ca>
6254         * term/ns-win.el (ns-store-cut-buffer-internal)
6255         (ns-copy-including-secondary): Use gui-set-selection (bug#18816).
6257 2014-10-24  Martin Rudalics  <rudalics@gmx.at>
6259         * mouse.el (mouse-drag-line): Don't use mouse-pixel-position.
6260         Calculate increment from last position instead of window edge.
6261         Add right- and bottom-divider bindings to transient map.
6263 2014-10-23  Stefan Monnier  <monnier@iro.umontreal.ca>
6265         * emacs-lisp/cl-macs.el (cl-defstruct): Define an internal predicate
6266         even if :predicate was nil, for the benefit of typep.
6267         Record the name of the predicate for typep's use.
6268         (cl--make-type-test): Use pcase.  Obey new
6269         cl-deftype-satisfies property.
6271         * epg.el: Use cl-defstruct.
6272         (epg-make-data-from-file, epg-make-data-from-string, epg-data-file)
6273         (epg-data-string): Define via cl-defstruct.
6274         (epg--gv-nreverse): New macro.
6275         (epg-context--make): New constructor (provided vi cl-defstruct).
6276         (epg-make-context): Rewrite using it.
6277         (epg-context-protocol, epg-context-program)
6278         (epg-context-home-directory, epg-context-armor, epg-context-textmode)
6279         (epg-context-include-certs, epg-context-cipher-algorithm)
6280         (epg-context-digest-algorithm, epg-context-compress-algorithm)
6281         (epg-context-passphrase-callback, epg-context-progress-callback)
6282         (epg-context-signers, epg-context-sig-notations, epg-context-process)
6283         (epg-context-output-file, epg-context-result, epg-context-operation)
6284         (epg-context-pinentry-mode): Define using cl-defstruct.
6285         (epg-context-set-protocol, epg-context-set-program)
6286         (epg-context-set-include-certs, epg-context-set-cipher-algorithm)
6287         (epg-context-set-digest-algorithm)
6288         (epg-context-set-sig-notations, epg-context-set-process)
6289         (epg-context-set-output-file, epg-context-set-result)
6290         (epg-context-set-operation, epg-context-set-pinentry-mode)
6291         (epg-context-set-compress-algorithm): Remove.  Use setf instead.
6292         (epg-context-set-armor, epg-context-set-textmode)
6293         (epg-context-set-signers): Redefine using setf
6294         and declare as obsolete.
6295         (epg-context-set-passphrase-callback)
6296         (epg-context-set-progress-callback): Use setf.
6297         (epg-signature-notations): Rename from epg-sig-notations.
6298         (epg-make-signature, epg-signature-status, epg-signature-key-id)
6299         (epg-signature-validity, epg-signature-fingerprint)
6300         (epg-signature-creation-time, epg-signature-expiration-time)
6301         (epg-signature-pubkey-algorithm, epg-signature-digest-algorithm)
6302         (epg-signature-class, epg-signature-version): Define vi cl-defstruct.
6303         (epg-signature-set-status, epg-signature-set-key-id)
6304         (epg-signature-set-validity, epg-signature-set-fingerprint)
6305         (epg-signature-set-creation-time, epg-signature-set-expiration-time)
6306         (epg-signature-set-pubkey-algorithm)
6307         (epg-signature-set-digest-algorithm, epg-signature-set-class)
6308         (epg-signature-set-version, epg-signature-set-notations): Remove.
6309         Use setf instead.
6310         (epg-make-new-signature, epg-new-signature-type)
6311         (epg-new-signature-pubkey-algorithm)
6312         (epg-new-signature-digest-algorithm, epg-new-signature-class)
6313         (epg-new-signature-creation-time, epg-new-signature-fingerprint):
6314         Define using cl-defstruct.
6315         (epg-make-key, epg-key-owner-trust, epg-key-sub-key-list)
6316         (epg-key-user-id-list): Define using cl-defstruct.
6317         (epg-key-set-sub-key-list, epg-key-set-user-id-list): Remove.
6318         Use setf instead.
6319         (epg-make-sub-key, epg-sub-key-validity, epg-sub-key-capability)
6320         (epg-sub-key-secret-p, epg-sub-key-algorithm, epg-sub-key-length)
6321         (epg-sub-key-id, epg-sub-key-creation-time)
6322         (epg-sub-key-expiration-time, epg-sub-key-fingerprint): Define using
6323         cl-defstruct.
6324         (epg-sub-key-set-fingerprint): Remove.  Use setf instead.
6325         (epg-make-user-id, epg-user-id-validity, epg-user-id-string)
6326         (epg-user-id-signature-list): Define using cl-defstruct.
6327         (epg-user-id-set-signature-list): Remove.  Use setf instead.
6328         (epg-make-key-signature, epg-key-signature-validity)
6329         (epg-key-signature-pubkey-algorithm, epg-key-signature-key-id)
6330         (epg-key-signature-creation-time, epg-key-signature-expiration-time)
6331         (epg-key-signature-user-id, epg-key-signature-class)
6332         (epg-key-signature-exportable-p): Define using cl-defstruct.
6333         (epg-make-sig-notation, epg-sig-notation-name)
6334         (epg-sig-notation-value, epg-sig-notation-human-readable)
6335         (epg-sig-notation-critical): Define using cl-defstruct.
6336         (epg-sig-notation-set-value): Remove.  Use setf instead.
6337         (epg-make-import-status, epg-import-status-fingerprint)
6338         (epg-import-status-reason, epg-import-status-new)
6339         (epg-import-status-user-id, epg-import-status-signature)
6340         (epg-import-status-sub-key, epg-import-status-secret): Define using
6341         cl-defstruct.
6342         (epg-make-import-result, epg-import-result-considered)
6343         (epg-import-result-no-user-id, epg-import-result-imported)
6344         (epg-import-result-imported-rsa, epg-import-result-unchanged)
6345         (epg-import-result-new-user-ids, epg-import-result-new-sub-keys)
6346         (epg-import-result-new-signatures, epg-import-result-new-revocations)
6347         (epg-import-result-secret-read, epg-import-result-secret-imported)
6348         (epg-import-result-secret-unchanged, epg-import-result-not-imported)
6349         (epg-import-result-imports): Define using cl-defstruct.
6351         * emacs-lisp/package.el: Require EPG during macroexpansion.
6352         (package--check-signature, package-import-keyring): Use setf instead of
6353         epg-context-set-home-directory.
6355 2014-10-23  Stefan Monnier  <monnier@iro.umontreal.ca>
6357         * emacs-lisp/bytecomp.el (byte-compile--use-old-handlers): Change default.
6359 2014-10-23  Leo Liu  <sdl.web@gmail.com>
6361         * progmodes/cfengine.el (cfengine3-defun-full-re): New var.
6362         (cfengine3-create-imenu-index): Use it and use ` ' for separation.
6363         (cfengine3-current-defun): New function.
6364         (cfengine3-mode): Set add-log-current-defun-function.
6366 2014-10-23  Stefan Monnier  <monnier@iro.umontreal.ca>
6368         * select.el: Use lexical-binding.
6369         (gui-set-selection): Provide an implementation for non-GUI frames
6370         (bug#18791).
6371         * term/x-win.el: Use lexical-binding.
6372         (x-clipboard-yank): Fix up missed renamings.
6373         * term/w32-win.el (libgif-version, libjpeg-version): Silence compiler.
6374         (w32--set-selection): Fix up var names.
6375         * term/pc-win.el: Use lexical-binding.
6376         (w16-selection-exists-p): Silence compiler warning.
6377         (w16-selection-owner-p): Fix up missed renamings.
6379         * emacs-lisp/bytecomp.el (byte-compile-form): Remove left-over debug.
6381         * frame.el (frame-notice-user-settings): Fix excessive quoting.
6383 2014-10-22  Tassilo Horn  <tsdh@gnu.org>
6385         * doc-view.el (doc-view-open-text): View the document's plain text
6386         in the current buffer instead of a new one.
6387         (doc-view-toggle-display): Handle the case where the current
6388         buffer contains the plain text contents of the document.
6389         (doc-view-initiate-display): Don't switch to fallback mode if the
6390         user wants to view the doc's plain text.
6391         (doc-view-set-doc-type): Use assoc-string instead of
6392         assoc-ignore-case.
6394 2014-10-21  Stefan Monnier  <monnier@iro.umontreal.ca>
6396         * subr.el (read-key): Fix clicks on the mode-line.
6397         (set-transient-map): Return exit function.
6399         * mouse.el (mouse-drag-line): Use set-transient-map (bug#18015).
6400         (mouse--down-1-maybe-follows-link): Remove unused var `this-event'.
6401         (mouse-yank-secondary): Use gui-get-selection.
6402         (mouse--down-1-maybe-follows-link): Use read-key.
6404         * xt-mouse.el: Add `event-kind' property on the fly from
6405         xterm-mouse-translate-1 rather than statically at the outset.
6407 2014-10-21  Daniel Colascione  <dancol@dancol.org>
6409         * vc/vc-dispatcher.el (vc-resynch-window): Tell view-mode not to
6410         change window configuration when we turn it off.
6412 2014-10-21  Stefan Monnier  <monnier@iro.umontreal.ca>
6414         Get rid of backend-dependent selection-handling functions for kill/yank
6415         and make it generic instead by relying on the lower-level selection
6416         management functions.
6418         * select.el (select-enable-clipboard): Rename from
6419         gui-select-enable-clipboard.
6420         (select-enable-primary): Move from x-win.el and rename from
6421         x-select-enable-primary.
6422         (gui-last-selected-text): Remove.
6423         (gui--last-selected-text-clipboard, gui--last-selected-text-primary):
6424         New vars.
6425         (gui-select-text): Rewrite, based on x-win.el's old x-select-text.
6426         (gui-select-text-alist, gui-selection-value-alist): Remove.
6427         (x-select-request-type): Move from x-win.el.
6428         (gui--selection-value-internal): New function, taken from x-win's
6429         x-selection-value-internal.
6430         (gui-selection-value): Rewrite, based on x-win.el's old x-selection-value.
6431         (gui-set-selection-alist): Rename from gui-own-selection-alist and
6432         extend it to handle a nil value as a "disown" request.
6433         (gui-disown-selection-alist): Remove.
6434         (xselect-convert-to-delete): Adjust accordingly.
6435         (gui-set-selection): Simplify accordingly as well.  Use dotimes.
6437         * term/x-win.el (x-last-selected-text-primary)
6438         (x-select-enable-primary): Remove (moved to select.el).
6439         (x-select-request-type): Move to select.el.
6440         (x-selection-value-internal, x--selection-value): Remove functions.
6441         (gui-selection-value, gui-select-text): Remove moethods.
6442         (gui-set-selection): Merge own and disown methods.
6444         * term/w32-win.el (w32--select-text, w32--get-selection-value):
6445         Delete function (move functionality into w32--set-selection and
6446         w32--get-selection).
6447         (gui-select-text, gui-selection-value): Don't define methods.
6448         (w32--set-selection, w32--get-selection, w32--selection-owner-p):
6449         New functions.
6450         (gui-get-selection, gui-selection-owner-p, gui-selection-exists-p):
6451         Use them.
6452         (gui-selection-exists-p): Adjust to new name of C primitive.
6454         * term/pc-win.el (w16-get-selection-value): Add dummy argument and drop
6455         test of gui-select-enable-clipboard, to make it usable as
6456         a gui-get-selection method.
6457         (gui-selection-exists-p): Adjust to new name of C primitive.
6458         (gui-set-selection): Merge own and disown methods.
6459         (gui-select-text, gui-selection-value): Delete methods.
6460         (w16--select-text): Delete function.
6462         * term/ns-win.el (ns-get-pasteboard, ns-set-pasteboard)
6463         (ns-selection-value): Remove functions.
6464         (gui-select-text, gui-selection-value): Don't define method any more.
6465         (gui-set-selection): Merge the old own and disown methods.
6466         (gui-selection-exists-p, gui-get-selection): Adjust to new name of
6467         underlying C primitive.
6469         * startup.el (command-line): Adjust now that `gui-method' expects nil
6470         for ttys.
6472         * frame.el (gui-method): Use window-system rather than framep.
6473         (gui-method-declare): The tty case is now nil rather than t.
6474         (make-frame): Adjust accordingly.
6476 2014-10-21  Stefan Monnier  <monnier@iro.umontreal.ca>
6478         * net/newst-reader.el (newsticker--image-read): Simplify.
6479         (newsticker--icon-read): Use dolist and fix free var error.
6481         * imenu.el (imenu--menubar-keymap): New var.
6482         (imenu-add-to-menubar): Set it to remember the keymap we used.
6483         (imenu-update-menubar): Use it instead of asking lookup-key.
6485         * obsolete/cc-compat.el: Make obsolete (bug#18561).
6487         * epg-config.el (epg-gpg-program): Don't use absolute names by default.
6489         * emacs-lisp/bytecomp.el (=, <, >, <=, >=): Don't optimize multi-arg
6490         case (bug#18767).
6492 2014-10-21  Glenn Morris  <rgm@gnu.org>
6494         * Merge in all changes up to version 24.4 release.
6496 2014-10-20  Stefan Monnier  <monnier@iro.umontreal.ca>
6498         * emacs-lisp/bytecomp.el (=, <, >, <=, >=): Don't optimize multi-arg
6499         case (bug#18767).
6501 2014-10-20  Glenn Morris  <rgm@gnu.org>
6503         * Merge in all changes up to 24.4 release.
6505 2014-10-20  Ulf Jasper  <ulf.jasper@web.de>
6507         * net/newst-backend.el
6508         (newsticker--image-download-by-url-callback): Make this function
6509         actually work: Check status properly, then save image.
6511 2014-10-20  Stefan Monnier  <monnier@iro.umontreal.ca>
6513         * mouse.el (mouse--down-1-maybe-follows-link): Remove unused var
6514         `this-event'.
6515         (mouse-drag-line): Unless there's no actual mouse, use the event's
6516         position info.
6518 2014-10-20  Stefan Monnier  <monnier@iro.umontreal.ca>
6520         * textmodes/css-mode.el (scss-mode): New major-mode.
6521         (css-mode-syntax-table): Use d style comment, to ease the scss case.
6522         (css-ident-re): Allow things like @-moz-keyframes.
6523         (scss--hash-re): New const.
6524         (css--font-lock-keywords): New function, extracted from
6525         css-font-lock-keywords.
6527 2014-10-19  Ulf Jasper  <ulf.jasper@web.de>
6529         * net/newst-backend.el: Require url-parse.
6530         (newsticker--get-news-by-wget): Store feed name as process property.
6531         (newsticker--sentinel): Read feed name from process property.
6532         (newsticker--sentinel-work): Rename argument name to feed-name.
6533         Rename variable imageurl to image-url.  Pick icon url from Atom
6534         1.0 data.  Launch download of feed icon.
6535         (newsticker--get-icon-url-atom-1.0): New.
6536         (newsticker--unxml)
6537         (newsticker--unxml-node)
6538         (newsticker--unxml-attribute): Documentation.
6539         (newsticker--icons-dir): New.
6540         (newsticker--image-get): New arguments FILENAME and DIRECTORY.
6541         Use `url-retrieve' if `newsticker-retrieval-method' is 'intern.
6542         (newsticker--image-download-by-wget): New.  Use process properties
6543         for storing informations.
6544         (newsticker--image-sentinel): Read informations from process properties.
6545         (newsticker--image-save)
6546         (newsticker--image-remove)
6547         (newsticker--image-download-by-url)
6548         (newsticker--image-download-by-url-callback): New.
6549         (newsticker-opml-export): Handle url list entries containing a
6550         function instead of an url string.
6552         * net/newst-reader.el (newsticker-html-renderer): Whitespace.
6553         (newsticker--print-extra-elements)
6554         (newsticker--do-print-extra-element):
6555         Documentation (newsticker--image-read): Optionally limit image height.
6556         Use imagemagick if possible.
6557         (newsticker--icon-read): New.
6559         * net/newst-treeview.el (newsticker--treeview-item-show): Limit height of feed logo.
6560         (newsticker--treeview-tree-expand): Use feed icons in treeview.
6561         (newsticker--tree-widget-icon-create): New.  Set the tree widget icon.
6562         (newsticker--tree-widget-leaf-icon): Use feed icon.
6564 2014-10-19  Stefan Monnier  <monnier@iro.umontreal.ca>
6566         * emacs-lisp/eieio-opt.el (eieio-lambda-arglist): Remove.
6567         Use help-function-arglist instead.
6569         * emacs-lisp/eieio-core.el (eieio-compiled-function-arglist): Remove.
6570         (eieio--with-scoped-class): Use `declare'.
6571         (eieio-defclass): Remove compatibility code.
6572         (no-method-definition, no-next-method, inconsistent-class-hierarchy)
6573         (invalid-slot-type, unbound-slot, invalid-slot-name): Use define-error.
6575 2014-10-18  Jan Djärv  <jan.h.d@swipnet.se>
6577         * cus-start.el (x-gtk-whole-detached-tool-bar): Remove.
6579         * term/x-win.el (x-gtk-stock-map): Add icon names suggested as
6580         replacements to stock names before stock names in a list.
6581         Cdr may be a list, each name is tried in turn until one is found.
6583 2014-10-18  Alan Mackenzie  <acm@muc.de>
6585         Check that a "macro" found near point-min isn't a ## operator.
6586         * progmodes/cc-engine.el (c-macro-is-genuine-p): New function.
6587         (c-beginning-of-macro): Use the above new function.  (Bug#18749)
6589 2014-10-18  Teodor Zlatanov  <tzz@lifelogs.com>
6591         * net/gnutls.el (gnutls-negotiate): Don't use cl-mapcan; pass
6592         correct data to `gnutls-boot' (Bug#18664).
6593         Reported by Toke Høiland-Jørgensen <toke@toke.dk>.
6595 2014-10-18  Michal Nazarewicz  <mina86@mina86.com>
6597         * whitespace.el (whitespace-style, whitespace-big-indent)
6598         (whitespace-big-indent-regexp, whitespace-style-value-list)
6599         (whitespace-toggle-option-alist, whitespace-interactive-char)
6600         (whitespace-toggle-options)
6601         (global-whitespace-toggle-options, whitespace-help-text)
6602         (whitespace-style-face-p, whitespace-color-on): Add a 'big-indent
6603         style to `whitespace-mode' to indicate that the line indentation
6604         is too deep.  By default, 32 SPACEs or four TABs are considered
6605         too many but `whitespace-big-indent-regexp' can be configured.
6607 2014-10-17  Michal Nazarewicz  <mina86@mina86.com>
6609         * textmodes/tildify.el (tildify--pick-alist-entry): Rename from
6610         tildify-mode-alist.
6612 2014-10-17  Stefan Monnier  <monnier@iro.umontreal.ca>
6614         * emacs-lisp/eieio.el: Use lexical-binding drop non-GV fallback.
6615         (defclass, defgeneric, defmethod): Add doc-string position.
6616         (with-slots): Require cl-lib.
6618         * emacs-lisp/eieio-core.el: Use lexical-binding and cl-lib.
6619         (list-of): New type.
6620         (eieio--typep): Remove.
6621         (eieio-perform-slot-validation): Use cl-typep instead.
6623         * emacs-lisp/eieio-base.el: Use lexical-binding and cl-lib.
6625         * emacs-lisp/cl-macs.el (cl--make-type-test): Avoid ((lambda ..) ..).
6627 2014-10-16  Alan Mackenzie  <acm@muc.de>
6629         Trigger showing when point is in the "periphery" of a line or just
6630         inside a paren.
6631         * paren.el (show-paren-style, show-paren-delay)
6632         (show-paren-priority, show-paren-ring-bell-on-mismatch):
6633         Remove superfluous :group specifications.
6634         (show-paren-when-point-inside-paren)
6635         (show-paren-when-point-in-periphery): New customizable variables.
6636         (show-paren-highlight-openparen): Make into a defcustom.
6637         (show-paren--unescaped-p, show-paren--categorize-paren)
6638         (show-paren--locate-near-paren): New defuns.
6639         (show-paren--default): Refaactor and trigger on more paren
6640         positions.
6641         (show-paren-function): Small consequential changes.
6643 2014-10-16  Tom Tromey  <tom@tromey.com>
6645         * files.el (auto-mode-alist): Use javascript-mode for .jsm
6646         (bug #18719).
6648 2014-10-16  Eli Zaretskii  <eliz@gnu.org>
6650         * international/characters.el (bracket-type): Force pre-loading of
6651         uni-brackets.el.
6653 2014-10-16  Alan Mackenzie  <acm@muc.de>
6655         * cus-edit.el (custom-command-apply): Specify the return value in
6656         the doc string.
6657         (Custom-reset-standard): Save custom-file (e.g. .emacs) only when
6658         custom-command-apply has returned non-nil.
6660 2014-10-15  Stefan Monnier  <monnier@iro.umontreal.ca>
6662         * emacs-lisp/eldoc.el (global-eldoc-mode): Enable by default.
6663         Remove incorrect handling of eldoc-print-after-edit.
6664         (eldoc-message-commands, eldoc-last-data): Use defvar.
6665         * loadup.el (emacs-lisp/eldoc): Load it.
6667         * progmodes/m4-mode.el (m4-syntax-propertize): New var.
6668         (m4-mode): Use it.
6669         (m4--quoted-p): New function.
6670         (m4-font-lock-keywords): Don't handle #..\n comments any more.
6671         (m4-mode-syntax-table): Use punctuation syntax (according to m4 manual)
6672         for most special characters.
6674         * progmodes/compile.el (compilation--previous-directory): Simplify.
6675         (compilation-next-error): Ensure the parse before we look at
6676         compilation-message property.
6678 2014-10-15  Eli Zaretskii  <eliz@gnu.org>
6680         * simple.el (what-cursor-position):
6681         * descr-text.el (describe-char): Update to support the new bidi
6682         characters.
6684         * emacs-lisp/tabulated-list.el (tabulated-list-mode):
6685         Force bidi-paragraph-direction to 'left-to-right'.  This fixes
6686         buffer-menu display when the first buffer happens to start with
6687         R2L letter.
6689 2014-10-15  Stefan Monnier  <monnier@iro.umontreal.ca>
6691         * progmodes/elisp-mode.el (elisp--local-variables-1):
6692         Handle quoted expressions (bug#18688).
6694 2014-10-14  Jérémy Compostella  <jeremy.compostella@intel.com>
6695             Michael Albinus  <michael.albinus@gmx.de>
6697         * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
6698         Reduce the amount of set environment variable commands.
6700 2014-10-12  Fabián Ezequiel Gallina  <fgallina@gnu.org>
6702         Fix import completion.  (Bug#18582)
6703         * progmodes/python.el (python-shell-completion-get-completions):
6704         Fix import case regexp.
6706 2014-10-12  Stefan Monnier  <monnier@iro.umontreal.ca>
6708         * progmodes/bat-mode.el (bat-font-lock-keywords): Fix \\<_ typo
6709         (bug#18622).  Reported by Arni Magnusson <arnima@hafro.is>.
6710         * progmodes/prolog.el (prolog-electric--underscore): Same.
6712 2014-10-12  Michael Albinus  <michael.albinus@gmx.de>
6714         * net/tramp-sh.el (tramp-get-remote-id): Check also for "gid".
6716 2014-10-11  Jan Djärv  <jan.h.d@swipnet.se>
6718         * cus-start.el (all): Add missing ns and boolean to
6719         ns-use-fullscreen-animation.
6721 2014-10-11  Leo Liu  <sdl.web@gmail.com>
6723         * progmodes/cfengine.el (cfengine3-defuns, cfengine3-vartypes):
6724         Use strings.
6725         (cfengine3-create-imenu-index): New function.
6726         (cfengine3-mode): Use it for `imenu-create-index-function'.
6727         (cfengine-auto-mode): Improve and prefer cfengine3-mode when
6728         buffer is empty.
6730 2014-10-11  Jan Djärv  <jan.h.d@swipnet.se>
6732         * cus-start.el (all): Add ns-use-fullscreen-animation.
6734 2014-10-11  Glenn Morris  <rgm@gnu.org>
6736         * calendar/diary-lib.el (diary-display-function):
6737         Drop support for deprecated nil and list forms.
6738         (diary-list-entries): Update for the above.
6739         * calendar/cal-x.el (calendar-dedicate-diary): Simplify accordingly.
6741 2014-10-10  Leo Liu  <sdl.web@gmail.com>
6743         * window.el (temp-buffer-window-show): Make BUFFER a required arg.
6744         (Bug#18656)
6746 2014-10-10  Stefan Monnier  <monnier@iro.umontreal.ca>
6748         * select.el (gui-selection-exists-p-alist): New method.
6749         * menu-bar.el (menu-bar-edit-menu, clipboard-yank):
6750         * simple.el (deactivate-mark): Use it.
6751         * term/x-win.el (gui-selection-exists-p):
6752         * term/w32-win.el (gui-selection-exists-p):
6753         * term/pc-win.el (gui-selection-exists-p):
6754         * term/ns-win.el (gui-selection-exists-p): Provide a backend instance.
6756 2014-10-10  Glenn Morris  <rgm@gnu.org>
6758         * info.el (Info-fontify-maximum-menu-size): Bump to 400k.  (Bug#16227)
6759         Fix :type.  Allow t to mean no limit.
6760         (Info-fontify-node): Handle Info-fontify-maximum-menu-size = t.
6762 2014-10-09  Glenn Morris  <rgm@gnu.org>
6764         * frame.el (display-monitor-attributes-list): Doc tweaks.
6766 2014-10-09  Eli Zaretskii  <eliz@gnu.org>
6768         * faces.el (display-grayscale-p): Mention in the doc string that
6769         the argument can be either a display name or a frame.
6771         * frame.el (display-pixel-height, display-pixel-width)
6772         (display-mm-height, display-mm-width, display-backing-store)
6773         (display-save-under, display-planes, display-color-cells)
6774         (display-visual-class, display-monitor-attributes-list)
6775         (display-screens): Mention in the doc string that the argument can
6776         be either a display name or a frame.  Improve the docs of the
6777         monitor attributes.  (Bug#18636)
6779 2014-10-09  Martin Rudalics  <rudalics@gmx.at>
6781         * term.el (term-window-width): Subtract 1 from the width when
6782         any fringe has zero width, not just the right fringe.  (Bug#18601)
6784 2014-10-09  Stefan Monnier  <monnier@iro.umontreal.ca>
6786         * frame.el (make-frame): Use t rather than nil for `w' (bug#18653).
6788 2014-10-08  Leo Liu  <sdl.web@gmail.com>
6790         * emacs-lisp/cl-extra.el (cl-fresh-line): New function.
6792 2014-10-08  Glenn Morris  <rgm@gnu.org>
6794         * calendar/cal-x.el (calendar-dedicate-diary):
6795         Drop support for recently deleted aliases.
6797 2014-10-08  Leo Liu  <sdl.web@gmail.com>
6799         * progmodes/cfengine.el (cfengine3-make-syntax-cache):
6800         Always return a syntax.  Replace call-process-shell-command with
6801         process-file.  Ensure cfengine-mode-syntax-functions-regex is
6802         always set.  Ensure cache when cfengine-cf-promises fails.
6803         (Bug#18620)
6805 2014-10-07  Glenn Morris  <rgm@gnu.org>
6807         * font-lock.el (font-lock-fontify-buffer): Fix interactive-only markup.
6809 2014-10-07  Wilson Snyder  <wsnyder@wsnyder.org>
6811         Sync with upstream verilog-mode revision c075a492.
6812         * progmodes/verilog-mode.el (verilog-mode-version): Bump.
6813         (verilog-menu): Add AUTOINSERTLAST.
6814         (verilog-no-indent-begin-re): When `verilog-indent-begin-after-if'
6815         is nil, fix indenting initial/final to match always statements, bug825.
6816         Reported by Tim Clapp.
6817         (verilog-extended-complete-re): Fix indentation of DPI-C imports,
6818         bug557.  Reported by ZeDong Mao and Jason Forkey.
6819         (verilog-read-decls): Fix parsing typed interfaces.
6820         Fix AUTOINOUTMODPORT missing types.  Reported by Stephan Bourduas.
6821         (verilog-auto-arg-ports): Fix verilog-auto-arg-format single.
6822         (verilog-auto-output-every): Add regexp to AUTOOUTPUTEVERY, bug793.
6823         Reported by Pierre-David Pfister.
6824         (verilog-auto-insert-lisp): Doc fix.
6825         (verilog-auto-insert-last, verilog-auto): Add AUTOINSERTLAST to
6826         allow post-AUTO user fixups, bug826.  Reported by Dennis Muhlestein.
6827         (verilog-sk-ovm-class, verilog-sk-uvm-object)
6828         (verilog-sk-uvm-component): Fix missing string keyword in class
6829         skeletons, bug824.  Reported by eldad faruhi.
6831 2014-10-06  Stefan Monnier  <monnier@iro.umontreal.ca>
6833         * term/w32-win.el: Move all code from 32-common-fns.el here.
6834         (gui-select-text, gui-selection-value): Use w32 handlers in the w32
6835         console as well (bug#18629).
6836         * w32-common-fns.el: Remove.
6837         * loadup.el: Don't load w32-common-fns.el.
6838         * w32-fns.el: Don't require w32-common-fns.
6840         * icomplete.el: Move Iswitchb autoload here.  Much simpler.
6841         * obsolete/iswitchb.el (iswitchb-mode): Use normal autoload cookie.
6842         Remove redundant obsolescence thingy.
6843         * loadup.el: Don't load obsolete/loaddefs.el.
6844         * Makefile.in (obsolete-autoloads): Remove.
6845         (AUTOGENEL): Remove obsolete/loaddefs.el.
6847 2014-10-06  Glenn Morris  <rgm@gnu.org>
6849         * Makefile.in (obsolete-autoloads): Write to a separate file,
6850         to workaround autoloads bug.  (Bug#17407)
6851         (AUTOGENEL): Add obsolete/loaddefs.el.
6852         * loadup.el: Load obsolete/loaddefs.el if present.
6853         * subr.el (do-after-load-evaluation):
6854         Don't warn about obsolete/loaddefs.el.
6856         * menu-bar.el (menu-bar-games-menu): Remove landmark.
6857         It has zero relationship to a game.
6859 2014-10-06  Leo Liu  <sdl.web@gmail.com>
6861         * imenu.el (imenu): Re-write for clarity.
6863 2014-10-06  Glenn Morris  <rgm@gnu.org>
6865         Remove calendar code obsolete since at least version 23.1.
6866         * calendar/cal-bahai.el (calendar-absolute-from-bahai)
6867         (calendar-print-bahai-date, calendar-bahai-prompt-for-date)
6868         (calendar-goto-bahai-date, list-bahai-diary-entries)
6869         (mark-bahai-calendar-date-pattern, mark-bahai-diary-entries)
6870         (insert-bahai-diary-entry, insert-monthly-bahai-diary-entry)
6871         (insert-yearly-bahai-diary-entry):
6872         * calendar/cal-china.el (chinese-calendar-time-zone)
6873         (chinese-calendar-location-name)
6874         (chinese-calendar-daylight-time-offset)
6875         (chinese-calendar-standard-time-zone-name)
6876         (chinese-calendar-daylight-time-zone-name)
6877         (chinese-calendar-daylight-savings-starts)
6878         (chinese-calendar-daylight-savings-ends)
6879         (chinese-calendar-daylight-savings-starts-time)
6880         (chinese-calendar-daylight-savings-ends-time)
6881         (chinese-calendar-celestial-stem)
6882         (chinese-calendar-terrestrial-branch)
6883         (calendar-absolute-from-chinese, calendar-print-chinese-date)
6884         (calendar-goto-chinese-date):
6885         * calendar/cal-coptic.el (calendar-absolute-from-coptic)
6886         (calendar-print-coptic-date, coptic-prompt-for-date)
6887         (calendar-goto-coptic-date, calendar-absolute-from-ethiopic)
6888         (calendar-print-ethiopic-date, calendar-goto-ethiopic-date):
6889         * calendar/cal-french.el (calendar-absolute-from-french)
6890         (calendar-print-french-date, calendar-goto-french-date):
6891         * calendar/cal-hebrew.el (diary-sabbath-candles-minutes)
6892         (calendar-absolute-from-hebrew, calendar-print-hebrew-date)
6893         (hebrew-calendar-yahrzeit, calendar-goto-hebrew-date)
6894         (holiday-rosh-hashanah-etc, holiday-hanukkah)
6895         (holiday-passover-etc, holiday-tisha-b-av-etc)
6896         (list-hebrew-diary-entries, mark-hebrew-calendar-date-pattern)
6897         (mark-hebrew-diary-entries, insert-hebrew-diary-entry)
6898         (insert-monthly-hebrew-diary-entry)
6899         (insert-yearly-hebrew-diary-entry, list-yahrzeit-dates)
6900         (diary-omer, diary-yahrzeit, diary-rosh-hodesh, diary-parasha)
6901         (diary-sabbath-candles):
6902         * calendar/cal-islam.el (calendar-absolute-from-islamic)
6903         (calendar-print-islamic-date, calendar-goto-islamic-date)
6904         (list-islamic-diary-entries, mark-islamic-calendar-date-pattern)
6905         (mark-islamic-diary-entries, insert-islamic-diary-entry)
6906         (insert-monthly-islamic-diary-entry)
6907         (insert-yearly-islamic-diary-entry):
6908         * calendar/cal-iso.el (calendar-absolute-from-iso)
6909         (calendar-print-iso-date, calendar-iso-read-args)
6910         (calendar-goto-iso-date, calendar-goto-iso-week):
6911         * calendar/cal-julian.el (calendar-absolute-from-julian)
6912         (calendar-print-julian-date, calendar-goto-julian-date)
6913         (calendar-absolute-from-astro, calendar-print-astro-day-number)
6914         (calendar-goto-astro-day-number):
6915         * calendar/cal-mayan.el (calendar-print-mayan-date)
6916         (calendar-next-haab-date, calendar-previous-haab-date)
6917         (calendar-next-tzolkin-date, calendar-previous-tzolkin-date)
6918         (calendar-next-calendar-round-date)
6919         (calendar-previous-calendar-round-date)
6920         (calendar-absolute-from-mayan-long-count)
6921         (calendar-goto-mayan-long-count-date):
6922         * calendar/cal-move.el (scroll-calendar-left)
6923         (scroll-calendar-right, scroll-calendar-left-three-months)
6924         (scroll-calendar-right-three-months):
6925         * calendar/cal-persia.el (calendar-absolute-from-persian)
6926         (calendar-print-persian-date, persian-prompt-for-date)
6927         (calendar-goto-persian-date):
6928         * calendar/cal-x.el (calendar-after-frame-setup-hooks):
6929         * calendar/calendar.el (view-diary-entries-initially)
6930         (mark-diary-entries-in-calendar, calendar-today-face)
6931         (diary-face, holiday-face, view-calendar-holidays-initially)
6932         (mark-holidays-in-calendar, initial-calendar-window-hook)
6933         (today-visible-calendar-hook, today-invisible-calendar-hook)
6934         (hebrew-diary-entry-symbol, islamic-diary-entry-symbol)
6935         (bahai-diary-entry-symbol, american-date-diary-pattern)
6936         (european-date-diary-pattern, european-calendar-display-form)
6937         (american-calendar-display-form, holidays-in-diary-buffer)
6938         (all-hebrew-calendar-holidays, all-christian-calendar-holidays)
6939         (all-islamic-calendar-holidays, all-bahai-calendar-holidays)
6940         (fancy-diary-buffer, increment-calendar-month)
6941         (extract-calendar-month, extract-calendar-day)
6942         (extract-calendar-year, exit-calendar, calendar-date-is-legal-p)
6943         (mark-visible-calendar-date, calendar-version):
6944         * calendar/diary-lib.el (diary-button-face, sexp-diary-entry-symbol)
6945         (diary-display-hook, list-diary-entries-hook)
6946         (mark-diary-entries-hook, nongregorian-diary-listing-hook)
6947         (nongregorian-diary-marking-hook, print-diary-entries-hook)
6948         (abbreviated-calendar-year, number-of-diary-entries)
6949         (view-other-diary-entries, add-to-diary-list)
6950         (include-other-diary-files, simple-diary-display)
6951         (fancy-diary-display, print-diary-entries, mark-diary-entries)
6952         (mark-sexp-diary-entries, mark-included-diary-files)
6953         (mark-calendar-days-named, mark-calendar-month)
6954         (mark-calendar-date-pattern, sort-diary-entries)
6955         (list-sexp-diary-entries, make-diary-entry, insert-diary-entry)
6956         (insert-weekly-diary-entry, insert-monthly-diary-entry)
6957         (insert-yearly-diary-entry, insert-anniversary-diary-entry)
6958         (insert-block-diary-entry, insert-cyclic-diary-entry)
6959         (fancy-diary-font-lock-keywords, fancy-diary-display-mode):
6960         * calendar/holidays.el (general-holidays, oriental-holidays)
6961         (local-holidays, other-holidays, hebrew-holidays)
6962         (christian-holidays, islamic-holidays, bahai-holidays)
6963         (solar-holidays, list-calendar-holidays)
6964         (check-calendar-holidays, mark-calendar-holidays)
6965         (filter-visible-calendar-holidays):
6966         * calendar/lunar.el (calendar-phases-of-moon, phases-of-moon)
6967         (diary-phases-of-moon): Remove obsolete aliases.
6968         * calendar/cal-menu.el (cal-menu-load-hook): Remove obsolete hook.
6969         * calendar/cal-x.el (calendar-one-frame-setup)
6970         (calendar-only-one-frame-setup, calendar-two-frame-setup):
6971         Remove obsolete functions.
6972         (cal-x-load-hook): Remove obsolete hook.
6973         * calendar/calendar.el (european-calendar-style):
6974         Remove obsolete variable.
6975         (calendar-date-style): No longer consult european-calendar-style.
6976         * calendar/calendar.el (european-calendar, american-calendar):
6977         Remove obsolete commands.
6978         * calendar/calendar.el (calendar-for-loop): Remove obsolete macro.
6979         * calendar/diary-lib.el (diary-face): Remove obsolete variable.
6980         (diary-font-lock-date-forms, diary-fancy-font-lock-keywords):
6981         Use the face `diary' instead of the variable `diary-face'.
6982         * calendar/holidays.el (hebrew-holidays-1, hebrew-holidays-2)
6983         (hebrew-holidays-3, hebrew-holidays-4): Remove obsolete variables.
6984         * calendar/icalendar.el (icalendar--date-style): Remove function.
6985         Replace all uses with calendar-date-style.
6986         * textmodes/remember.el (calendar-date-style): Declare.
6987         (remember-diary-convert-entry):
6988         No longer consult european-calendar-style.
6990 2014-10-05  Leo Liu  <sdl.web@gmail.com>
6992         * imenu.el (imenu-default-goto-function): Fix typo.
6994 2014-10-04  Thomas Fitzsimmons  <fitzsim@fitzsim.org>
6996         * net/ntlm.el (ntlm-build-auth-request):
6997         Add NTLM2 Session support.  (Bug#15603)
6999 2014-10-04  Glenn Morris  <rgm@gnu.org>
7001         * apropos.el (apropos-symbols-internal):
7002         Avoid error with non-symbol properties.  (Bug#18337#16)
7004         * startup.el (command-line):
7005         Handle altered user-emacs-directory in load-path warning.  (Bug#18512)
7007 2014-10-04  Martin Rudalics  <rudalics@gmx.at>
7009         * window.el (window-full-height-p): Make it behave correctly for
7010         minibuffer window.
7011         (window-current-scroll-bars): Fix code.
7012         (fit-frame-to-buffer): Use window-scroll-bar-height instead of
7013         window-scroll-bars.
7014         * frame.el (frame-current-scroll-bars): Fix doc-string.
7015         * scroll-bar.el (toggle-horizontal-scroll-bar): New command.
7017 2014-10-04  Mark Oteiza  <mvoteiza@udel.edu>  (tiny change)
7019         * files.el (auto-mode-alist): Use sh-mode for .zsh files.  (Bug#18488)
7021 2014-10-04  Glenn Morris  <rgm@gnu.org>
7023         * frame.el (frame-monitor-attributes)
7024         (display-monitor-attributes-list): Doc fixes.
7026 2014-10-04  Stefan Monnier  <monnier@iro.umontreal.ca>
7028         Merge trivially safe differences from standalone CC-mode.
7029         * progmodes/cc-mode.el (c-initialize-cc-mode): Don't quote a symbol
7030         just to then pass it to `symbol-value'.
7031         (prog-mode): Provide fallback definition, if needed.
7032         * progmodes/cc-langs.el: Always load `cl'.  Don't load `cl-lib'.
7033         Remove "cl-" prefix accordingly.
7034         * progmodes/cc-fonts.el (c-font-lock-invalid-string): Use integerp or
7035         characterp depending on the type of characters.
7036         (c-font-lock-enum-tail): Remove unused var `start'.
7037         * progmodes/cc-engine.el: Load CL at compile-time.
7038         (c-declare-lang-variables): Use mapcan.
7039         (c-append-to-state-cache): Remove unused var `ce+1'.
7040         (c-parse-state-state): Make buffer-local.
7041         (c-ssb-lit-begin): Remove unused var `pps-end-pos'.
7042         (c-just-after-func-arglist-p): Remove unused var `end'.
7043         * progmodes/cc-defs.el: Load cc-fix if delete-dups is undefined.
7044         (c-<-as-paren-syntax, c->-as-paren-syntax): Move definition earlier.
7045         (c-make-keywords-re): Use delete-dups.
7046         (c-get-current-file): Avoid file-name-base.
7047         * progmodes/cc-cmds.el (c-electric-lt-gt): Remove unused var
7048         `close-paren-inserted'.
7049         * progmodes/cc-awk.el (c-forward-sws): Remove unused declaration.
7051         * progmodes/python.el: Avoid building unneeded markers.
7052         (python-font-lock-keywords, python-indent-dedent-line)
7053         (python-fill-paren, python-shell-completion-complete-or-indent):
7054         Prefer point over point-marker.
7055         (inferior-python-mode): Remove redundant completion settings.
7057 2014-10-03  Dmitry Gutov  <dgutov@yandex.ru>
7059         * vc/vc-svn.el (vc-svn-ignore-completion-table): Implement.
7060         (vc-svn-ignore): Use it.  (Bug#18619)
7062 2014-10-03  Martin Rudalics  <rudalics@gmx.at>
7064         * frame.el (toggle-frame-maximized, toggle-frame-fullscreen):
7065         In doc-string mention need to set `frame-resize-pixelwise'.
7067 2014-10-03  Stefan Monnier  <monnier@iro.umontreal.ca>
7069         * vc/vc-svn.el (vc-svn-after-dir-status): Fix the non-remote regexp,
7070         similarly to Rogers's 2010-06-16 change for the remote case
7071         (bug#18605).
7073 2014-10-03  Stefan Monnier  <monnier@iro.umontreal.ca>
7075         New gui-selection-value consolidating x-selection-value.
7076         * select.el (gui-selection-value-alist): New method.
7077         (gui-selection-value): New function.
7078         (x-selection-value): Make it an obsolete alias.
7079         * simple.el (interprogram-paste-function): Default to
7080         gui-selection-value.
7081         * w32-common-fns.el (w32-get-selection-value): Simplify.
7082         (x-selection-value): Remove alias.
7083         (interprogram-paste-function): Don't set.
7084         (gui-selection-value): Define for w32.
7085         * term/x-win.el (gui-selection-value): Define for x.
7086         (x--selection-value): Rename from x--selection-value.
7087         (interprogram-paste-function): Don't set.
7088         * term/pc-win.el (w16-get-selection-value): Simplify.
7089         (msdos-initialize-window-system): Don't set
7090         interprogram-paste-function.
7091         (gui-selection-value): Define for pc.
7092         * term/ns-win.el (x-selection-value): Remove.
7093         (gui-selection-value): Define for ns, instead.
7094         * term/common-win.el (x-setup-function-keys): Don't set
7095         interprogram-paste-function.
7096         * obsolete/mouse-sel.el (mouse-sel-get-selection-function):
7097         Use gui-selection-value.
7099 2014-10-02  David Raynes  <rayners@gmail.com>  (tiny change)
7101         * term/ns-win.el: Add functions to ns frame, not x frame (bug#18614).
7103 2014-10-02  Stefan Monnier  <monnier@iro.umontreal.ca>
7105         * obsolete/lucid.el (read-number): Remove, redundant.
7106         * obsolete/cl-compat.el (cl-floor, cl-ceiling, cl-round, cl-truncate):
7107         Remove, broken.
7109 2014-10-02  Glenn Morris  <rgm@gnu.org>
7111         * emacs-lisp/package.el (package-import-keyring):
7112         Create gnupg directory private.  (Bug#17625#155)
7114 2014-10-02  Stefan Monnier  <monnier@iro.umontreal.ca>
7116         * progmodes/python.el (python-shell-completion-get-completions):
7117         Use python-shell--prompt-calculated-input-regexp from the
7118         process buffer (bug#18582).
7119         Don't assume that `line' comes from the process buffer.
7121 2014-10-02  Stefan Monnier  <monnier@iro.umontreal.ca>
7123         * frame.el: Use lexical-binding (bug#18598).
7124         (make-frame): Use t rather than nil for tty's window-system.
7125         * startup.el (command-line): Use gui-method.
7127         Consolidate management/ownership of selections.
7128         * select.el (gui-get-selection-alist): New method.
7129         (gui-get-selection): Use it.  Rename from x-get-selection.
7130         (x-get-selection): Define as obsolete alias.
7131         (x-get-clipboard): Mark obsolete.
7132         (gui-get-primary-selection): New function.
7133         (x-get-selection-value): Mark obsolete.
7134         (gui-own-selection-alist, gui-disown-selection-alist)
7135         (gui-selection-owner-p-alist): New methods.
7136         (gui-set-selection): Use them.  Rename from x-set-selection.
7137         (x-set-selection): Define as obsolete alias.
7138         (gui--valid-simple-selection-p): Rename from
7139         x-valid-simple-selection-p.
7140         * w32-common-fns.el (gui-own-selection, gui-disown-selection)
7141         (gui-selection-owner-p, gui-get-selection): Define for w32.
7142         (w32-get-selection-value): Rename from x-get-selection-value.
7143         Use the new gui-last-selected-text.
7144         * term/x-win.el (x-get-selection-value): Remove.
7145         (x-clipboard-yank): Declare obsolete.
7146         (gui-own-selection, gui-disown-selection, gui-get-selection)
7147         (gui-selection-owner-p): Define for x.
7148         * term/w32-win.el (w32-win-suspend-error): Rename from
7149         x-win-suspend-error.
7150         * term/pc-win.el (w16-get-selection-value): Rename from
7151         x-get-selection-value.
7152         (w16-selection-owner-p): Rename from x-selection-owner-p.
7153         (gui-own-selection, gui-disown-selection, gui-get-selection)
7154         (gui-selection-owner-p): Define for pc.
7155         (w16--select-text): New function.
7156         * term/ns-win.el (gui-own-selection, gui-disown-selection)
7157         (gui-get-selection, gui-selection-owner-p): Define for ns.
7158         * term.el (term-mouse-paste):
7159         * mouse.el (mouse-yank-primary): Use gui-get-primary-selection.
7161 2014-10-02  H. Dieter Wilhelm  <dieter@duenenhof-wilhelm.de>
7163         * calc/calc-help.el (calc-describe-thing): Quote strings
7164         which could look like regexps.
7166 2014-10-01  Stefan Monnier  <monnier@iro.umontreal.ca>
7168         Consolidate x-select-text.
7169         * frame.el (gui-method, gui-method-define, gui-method-declare)
7170         (gui-call): New macros.
7171         (gui-method--name): New function.
7172         (frame-creation-function-alist): Use gui-method-declare.
7173         (make-frame): Use gui-method.
7174         * select.el (gui-select-enable-clipboard): Rename from
7175         x-select-enable-clipboard and move here.
7176         (x-select-enable-clipboard): Define as obsolete alias.
7177         (gui-last-selected-text): New var, to replace x-last-selected-text.
7178         (gui-select-text): New GUI method.
7179         (gui-select-text): New function.
7180         (x-select-text): Define as obsolete alias.
7181         * term/common-win.el (x-select-enable-clipboard, x-select-text):
7182         Move to select.el.
7183         * simple.el (interprogram-cut-function): Change default to
7184         x-select-text.
7185         (interprogram-paste-function): Change default to `ignore'.
7186         * w32-common-fns.el (interprogram-cut-function): Don't modify.
7187         * term/x-win.el (interprogram-cut-function): Don't modify.
7188         (gui-select-text): Add method for x.
7189         * term/w32-win.el (gui-select-text): Add method for w32.
7190         * term/pc-win.el (x-last-selected-text): Remove, use
7191         gui-last-selected-text instead.
7192         (msdos-initialize-window-system): Don't set interprogram-cut-function.
7193         (gui-select-text): Add method for pc.
7194         * term/ns-win.el (ns-last-selected-text): Remove, use
7195         gui-last-selected-text instead.
7196         (gui-select-text): Add method for ns.
7197         (x-setup-function-keys): Don't change interprogram-cut-function.
7198         * loadup.el ("startup"): Load after "frame".
7199         * subr.el (package--builtin-versions, package--description-file):
7200         Move from startup.el.
7201         * startup.el (package--builtin-versions, package--description-file):
7202         Move to subr.el.
7203         (handle-args-function-alist, window-system-initialization-alist):
7204         Use gui-method-declare.
7205         (command-line): Use gui-method.
7207 2014-10-01  Stefan Monnier  <monnier@iro.umontreal.ca>
7209         * subr.el (alist-get): New accessor.
7210         * emacs-lisp/gv.el (alist-get): Provide expander.
7211         * winner.el (winner-remember):
7212         * tempo.el (tempo-use-tag-list):
7213         * progmodes/gud.el (minor-mode-map-alist):
7214         * international/mule-cmds.el (define-char-code-property):
7215         * frameset.el (frameset-filter-params):
7216         * files.el (dir-locals-set-class-variables):
7217         * register.el (get-register, set-register):
7218         * calc/calc-yank.el (calc-set-register): Use it.
7219         * ps-print.el (ps-get, ps-put, ps-del): Mark as obsolete.
7220         * tooltip.el (tooltip-set-param): Mark as obsolete.
7221         (tooltip-show): Use alist-get instead.
7222         * ses.el (ses--alist-get): Remove.  Use alist-get instead.
7224 2014-10-01  Ulf Jasper  <ulf.jasper@web.de>
7226         * net/newst-backend.el: Remove Time-stamp.  Rename variable
7227         `newsticker--download-logos' to `newsticker-download-logos' and
7228         make it customizable.
7229         (newsticker--sentinel-work): Move xml-workarounds to function
7230         `newsticker--do-xml-workarounds', call unless libxml-parser is
7231         used.  Allow single quote in regexp for encoding.
7232         Use libxml-parser if available, else fall back to `xml-parse-region'.
7233         Take care of possibly missing namespace prefixes (like "RDF"
7234         instead of "rdf:RDF") when checking xml nodes and attributes (as
7235         libxml correctly removes the prefixes).  Always use Atom 1.0 as
7236         fallback feed type.  Rename `newsticker--download-logos' to
7237         `newsticker-download-logos'
7238         (newsticker--unxml, newsticker--unxml-node)
7239         (newsticker--unxml-attribute): New.
7240         (newsticker--parse-atom-1.0): Call `unxml' in case that embedded
7241         HTML code has become part of the xml parse tree.
7242         (newsticker--parse-rss-1.0, newsticker--parse-rss-2.0): Take care
7243         of possibly missing namespace prefixes.
7244         (newsticker--parse-generic-items): Code formatting.  Typo.
7245         (newsticker--images-dir): Add trailing slash.
7246         (newsticker--image-get): Fix error message.
7248         * net/newst-plainview.el: Remove Time-stamp.
7250         * net/newst-reader.el: Remove Time-stamp.
7251         (newsticker-download-logos): Rename variable
7252         `newsticker--download-logos' to `newsticker-download-logos' and
7253         make it customizable.
7254         (newsticker--print-extra-elements): Add optional parameter
7255         'htmlish for using html markup.  Amend list of ignored elements.
7256         (newsticker--do-print-extra-element): Add parameter 'htmlish for
7257         using html markup.
7259         * net/newst-ticker.el: Remove Time-stamp.
7261         * net/newst-treeview.el (newsticker--treeview-item-show): Use html
7262         for formatting extra elements.
7264         * net/newsticker.el:  Remove Time-stamp, Version.
7265         (newsticker-version): Make obsolete.
7267 2014-09-30  Leonardo Nobrega  <leonobr@gmail.com>  (tiny change)
7269         * progmodes/python.el (python-fill-paren): Don't inf-loop at EOB
7270         (bug#18462).
7272 2014-09-30  Stefan Monnier  <monnier@iro.umontreal.ca>
7274         * emacs-lisp/package.el (package-check-signature): Default to nil if
7275         GPG is not available.
7276         (package-refresh-contents): Don't mess with the keyring if we won't
7277         check the signatures anyway.
7279 2014-09-30  Stefan Monnier  <monnier@iro.umontreal.ca>
7281         * ses.el (ses--row, ses--col): New dyn-scoped vars, to replace row&col.
7282         (ses-center, ses-center-span): Use them.
7283         (ses-print-cell): Bind them while calling the printer.
7284         (row, col, maxrow, maxcol): Don't declare as dynamically scoped.
7285         (ses-dorange): Revert last change.
7286         (ses-calculate-cell): Don't bind row&col dynamically while evaluating
7287         the formula.
7288         (ses-set-cell): Avoid `eval'.
7289         (ses--time-check): Rename it from ses-time-check and turn it into
7290         a macro.
7292         * ses.el (ses-setup): Don't assume modifying the iteration var of
7293         dotimes affects the iteration (bug#18191).
7295 2014-09-30  Vincent Belaïche  <vincentb1@users.sourceforge.net>
7297         * ses.el (ses-calculate-cell): Bind row and col dynamically to
7298         their values with 'cl-progv'.
7299         (ses-dorange): Bind row, col, maxrow and maxcol dynamically to
7300         their values with 'cl-progv', also use non-interned symbols for
7301         row, minrow, maxrow, mincol and maxcol.
7302         (maxrow maxcol): New defvar, to make the compiler happy.
7304 2014-09-30  Stefan Monnier  <monnier@iro.umontreal.ca>
7306         * minibuffer.el (completion-at-point): Emit warning for ill-behaved
7307         completion functions.
7309 2014-09-29  Stefan Monnier  <monnier@iro.umontreal.ca>
7311         * ses.el (ses--letref): Quote value before it gets re-evaluated.
7313 2014-09-28  Thien-Thi Nguyen  <ttn@gnu.org>
7315         Font-lock `cl-flet*', too.
7316         * emacs-lisp/lisp-mode.el (lisp-cl-font-lock-keywords-2):
7317         Add "flet*" to intermediate var `cl-lib-kw'.
7319 2014-09-27  Stefan Monnier  <monnier@iro.umontreal.ca>
7321         * epg-config.el (epg-gpg-program): Use the plain program names rather
7322         than their absolute file name.
7324         * subr.el (track-mouse): New macro.
7325         * emacs-lisp/cconv.el (cconv-convert, cconv-analyse-form):
7326         Remove track-mouse case.
7327         * emacs-lisp/bytecomp.el (byte-compile-track-mouse): Remove.
7329 2014-09-27  Leo Liu  <sdl.web@gmail.com>
7331         * progmodes/elisp-mode.el (elisp--eldoc-last-data): Use defvar.
7333         * emacs-lisp/eldoc.el (eldoc-mode): Fix thinko.
7335 2014-09-27  Stefan Monnier  <monnier@iro.umontreal.ca>
7337         * emacs-lisp/pcase.el (pcase--split-match, pcase--app-subst-match):
7338         Handle the case where `match' is :pcase--succeed or :pcase--fail
7339         (bug#18554).
7341         Introduce global-eldoc-mode.  Move Elisp-specific code to elisp-mode.el.
7342         * emacs-lisp/eldoc.el (global-eldoc-mode): New minor mode.
7343         (eldoc-schedule-timer): Obey it.
7344         (eldoc-documentation-function): Default to nil.
7345         (eldoc-mode): Don't enable if eldoc-documentation-function is not set.
7346         (eldoc-documentation-function-default, eldoc-get-fnsym-args-string)
7347         (eldoc-highlight-function-argument, eldoc-get-var-docstring)
7348         (eldoc-last-data-store, eldoc-docstring-first-line)
7349         (eldoc-docstring-format-sym-doc, eldoc-fnsym-in-current-sexp)
7350         (eldoc-beginning-of-sexp, eldoc-current-symbol)
7351         (eldoc-function-argstring): Move to elisp-mode.el.
7352         (eldoc-symbol-function): Remove, unused.
7353         * progmodes/elisp-mode.el: New file.  Rename all "eldoc-*" to "elisp--*".
7354         (elisp-completion-at-point): Rename from lisp-completion-at-point.
7355         (elisp--preceding-sexp): Rename from preceding-sexp.
7356         * loadup.el: Load new file progmodes/elisp-mode.
7357         * ielm.el (inferior-emacs-lisp-mode): Set eldoc-documentation-function.
7358         * emacs-lisp/lisp.el (lisp--local-variables-1, lisp--local-variables)
7359         (lisp--local-variables-completion-table, lisp--expect-function-p)
7360         (lisp--form-quoted-p, lisp--company-doc-buffer)
7361         (lisp--company-doc-string, lisp--company-location)
7362         (lisp-completion-at-point): Move to elisp-mode.el.
7363         * emacs-lisp/lisp-mode.el (lisp--mode-syntax-table): New syntax-table,
7364         extracted from emacs-lisp-mode-syntax-table.
7365         (emacs-lisp-mode-abbrev-table, emacs-lisp-mode-syntax-table): Move to
7366         elisp-mode.el.
7367         (lisp-imenu-generic-expression): Add comments to document what comes
7368         from which Lisp dialect.
7369         (emacs-lisp-mode-map, emacs-lisp-byte-compile)
7370         (emacs-lisp-byte-compile-and-load, emacs-lisp-mode-hook)
7371         (emacs-lisp-mode, emacs-list-byte-code-comment-re)
7372         (emacs-lisp-byte-code-comment)
7373         (emacs-lisp-byte-code-syntax-propertize, emacs-lisp-byte-code-mode)
7374         (lisp-interaction-mode-map, lisp-interaction-mode)
7375         (eval-print-last-sexp, last-sexp-setup-props)
7376         (last-sexp-toggle-display, prin1-char, preceding-sexp)
7377         (eval-last-sexp-1, eval-last-sexp-print-value)
7378         (eval-last-sexp-fake-value, eval-sexp-add-defvars, eval-last-sexp)
7379         (eval-defun-1, eval-defun-2, eval-defun): Move to elisp-mode.el.
7381 2014-09-26  Paul Eggert  <eggert@cs.ucla.edu>
7383         * progmodes/grep.el (grep-regexp-alist): Use more-accurate regexp.
7384         Do not match file names that end in '/', as they cannot be 'grep'
7385         hits nowadays.  This prevents confusion when 'grep -r' reports a
7386         match in a file whose basename is ':12345:'.  Conversely, do not
7387         require exactly the same sequence of spaces and tabs after both
7388         colons, and allow spaces or tabs before the second colon, as per
7389         the POSIX spec for 'grep' output.
7391 2014-09-26  Leo Liu  <sdl.web@gmail.com>
7393         Add cl-parse-integer based on parse-integer (Bug#18557)
7394         * calendar/parse-time.el (parse-time-digits): Remove.
7395         (digit-char-p, parse-integer) Moved to cl-lib.el.
7396         (parse-time-tokenize, parse-time-rules, parse-time-string):
7397         Use cl-parse-integer.
7399         * emacs-lisp/cl-extra.el (cl-parse-integer): New function.
7401         * emacs-lisp/cl-lib.el (cl-digit-char-table): New var.
7402         (cl-digit-char-p): New function.
7404 2014-09-25  Juri Linkov  <juri@jurta.org>
7406         * vc/add-log.el (change-log-next-buffer): Don't create an empty
7407         buffer "ChangeLog" when the current buffer doesn't match ChangeLog.[0-9].
7408         Return the current buffer if no files match the default pattern
7409         ChangeLog.[0-9].  Signal "end of multi" when file is nil.  (Bug#18547)
7411 2014-09-25  Stefan Monnier  <monnier@iro.umontreal.ca>
7413         * net/tramp-sh.el (tramp-sh-handle-vc-registered): Don't modify
7414         the global vc-handled-backends (bug#18535).
7416 2014-09-24  Stefan Monnier  <monnier@iro.umontreal.ca>
7418         * find-cmd.el (find-cmd): Use grep's `find-program' (bug#18518).
7419         Suggested by <lompik@voila.fr>.
7421 2014-09-24  Ulf Jasper  <ulf.jasper@web.de>
7423         * net/newst-treeview.el (newsticker--treeview-do-get-node-by-id):
7424         Rename from `newsticker--treeview-do-get-node'.
7425         (newsticker--treeview-get-node-by-id):
7426         Rename from `newsticker--treeview-get-node'.
7427         (newsticker--treeview-buffer-init)
7428         (newsticker--treeview-buffer-init): Disable buffer undo.
7429         (newsticker--treeview-unfold-node): Adapt to modified
7430         `newsticker--group-find-parent-group'.
7431         (newsticker--group-do-find-group):
7432         Rename from `newsticker--group-do-find-group-for-feed'.
7433         Now works for both, groups and feeds.
7434         (newsticker--group-find-parent-group):
7435         Rename from `newsticker--group-find-group-for-feed'.
7436         Now works for both, groups and feeds.
7437         (newsticker--group-do-get-parent-group)
7438         (newsticker--group-get-parent-group): Remove.
7439         (newsticker-group-add-group): Change interactive prompts.
7440         (newsticker-group-add-group): Finally jump to added group.
7441         (newsticker-group-delete-group): Finally jump to current feed.
7442         (newsticker--group-do-rename-group, newsticker-group-rename-group)
7443         (newsticker--get-group-names, newsticker--group-names): New.
7444         (newsticker-group-move-feed): Finally jump to moved feed.
7445         (newsticker-group-shift-feed-down, newsticker-group-shift-feed-up)
7446         (newsticker-group-shift-group-down)
7447         (newsticker-group-shift-group-up, newsticker--group-shift): New.
7448         (newsticker-treeview-mode-map): New keybindings for new shift commands.
7450         * net/newst-backend.el (newsticker--item-list)
7451         (newsticker--item-position, newsticker--prev-message)
7452         (newsticker--scrollable-text): Move to newst-ticker.el.
7454         * net/newst-ticker.el (newsticker--item-list)
7455         (newsticker--item-position, newsticker--prev-message)
7456         (newsticker--scrollable-text): Move from newst-backend.el.
7458 2014-09-22  Kan-Ru Chen  <kanru@kanru.info>
7460         * window.el (fit-window-to-buffer): When counting buffer width,
7461         count the whole visible buffer.  Correctly convert the body-height
7462         to pixel size for window-text-pixel-size (Bug#18498).
7464 2014-09-22  Sam Steingold  <sds@gnu.org>
7466         * progmodes/sql.el (sql-product-alist): Improve the Vertica entry.
7467         (sql-execute): Use `special-mode'.
7469 2014-09-22  Stefan Monnier  <monnier@iro.umontreal.ca>
7471         Add pcase-defmacro, as well as `quote' and `app' patterns.
7472         * loadup.el: Increase max-lisp-eval-depth when macroexpanding macroexp.
7473         * emacs-lisp/pcase.el: Allow (F . ARGS) in `app' patterns.
7474         (pcase--funcall, pcase--eval): New functions.
7475         (pcase--u1): Use them for guard, pred, let, and app.
7476         (\`): Use the new feature to generate better code for vector patterns.
7477         * emacs-lisp/pcase.el: Use pcase-defmacro to handle backquote.
7478         (pcase--upat): Remove.
7479         (pcase--macroexpand): Don't hardcode handling of `.
7480         (pcase--split-consp, pcase--split-vector): Remove.
7481         (pcase--split-equal): Disregard ` since it's expanded away.
7482         (pcase--split-member): Optimize for quote rather than for `.
7483         (pcase--split-pred): Optimize for quote rather than for `.
7484         (pcase--u1): Remove handling of ` (and of `or' and `and').
7485         Quote non-selfquoting values when passing them to `eq'.
7486         Drop `app's let-binding if the variable is not used.
7487         (pcase--q1): Remove.
7488         (`): Define as a pattern macro.
7489         * emacs-lisp/pcase.el (pcase--match): New smart-constructor function.
7490         (pcase--expand pcase--q1, pcase--app-subst-match): Use it.
7491         (pcase--macroexpand): Handle self-quoting patterns here, expand them to
7492         quote patterns.
7493         (pcase--split-match): Don't hoist or/and here any more.
7494         (pcase--split-equal): Optimize quote patterns as well as ` patterns.
7495         (pcase--flip): New helper macro.
7496         (pcase--u1): Optimize the memq case directly.
7497         Don't handle neither self-quoting nor and/or patterns any more.
7498         * emacs-lisp/pcase.el (pcase-defmacro): New macro.
7499         (pcase--macroexpand): New function.
7500         (pcase--expand): Use it.
7501         * emacs-lisp/pcase.el (pcase--app-subst-match, pcase--app-subst-rest):
7502         New optimization functions.
7503         (pcase--u1): Add support for `quote' and `app'.
7504         (pcase): Document them in the docstring.
7506 2014-09-22  Stefan Monnier  <monnier@iro.umontreal.ca>
7508         Use lexical-bindin in Ibuffer.
7509         * ibuffer.el (ibuffer-do-toggle-read-only): `arg' is unused.
7510         (ibuffer-compile-format): Simplify.
7511         (ibuffer-clear-summary-columns): Simplify.
7512         * ibuf-ext.el (ibuffer-generate-filter-groups): Don't use the third
7513         elem of dotimes when we don't refer to the iteration var from it.
7514         (ibuffer-toggle-sorting-mode): Avoid add-to-list.
7515         * ibuf-macs.el (define-ibuffer-column, define-ibuffer-op):
7516         Silence byte-compiler.
7518 2014-09-22  Stefan Monnier  <monnier@iro.umontreal.ca>
7520         * font-lock.el (font-lock-compile-keyword): Don't confuse a lambda
7521         expression for a list.
7523         * emacs-lisp/bytecomp.el (byte-compile-lambda): Don't add fundoc usage
7524         for functions with no arguments.
7526         * mpc.el (mpc-data-directory): Use locate-user-emacs-file.
7527         (mpc-volume-refresh): Make sure the corresponding header-line is updated.
7529 2014-09-17  Tom Willemse  <tom@ryuslash.org>  (tiny change)
7531         * simple.el (clone-indirect-buffer): Mention the return value
7532         (bug#18478).
7534         * progmodes/prog-mode.el (prog-mode-hook): Replace reference to
7535         Text mode in docstring (bug#18464).
7537 2014-09-21  Stefan Monnier  <monnier@iro.umontreal.ca>
7539         * progmodes/perl-mode.el (perl-syntax-propertize-function):
7540         Accept underscores in identifiers after "sub" (bug#18502).
7542 2014-09-21  Tassilo Horn  <tsdh@gnu.org>
7544         * textmodes/reftex-sel.el (reftex-select-label-mode)
7545         (reftex-select-bib-mode, reftex-insert-docstruct): Derive modes
7546         from special-mode (instead of fundamental-mode) and propertize
7547         with font-lock-face instead of just face.  (Bug#18496)
7549         * textmodes/reftex-toc.el (reftex-toc-mode, reftex-toc): Ditto.
7551 2014-09-19  Dmitry Gutov  <dgutov@yandex.ru>
7553         * emacs-lisp/lisp.el (lisp-completion-at-point): Only calculate
7554         `table-etc' when `end' is non-nil.
7555         (lisp-completion-at-point): Move `end' back if it's after quote.
7556         If in comment or string, only complete when after backquote.
7557         (Bug#18265)
7558         (lisp-completion-at-point): Don't use
7559         `lisp--local-variables-completion-table' in the
7560         `lisp--form-quoted-p' case.
7562 2014-09-19  Dmitry Gutov  <dgutov@yandex.ru>
7564         * emacs-lisp/lisp.el (lisp--expect-function-p)
7565         (lisp--form-quoted-p): New functions.
7566         (lisp-completion-at-point): Use them to see if we're completing a
7567         variable reference, a function name, or just any symbol.
7568         http://lists.gnu.org/archive/html/emacs-devel/2014-02/msg00229.html
7570 2014-09-18  Ivan Kanis  <ivan@kanis.fr>
7572         * net/shr.el, net/eww.el: Don't override `shr-width', but
7573         introduce a new variable `shr-internal-width'.  This allows users
7574         to specify a width themselves.
7576 2014-09-18  Lars Magne Ingebrigtsen  <larsi@gnus.org>
7578         * image-mode.el (image-toggle-display-image): If we have a
7579         `fit-width' or a `fit-height', don't limit the size of the image
7580         to the window size, because that doesn't preserve the aspect ratio.
7581         * image-mode.el: Move defvars earlier to avoid a byte-compilation
7582         warning.
7584 2014-09-17  Reuben Thomas  <rrt@sc3d.org>
7586         * progmodes/js.el: Add interpreter-mode-alist support for various
7587         JavaScript interpreters.
7589 2014-09-17  Paul Eggert  <eggert@cs.ucla.edu>
7591         Don't assume 'grep' supports GREP_OPTIONS.
7592         The GREP_OPTIONS environment variable is planned to be marked
7593         obsolescent in GNU grep, due to problems in its use, so stop
7594         relying on it.
7595         * progmodes/grep.el (grep-highlight-matches): Document this.
7596         (grep-process-setup): Do not set GREP_OPTIONS.
7597         (grep-compute-defaults): Use an explicit --color option if supported.
7599 2014-09-16  Stefan Monnier  <monnier@iro.umontreal.ca>
7601         * msb.el (msb--make-keymap-menu, msb-menu-bar-update-buffers):
7602         Don't add outdated key-shortcut cache (bug#18482).
7604 2014-09-15  Glenn Morris  <rgm@gnu.org>
7606         * image.el (image-multi-frame-p): Fix thinko - do not force
7607         a delay if none was specified.  (Bug#18334)
7609 2014-09-15  Kan-Ru Chen  <kanru@kanru.info>
7611         * window.el (fit-window-to-buffer): Doc fix.
7613 2014-09-15  Ivan Shmakov  <ivan@siamics.net>
7615         * desktop.el (desktop-create-buffer): Check that buffers are still live
7616         before burying them (bug#18373).
7618 2014-09-15  Glenn Morris  <rgm@gnu.org>
7620         * calendar/diary-lib.el (diary-list-entries):
7621         Restore 24.3 display behavior.  (Bug#18381)
7623 2014-09-15  Eli Zaretskii  <eliz@gnu.org>
7625         * mouse.el (mouse-drag-line): On text-mode frames, count the mode
7626         line and header line as 1 pixel.  This fixes the 1-"pixel" (row)
7627         discrepancy between window-pixel-edges and mouse events, and
7628         avoids moving mode line up when the mouse click is on the modeline
7629         and no drag is attempted.
7631 2014-09-14  Daniel Colascione  <dancol@dancol.org>
7633         * register.el (insert-register): Change default interactive
7634         insertion mode.
7636 2014-09-14  Michael Albinus  <michael.albinus@gmx.de>
7638         * net/tramp-cache.el (tramp-flush-file-function): Simplify check.
7639         Suppress debug messages.
7641         * net/tramp.el (tramp-file-name-handler):
7642         * net/tramp-gvfs.el (tramp-gvfs-url-file-name): Apply `cons' where
7643         appropriate.
7645 2014-09-13  Christopher Schmidt  <ch@ristopher.com>
7647         * calendar/calendar.el (calendar-update-mode-line):
7648         Do not overwrite mode-line-format if calendar-mode-line-format is
7649         nil.  (Bug#18467)
7651 2014-09-13  Leo Liu  <sdl.web@gmail.com>
7653         * emacs-lisp/pcase.el (pcase--dontwarn-upats): New var.
7654         (pcase--expand): Use it.
7655         (pcase-exhaustive): New macro.  (Bug#16567)
7657         * emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-2):
7658         Add pcase-exhaustive.
7660 2014-09-13  Eli Zaretskii  <eliz@gnu.org>
7662         * mail/rmailmm.el (rmail-mime-insert-html): Decode the HTML part
7663         using the specified transfer-encoding, if any, or 'undecided'.
7664         (rmail-mime-render-html-shr): Bind shr-width to nil, so lines are
7665         broken at the window margin.
7667 2013-12-27  Ken Olum  <kdo@cosmos.phy.tufts.edu>
7669         Support rendering of HTML parts in Rmail (bug#4258).
7670         * mail/rmailmm.el (rmail-mime-process): Handle text/html
7671         separately from other text/ types.  Suppress tagline for
7672         multipart body.
7673         (rmail-mime-parse): Don't change visibility of tagline here.
7674         (rmail-mime-set-bulk-data, rmail-mime-insert-bulk):
7675         Handle text/html specially.
7676         (rmail-mime-render-html-function,rmail-mime-prefer-html): New variables.
7677         (rmail-mime-insert-html, rmail-mime-render-html-shr)
7678         (rmail-mime-render-html-lynx): New functions.
7679         (rmail-mime-fix-inserted-faces): New function.
7680         (rmail-mime-process-multipart): Find the best part to show
7681         following rmail-mime-prefer-html if set.
7682         (rmail-mime-searching): New variable.
7683         (rmail-search-mime-message): Bind rmail-mime-searching to
7684         suppress rendering while searching.
7686 2014-09-12  Sam Steingold  <sds@gnu.org>
7688         * progmodes/sql.el (sql-product-alist): Add vertica.
7689         (sql-vertica-program, sql-vertica-options)
7690         (sql-vertica-login-params, sql-comint-vertica, sql-vertica):
7691         New functions and variables to support Vertica.
7692         Inspired by code by Roman Scherer <roman@burningswell.com>.
7694 2014-09-11  Paul Eggert  <eggert@cs.ucla.edu>
7696         * ses.el (ses-file-format-extend-parameter-list): Rename from
7697         ses-file-format-extend-paramter-list, to correct a misspelling.
7698         All uses changed.
7700 2014-09-10  Alan Mackenzie  <acm@muc.de>
7702         CC Mode: revert recent changes and fix bug 17463 (cc-langs.elc
7703         gets loaded at run-time).
7704         * progmodes/cc-langs.el (c-no-parens-syntax-table): Rename the
7705         c-lang-const to c-make-no-parens-syntax-table and correct the
7706         logic.
7707         (c-no-parens-syntax-table): Correct the logic of the
7708         c-lang-defvar.
7710 2014-09-10  Stefan Monnier  <monnier@iro.umontreal.ca>
7712         CC-mode: Set open-paren-in-column-0-is-defun-start to nil;
7713         plus misc cleanup.
7714         * progmodes/cc-mode.el (c-basic-common-init):
7715         Set open-paren-in-column-0-is-defun-start.
7716         (adaptive-fill-first-line-regexp, font-lock-syntactic-keywords):
7717         Remove declarations, unused.
7718         (run-mode-hooks): Remove declaration.
7719         (font-lock-defaults): Use plain `defvar' to declare.
7720         (c-run-mode-hooks): Test existence of run-mode-hooks with fboundp.
7721         * progmodes/cc-langs.el (c-filter-ops): Avoid `setq'.
7722         (c-make-mode-syntax-table): Don't micro-optimize.
7723         (c-keywords, c-keyword-member-alist): Simplify.
7724         (c-kwds-lang-consts): Don't eval at compile-time.
7725         (c-primary-expr-regexp): Comment out unused vars.
7726         * progmodes/cc-fonts.el (c-font-lock-context): Declare at top-level.
7727         (c-font-byte-compile): New var.
7728         (c--compile): New function.  Use it instead of `byte-compile'.
7729         (c-cpp-matchers): Quote the value returned by
7730         `c-make-syntactic-matcher' in case it's not self-evaluating.
7731         (c-basic-matchers-before): Avoid a plain MATCHER as keyword, wrap it in
7732         parentheses instead (in case MATCHER happens to be a list).
7733         (c-font-lock-enum-tail): Remove unused var `start'.
7734         (c-font-lock-objc-methods): Silence byte-compiler warnings.
7735         * progmodes/cc-engine.el (c-syntactic-re-search-forward): Sink an `if'
7736         test into an argument.
7737         * progmodes/cc-defs.el (c-point, c-major-mode-is, c-put-char-property)
7738         (c-get-char-property): Don't use `eval' just to unquote a constant.
7739         (c-use-extents): Remove.  Use (featurep 'xemacs), compiled
7740         more efficiently.
7741         (c-put-char-property-fun): Don't call `byte-compile' by hand.
7742         (c-clear-char-property, c-clear-char-properties): Check that `property'
7743         is a quoted constant.
7744         (c-emacs-features): Remove `infodock', `syntax-properties', and
7745         `pps-extended-state' (never used), `8-bit' and `1-bit' (use (featurep
7746         'xemacs) instead).  Use `with-temp-buffer' and let-bind vars after
7747         changing buffer, so we don't have to setq them again afterwards.
7748         (c-lang-const): Remove redundant symbolp assertions.
7749         (c-find-assignment-for-mode): Use `or'.
7750         * Makefile.in (compile-one-process): Remove cc-mode dependency.
7752 2014-09-09  Sam Steingold  <sds@gnu.org>
7754         * progmodes/sql.el (sql-default-directory): Fix type annotation.
7756 2014-09-09  Stefan Monnier  <monnier@iro.umontreal.ca>
7758         * progmodes/cc-awk.el: Remove unneeded cc-bytecomp use.
7759         Change doc comments into docstrings.
7760         * Makefile.in: Remove cc-awk dependency.
7762 2014-09-08  Sam Steingold  <sds@gnu.org>
7764         * progmodes/sql.el (sql-send-line-and-next): New command,
7765         bound to C-c C-n.
7766         (sql-show-sqli-buffer): Display the buffer instead of its name and
7767         bind the command to C-c C-z.
7768         (sql-default-directory): New user option.
7769         (sql-product-interactive): Bind `default-directory' to it to
7770         enable remote connections using Tramp.
7771         (sql-set-sqli-buffer): Call `sql-product-interactive' when no
7772         suitable buffer is available.
7774 2014-09-08  Glenn Morris  <rgm@gnu.org>
7776         * calendar/calendar.el (calendar-basic-setup):
7777         Fix calendar-view-holidays-initially-flag and fancy display.
7778         * calendar/diary-lib.el (diary-live-p): Doc fix.
7780         * calendar/calendar.el (calendar-basic-setup):
7781         Avoid clobbering calendar with diary.  (Bug#18381)
7783 2014-09-08  Stefan Monnier  <monnier@iro.umontreal.ca>
7785         * vc/vc-dir.el (vc-dir-update): Don't burp in corner case.
7787 2014-09-08  Lars Ljung  <lars@matholka.se>  (tiny change)
7789         * isearch.el (isearch-yank-word-or-char): Obey superword-mode
7790         as well (bug#18400).
7792 2014-09-08  Eli Zaretskii  <eliz@gnu.org>
7794         * subr.el (posn-actual-col-row): Doc fix.  (Bug#18385)
7796 2014-09-06  Leo Liu  <sdl.web@gmail.com>
7798         * emacs-lisp/pcase.el (pcase): Doc fix.
7799         (pcase--split-vector): New function.
7800         (pcase--q1): Support vector qpattern.  (Bug#18327)
7802 2014-09-05  Sam Steingold  <sds@gnu.org>
7804         * textmodes/tex-mode.el (tex-print-file-extension): New user
7805         option.
7806         (tex-print): Use it instead of the hard-coded string.
7808 2014-09-05  Michael Albinus  <michael.albinus@gmx.de>
7810         * net/tramp-sh.el (tramp-sh-handle-start-file-process):
7811         Expand `default-directory'.
7813 2014-09-05  Martin Rudalics  <rudalics@gmx.at>
7815         * scroll-bar.el (horizontal-scroll-bars-available-p):
7816         New function.
7817         (horizontal-scroll-bar-mode): Rewrite using
7818         horizontal-scroll-bars-available-p.
7819         * menu-bar.el (menu-bar-showhide-scroll-bar-menu): Rewrite using
7820         horizontal-scroll-bars-available-p.
7822 2014-09-05  Stefan Monnier  <monnier@iro.umontreal.ca>
7824         * subr.el (call-process-shell-command, process-file-shell-command):
7825         Make the `args' obsolete (bug#18409).
7826         (start-process-shell-command, start-file-process-shell-command):
7827         Use `declare'.
7829 2014-09-05  Jay Belanger  <jay.p.belanger@gmail.com>
7831         * calc/calc-forms.el (math-normalize-hms): Do a better check for
7832         "negative" hms forms.
7834 2014-09-04  Rasmus Pank Roulund  <emacs@pank.eu>
7836         * vc/vc-git.el (vc-git-conflicted-files): Fix bug when git status
7837         returns nil (bug#18391).
7839 2014-09-04  Stefan Monnier  <monnier@iro.umontreal.ca>
7841         * emacs-lisp/eldoc.el (eldoc-function-argstring): Don't strip
7842         terminating paren (bug#18352).
7843         (eldoc-last-data-store): Return cached data.
7844         (eldoc-get-var-docstring): Avoid setq.
7845         (eldoc-get-fnsym-args-string): Clarify data flow.
7847 2014-09-04  Thierry Volpiatto  <thierry.volpiatto@gmail.com>
7849         * emacs-lisp/eldoc.el (eldoc-highlight-function-argument): Handle the
7850         case where we're currently providing part of the &rest arg after some
7851         &key args, as in define-ibuffer-op (bug#18048).
7853 2014-09-03  Stefan Monnier  <monnier@iro.umontreal.ca>
7855         * progmodes/which-func.el (which-func-ff-hook): Obey pre-existing
7856         buffer-local setting of which-func-mode.
7857         (which-func-mode): Use defvar-local.
7858         (which-function-mode): Don't reset which-func-mode in each buffer since
7859         it might have been set by someone else.
7860         (which-func-update-ediff-windows): Check which-function-mode.
7862 2014-09-03  Martin Rudalics  <rudalics@gmx.at>
7864         * frame.el (frame-initialize): Remove horizontal-scroll-bars
7865         from frame-initial-frame-alist.
7866         * scroll-bar.el (previous-horizontal-scroll-bar-mode)
7867         (horizontal-scroll-bar-mode-explicit)
7868         (set-horizontal-scroll-bar-mode, get-horizontal-scroll-bar-mode)
7869         (toggle-horizontal-scroll-bar): Remove.
7870         (horizontal-scroll-bar-mode): Remove defcustom.
7871         (horizontal-scroll-bar-mode): Fix doc-string.
7872         (scroll-bar-toolkit-scroll)
7873         (scroll-bar-toolkit-horizontal-scroll): Add doc-strings stubs.
7875 2014-09-03  Stefan Monnier  <monnier@iro.umontreal.ca>
7877         * emacs-lisp/package.el (package-generate-description-file):
7878         Properly quote the arguments (bug#18332).  Change second arg.
7879         (package--alist-to-plist-args): Rename from package--alist-to-plist and
7880         quote the elements.
7881         (package--make-autoloads-and-stuff): Fix the test for pre-existence of
7882         the *-pkg.el file.  Adjust to new calling convention of
7883         package-generate-description-file.
7885         * progmodes/gud.el (gud-gdb-completion-at-point): Add hack (bug#18282).
7886         (gud-gdb-completions): Remove obsolete workaround.
7888 2014-09-03  Eli Zaretskii  <eliz@gnu.org>
7890         * subr.el (posn-col-row): Revert the change from commit
7891         2010-11-13T21:07:58Z!eliz@gnu.org, which
7892         was inadvertently merged from emacs-23 release branch in 2010-11-18T03:54:14Z!monnier@iro.umontreal.ca
7893         monnier@iro.umontreal.ca-20101118035414-yvlg7k7dk4k4l3q, and
7894         introduced an off-by-one error in the reported row when there is a
7895         header line.  (Bug#18384)
7897 2014-09-03  Fabián Ezequiel Gallina  <fgallina@gnu.org>
7899         * progmodes/python.el (python-indent-post-self-insert-function):
7900         Avoid electric colon at beginning-of-defun.  (Bug#18228)
7902 2014-09-03  Glenn Morris  <rgm@gnu.org>
7904         * tutorial.el (tutorial--display-changes):
7905         Fix 2014-08-01 change.  (Bug#18382)
7907 2014-09-03  Ken Brown  <kbrown@cornell.edu>
7909         * startup.el (fancy-splash-frame): Extend the fix for Bug#16014 to
7910         the Cygwin-w32 build.  (Bug#18347)
7912 2014-09-03  Glenn Morris  <rgm@gnu.org>
7914         * tar-mode.el (tar--extract, tar-extract):
7915         Avoid permanently disabling undo in extracted buffers.  (Bug#18344)
7917 2014-09-03  Stefan Monnier  <monnier@iro.umontreal.ca>
7919         * progmodes/sh-script.el (sh-font-lock-quoted-subshell): Try to better
7920         handle multiline elements (bug#18380).
7922 2014-09-01  Eli Zaretskii  <eliz@gnu.org>
7924         * ls-lisp.el (ls-lisp-use-string-collate)
7925         (ls-lisp-UCA-like-collation): New defcustoms.
7926         (ls-lisp-string-lessp): Use them to control sorting by file
7927         names.  (Bug#18051)
7928         (ls-lisp-version-lessp): New function.
7929         (ls-lisp-handle-switches): Use it to implement the -v switch of
7930         GNU ls.
7931         (ls-lisp--insert-directory): Mention the -v switch in the doc string.
7933 2014-08-31  Christoph Scholtes  <cschol2112@gmail.com>
7935         * ibuffer.el: Replace mode-specific quit function with
7936         `quit-window' via `special-mode'.
7937         (ibuffer-mode-map): Use keybindings from special-mode-map instead
7938         of local overrides.
7939         (ibuffer): Don't store previous windows configuration.
7940         Let `quit-window' handle restoring.
7941         (ibuffer-quit): Remove function.  Use `quit-window' instead.
7942         (ibuffer-restore-window-config-on-quit): Remove variable.
7943         (ibuffer-prev-window-config): Remove variable.
7945 2014-08-29  Michael Heerdegen  <michael_heerdegen@web.de>
7947         * emacs-lisp/easy-mmode.el (define-minor-mode): Use mode function
7948         name instead of variable name in hook docstring.  (Bug#18349)
7950 2014-08-29  Martin Rudalics  <rudalics@gmx.at>
7952         * window.el (display-buffer-at-bottom): Prefer bottom-left
7953         window to other bottom windows.  Reuse a bottom window if it
7954         shows the buffer already.  Suggested by Juri Linkov
7955         <juri@jurta.org> in discussion of (Bug#18181).
7957 2014-08-29  Leo Liu  <sdl.web@gmail.com>
7959         * files.el (minibuffer-with-setup-hook): Allow (:append FUN) to
7960         append to minibuffer-setup-hook.  (Bug#18341)
7962 2014-08-28  Stefan Monnier  <monnier@iro.umontreal.ca>
7964         * progmodes/cc-defs.el: Expose c-lanf-defconst's expressions to the
7965         byte-compiler.
7966         (lookup-syntax-properties): Silence byte-compiler.
7967         (c-lang-defconst): Quote the code with `lambda' rather than with
7968         `quote'.
7969         (c-lang-const): Avoid unneeded setq.
7970         (c-lang-constants-under-evaluation): Add docstring.
7971         (c-lang--novalue): New constant.
7972         (c-find-assignment-for-mode): Use it instead of c-lang-constants.
7973         (c-get-lang-constant): Same here.
7974         Get the mode's value using `funcall' now that the code is quoted
7975         with `lambda'.
7977 2014-08-28  Michael Albinus  <michael.albinus@gmx.de>
7979         * net/tramp.el (tramp-handle-shell-command): Use `display-buffer'.
7980         (Bug#18326)
7982 2014-08-28  Martin Rudalics  <rudalics@gmx.at>
7984         * scroll-bar.el (scroll-bar-horizontal-drag-1): Handle new
7985         interpretation of `portion-whole'.
7987 2014-08-28  Michael Albinus  <michael.albinus@gmx.de>
7989         * net/tramp-adb.el: Spell author name correctly.
7991 2014-08-28  João Távora  <joaotavora@gmail.com>
7993         * net/shr.el (shr-expand-url): Plain expand-file-name is not enough;
7994         use url-expand-file-name.  (Bug#18310)
7996 2014-08-28  Glenn Morris  <rgm@gnu.org>
7998         * emulation/cua-rect.el (cua--highlight-rectangle):
7999         Avoid error at point-min.  (Bug#18309)
8001 2014-08-28  Stefan Monnier  <monnier@iro.umontreal.ca>
8003         * progmodes/python.el (python-shell-prompt-detect): Remove redundant
8004         executable-find (bug#18244).
8006         * simple.el (self-insert-uses-region-functions): Defvar.
8008 2014-08-28  Glenn Morris  <rgm@gnu.org>
8010         * subr.el (remq): Revert 2014-08-25 doc change (not always true).
8012 2014-08-27  Dmitry Antipov  <dmantipov@yandex.ru>
8014         * startup.el (normal-top-level): Now use internal--top-level-message.
8016 2014-08-26  Dmitry Antipov  <dmantipov@yandex.ru>
8018         * startup.el (normal-top-level): Use top-level-message.
8020 2014-08-25  Lars Magne Ingebrigtsen  <larsi@gnus.org>
8022         * net/shr.el (shr-copy-url): Encode copied URL to avoid getting
8023         URLs containing spaces and the like.
8025 2014-08-25  Christoph Scholtes  <cschol2112@gmail.com>
8027         * subr.el (remq): Fix docstring (Bug#18253).
8029 2014-08-25  Christoph Scholtes  <cschol2112@gmail.com>
8031         * replace.el (query-replace): Fix typo in docstring (Bug#18320).
8033 2014-08-24  Alan Mackenzie  <acm@muc.de>
8035         Handle C++11's "auto" and "decltype" constructions.
8036         * progmodes/cc-engine.el (c-forward-type): Enhance to recognize
8037         and return 'decltype.
8038         (c-forward-decl-or-cast-1): New let variables backup-kwd-sym,
8039         prev-kwd-sym, new-style-auto.  Enhance to handle the new "auto"
8040         keyword.
8041         * progmodes/cc-fonts.el (c-font-lock-declarations): Handle the
8042         "decltype" keyword.
8043         (c-font-lock-c++-new): Handle "decltype" constructions.
8044         * progmodes/cc-langs.el (c-auto-ops, c-auto-ops-re):
8045         New c-lang-defconsts/defvars.
8046         (c-haskell-op, c-haskell-op-re): New c-lang-defconsts/defvars.
8047         (c-typeof-kwds, c-typeof-key): New c-lang-defconsts/defvars.
8048         (c-typeless-decl-kwds): Append "auto" onto the C++ value.
8049         (c-not-decl-init-keywords): Also exclude c-typeof-kwds from value.
8051         Make ">>" act as double template ender in C++ Mode.  (Bug#11386)
8052         * progmodes/cc-langs.el (c->-op-cont-tokens): New lang-const split
8053         off from c->-op-cont-re.
8054         (c->-op-cont-tokens): Change to use the above.
8055         (c->-op-without->-cont-regexp): New lang-const.
8056         * progmodes/cc-engine.el (c-forward-<>-arglist-recur):
8057         Use c->-op-without->-cont-regexp in place of c->-op-cont-tokens.
8060 2014-08-23  Alan Mackenzie  <acm@muc.de>
8062         * progmodes/cc-fonts.el (c-font-lock-declarators): Fix infinite
8063         loop, bug #18306.  The bug was introduced on 2014-08-02.
8065 2014-08-21  Eli Zaretskii  <eliz@gnu.org>
8067         * textmodes/texnfo-upd.el (texinfo-specific-section-type):
8068         Don't recognize a Top node if there are other sectioning commands
8069         earlier in the Texinfo file.  This fixes a bug in
8070         texinfo-make-menu and avoids inflooping in
8071         texinfo-all-menus-update when they are invoked on texinfo.texi.
8073 2014-08-21  Martin Rudalics  <rudalics@gmx.at>
8075         * window.el (window--side-window-p): New function.
8076         (split-window, window-splittable-p): Use window--side-window-p to
8077         determine whether WINDOW can be split (Bug#18304).
8078         * calendar/calendar.el (calendar-basic-setup): Fix one call of
8079         `window-splittable-p' and add another (Bug#18304).
8081 2014-08-20  Sam Steingold  <sds@gnu.org>
8083         * progmodes/python.el (python-new-pythonpath): Extract from
8084         `python-shell-calculate-process-environment'.
8086 2014-08-18  Thierry Volpiatto  <thierry.volpiatto@gmail.com>
8088         * emacs-lisp/eldoc.el (eldoc-highlight-function-argument): Add support
8089         for &key args (bug#18048).
8091 2014-08-18  Stefan Monnier  <monnier@iro.umontreal.ca>
8093         * emacs-lisp/eldoc.el (eldoc-argument-case): Obsolete and change default.
8094         (eldoc-function-argstring-format): Remove.
8095         (eldoc-function-argstring): Always return upcase args.
8096         Use help-make-usage.  Don't add parens.
8097         (eldoc-get-fnsym-args-string): Don't obey eldoc-argument-case since
8098         it's too late to do it right (bug#18048).
8100 2014-08-18  Eli Zaretskii  <eliz@gnu.org>
8102         * scroll-bar.el (scroll-bar-horizontal-drag-1)
8103         (scroll-bar-toolkit-horizontal-scroll): When determining the
8104         paragraph direction, use the buffer of the window designated in
8105         the event.
8107 2014-08-16  Andreas Schwab  <schwab@linux-m68k.org>
8109         * vc/diff-mode.el (diff-fixup-modifs): Handle empty line in
8110         context of unified diff.
8112 2014-08-16  Paul Eggert  <eggert@cs.ucla.edu>
8114         Add dependencies to fix loaddefs race during parallel builds.
8115         Without this, for example, 'make -j bootstrap' can fail and report
8116         "Opening input file: no such file or directory,
8117         .../lisp/calendar/diary-loaddefs.el ... recipe for target
8118         'calendar/hol-loaddefs.el' failed", where the hol-loaddefs.el rule
8119         got confused because diary-loaddefs.el was being built in parallel.
8120         * Makefile.in ($(CAL_DIR)/diary-loaddefs.el):
8121         Depend on $(CAL_DIR)/cal-loaddefs.el.
8122         ($(CAL_DIR)/hol-loaddefs.el): Depend on $(CAL_DIR)/diary-loaddefs.el.
8124 2014-08-16  Martin Rudalics  <rudalics@gmx.at>
8126         * scroll-bar.el (scroll-bar-horizontal-drag-1): Use cdr of
8127         portion-whole for scrolling right-to-left text.
8129 2014-08-15  Leo Liu  <sdl.web@gmail.com>
8131         * speedbar.el (speedbar-generic-list-tag-p): Allow special
8132         elements from imenu.
8134 2014-08-15  Glenn Morris  <rgm@gnu.org>
8136         * subr.el (with-output-to-temp-buffer): Doc fix; from elisp manual.
8138 2014-08-13  Jan Nieuwenhuizen  <janneke@gnu.org>
8140         * progmodes/compile.el (compilation-error-regexp-alist-alist):
8141         Add Guile regexpses.
8143 2014-08-13  Jan Nieuwenhuizen  <janneke@gnu.org>
8145         * progmodes/gud.el (guiler): New function.  Starts the Guile REPL;
8146         add Guile debugger support for GUD.
8148 2014-08-13  Stefan Monnier  <monnier@iro.umontreal.ca>
8150         * obsolete/mouse-sel.el (mouse-sel-mode): Use add/remove-function.
8151         (mouse-sel--ignore): New function.
8152         (mouse-sel-has-been-enabled, mouse-sel-original-bindings)
8153         (mouse-sel-original-interprogram-cut-function)
8154         (mouse-sel-original-interprogram-paste-function): Remove.
8156 2014-08-13  Eric S. Raymond  <esr@thyrsus.com>
8158         * vc/vc-git.el (vc-git-resolve-when-done): New function.
8159         Call "git add" when there are no longer conflict markers.
8161 2014-08-13  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
8163         * vc/vc-git.el (vc-git-find-file-hook): New function.
8164         Adds support for calling smerge (and resolve) on a conflicted file.
8165         (vc-git-conflicted-files): New function.
8166         Useful in itself and a step towards better smerge support.
8168 2014-08-12  Stefan Monnier  <monnier@iro.umontreal.ca>
8170         * mpc.el (mpc-reorder): Don't bother splitting the "active" elements
8171         to the first part if they're the same as the selection.
8173 2014-08-12  Lars Magne Ingebrigtsen  <larsi@gnus.org>
8175         * image-mode.el (image-transform-reset): New command and menu item.
8176         (image-mode-map): Rearrange the menu items to put presumably more
8177         obscure items at the end.
8179 2014-08-12  Juri Linkov  <juri@jurta.org>
8181         * vc/vc-annotate.el (vc-annotate-background-mode):
8182         Use `with-demoted-errors' instead of `ignore-errors'.  (Bug#18189)
8184 2014-08-12  Stefan Monnier  <monnier@iro.umontreal.ca>
8186         * files.el (out-of-memory-warning-percentage): Turn it off by default.
8188 2014-08-11  Sam Steingold  <sds@gnu.org>
8190         * textmodes/sgml-mode.el (sgml-validate-command): Set depending on
8191         the presence of known validators (tidy, (o)nsgmls).
8193 2014-08-11  Ulf Jasper  <ulf.jasper@web.de>
8195         Newsticker: introduce `newsticker-treeview-date-format'.  (Bug#17227)
8196         * net/newst-treeview.el (newsticker-treeview-date-format): New.
8197         (newsticker--treeview-list-add-item):
8198         Use `newsticker-treeview-date-format'.
8200 2014-08-11  Glenn Morris  <rgm@gnu.org>
8202         * files.el (basic-save-buffer-2): Revert 2013-01-31 change, which
8203         chose coding system for writing before backing up, since it causes
8204         a more serious problem than the one it solves.  (Closes Bug#18141,
8205         reopens Bug#13522.)
8207 2014-08-11  Martin Rudalics  <rudalics@gmx.at>
8209         * window.el (window-total-size): Make doc-string more self-contained.
8211         * window.el (display-buffer-below-selected): Restore original
8212         behavior if buffer is already displayed in the window below the
8213         selected one (Bug#18181).
8215 2014-08-11  Stefan Monnier  <monnier@iro.umontreal.ca>
8217         * mouse.el (mouse--down-1-maybe-follows-link): Don't convert the down
8218         event (bug#18212).
8220 2014-08-11  Eli Zaretskii  <eliz@gnu.org>
8222         * info.el (info): Doc fix.
8224 2014-08-11  Stefan Monnier  <monnier@iro.umontreal.ca>
8226         * info.el (Info-mode-map): Override a global down-mouse-2 binding
8227         (bug#18212).
8229 2014-08-11  Eli Zaretskii  <eliz@gnu.org>
8231         * simple.el (default-line-height): A floating-point value of
8232         line-spacing means a fraction of the default frame font's height,
8233         not of the font currently used by the 'default' face.
8234         Truncate the pixel value, like the display engine does.
8235         (window-screen-lines): Use window-inside-pixel-edges for
8236         determining the window height in pixels.  (Bug#18195)
8238 2014-08-11  Grégoire Jadi  <daimrod@gmail.com>
8240         * leim/quail/latin-post.el: Transform " __" into " _".  (Bug#18023)
8242 2014-08-10  Ulf Jasper  <ulf.jasper@web.de>
8244         Enumerate evaluated sexp diary entries (Bug#7911).
8245         * calendar/icalendar.el (icalendar-export-sexp-enumerate-all)
8246         (icalendar-export-sexp-enumeration-days): New.
8247         (icalendar-export-region): Now `icalendar--convert-to-ical'
8248         returns a cons cell or a list of cons cells.
8249         (icalendar--convert-to-ical): Take care of
8250         `icalendar-export-sexp-enumerate-all'.  Return (a list of) cons cells.
8251         (icalendar--convert-ordinary-to-ical)
8252         (icalendar--convert-weekly-to-ical, icalendar--convert-yearly-to-ical)
8253         (icalendar--convert-block-to-ical, icalendar--convert-block-to-ical)
8254         (icalendar--convert-float-to-ical, icalendar--convert-cyclic-to-ical)
8255         (icalendar--convert-anniversary-to-ical): Return cons cell.
8256         (icalendar--convert-sexp-to-ical): Enumerate evaluated sexp
8257         entries.  Return (list of) cons cells.
8259 2014-08-09  Juri Linkov  <juri@jurta.org>
8261         * vc/vc-annotate.el (vc-annotate-background-mode): Add :set
8262         to reevaluate `vc-annotate-color-map'.  (Bug#18189)
8264 2014-08-09  Alan Mackenzie  <acm@muc.de>
8266         * progmodes/cc-fonts.el (c-font-lock-declarators): Remove check
8267         for top-level that can cause unacceptable slow-down in scrolling.
8268         See email Subject: Huge {...} blocks in C/C++ again, from Dmitry
8269         Antipov from 2013-10-14 in emacs-devel.
8271 2014-08-08  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
8273         * ibuffer.el (ibuffer-mode-map): Use toggle button for
8274         `ibuffer-auto-mode' menu entry.
8275         (ibuffer-mode-hook): Add `ibuffer-auto-mode' customization option.
8277 2014-08-08  Matthias Meulien  <orontee@gmail.com>
8279         * progmodes/prog-mode.el (prog-mode-hook): Make customizable.
8280         (Bug#16394)
8282 2014-08-07  Martin Rudalics  <rudalics@gmx.at>
8284         * window.el (window--min-size-1): Explicitly set WINDOW arg in
8285         calls of window-min-pixel-height and window-min-pixel-width.
8287 2014-08-07  Reuben Thomas  <rrt@sc3d.org>
8289         * progmodes/ada-mode.el:
8290         * net/tramp.el (tramp-handle-file-symlink-p):
8291         * net/tramp-ftp.el (tramp-ftp-file-name-handler): Remove a comment
8292         about VMS, which we no longer support.
8293         * progmodes/ada-xref.el (ada-xref-current): Remove mention of VMS,
8294         and fix a FIXME, using convert-standard-filename in place of
8295         removed ada-convert-file-name.
8297 2014-08-07  Eli Zaretskii  <eliz@gnu.org>
8299         * files.el (auto-mode-alist): Remove support for VMS from a pattern.
8301 2014-08-07  Reuben Thomas  <rrt@sc3d.org>
8303         Refer to MS-DOS using the same name everywhere.
8304         * arc-mode.el, files.el, frame.el: ``MS-DOG'', ``MSDOG'' and
8305         ``msdog'' become ``MS-DOS''.
8307 2014-08-07  Michael Albinus  <michael.albinus@gmx.de>
8309         * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
8310         Use cached "remote-copy-args" value, if available.  (Bug#18199)
8312 2014-08-07  Leo Liu  <sdl.web@gmail.com>
8314         * help.el (temp-buffer-setup-hook,temp-buffer-show-hook):
8315         Revert change on 2014-03-22.
8317 2014-08-06  Ulf Jasper  <ulf.jasper@web.de>
8319         * calendar/icalendar.el (icalendar--diarytime-to-isotime)
8320         (icalendar--convert-ordinary-to-ical): Allow for missing minutes
8321         (Bug#13750).
8324 2014-08-05  Lars Magne Ingebrigtsen  <larsi@gnus.org>
8326         * image-mode.el (image-toggle-display-image): Always rescale images
8327         to not be bigger than the current window.
8329 2014-08-05  Eric Brown  <brown@fastmail.fm>  (tiny change)
8331         * net/eww.el (eww-bookmarks-directory): New variable.
8332         (eww-write-bookmarks): Use it.
8333         (eww-read-bookmarks): Ditto.
8335 2014-08-05  Lars Magne Ingebrigtsen  <larsi@gnus.org>
8337         * net/shr.el (shr-copy-url): Also copy the image URL.
8339 2014-08-05  Michael Albinus  <michael.albinus@gmx.de>
8341         * net/tramp-cache.el (tramp-flush-file-function): Suppress function
8342         also for Tramp working buffers.
8344 2014-08-04  Fabián Ezequiel Gallina  <fgallina@gnu.org>
8346         * progmodes/python.el: Fix completions inside (i)pdb.
8347         (python-shell-completion-pdb-string-code): Make obsolete.
8348         (python-shell-completion-get-completions):
8349         Use python-shell-completion-string-code resending setup code
8350         continuously for (i)pdb.
8352 2014-08-04  Paul Eggert  <eggert@cs.ucla.edu>
8354         * rect.el (rectangle--default-line-number-format): Rename
8355         from misspelled rectange--default-line-number-format (Bug#18045).
8356         All uses changed.
8358 2014-08-03  Paul Eggert  <eggert@cs.ucla.edu>
8360         Don't mishandle year-9999 dates (Bug#18176).
8361         * calendar/parse-time.el (parse-time-rules):
8362         Allow years up to most-positive-fixnum.
8363         * calendar/time-date.el (date-to-time):
8364         Pass "Specified time is not representable" errors through.
8366 2014-08-02  Fabián Ezequiel Gallina  <fgallina@gnu.org>
8368         * progmodes/python.el: Completion code cleanups.
8369         (python-shell-completion-get-completions): Detect and send import
8370         statements directly to completion function.
8371         (python-shell-completion-at-point): Simplify prompt calculation
8372         and import vs input completion logic.
8374 2014-08-02  Alan Mackenzie  <acm@muc.de>
8376         Fix confusion in C++ file caused by comma in "= {1,2},".
8377         Bug #17756.
8378         * progmodes/cc-engine.el (c-beginning-of-statement-1): In checking
8379         for a statement boundary marked by "}", check there's no "="
8380         before the "{".
8381         (c-guess-basic-syntax CASE 9B): Call c-beginning-of-statement with
8382         non-nil `comma-delim' argument.
8383         * progmodes/cc-fonts.el (c-font-lock-declarators): Parse an
8384         initializer expression more accurately.
8386         Correct loop termination condition in c-syntactic-skip-backward.
8387         * progmodes/cc-engine.el (c-syntactic-skip-backward): Correct for
8388         the situation where, after moving back out of a literal,
8389         skip-chars-backward doesn't move further, yet checks have still to
8390         be done.
8392 2014-08-01  Eli Zaretskii  <eliz@gnu.org>
8394         * tutorial.el (tutorial--display-changes): Accept punctuation
8395         characters before the key binding.  (Bug#18146)
8397 2014-07-31  Fabián Ezequiel Gallina  <fgallina@gnu.org>
8399         * progmodes/python.el: Shell output capture enhancements.
8400         (python-shell-accept-process-output): New function.
8401         (inferior-python-mode)
8402         (python-shell-send-setup-code): Use it.
8404 2014-07-30  Christophe Deleuze  <christophe.deleuze@free.fr>  (tiny change)
8406         * calendar/icalendar.el (icalendar--decode-isodatetime):
8407         Use actual current-time-zone when converting to local time.  (Bug#15408)
8409 2014-07-29  Martin Rudalics  <rudalics@gmx.at>
8411         * window.el (window--state-put-2): Handle horizontal scroll
8412         bars, if present.
8414 2014-07-29  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
8416         * menu-bar.el (menu-bar-update-buffers): Update item list format
8417         in `buffers-menu' to confirm with changes to `get_keyelt'
8418         (r117463).  (Bug#18016)
8420 2014-07-28  Fabián Ezequiel Gallina  <fgallina@gnu.org>
8422         * progmodes/python.el (inferior-python-mode): Make input prompts
8423         read-only.
8425 2014-07-28  Emilio C. Lopes  <eclig@gmx.net>
8427         * net/tramp-sh.el (tramp-get-remote-python): Also search for
8428         executables named "python2" or "python3".
8429         (tramp-get-remote-uid-with-python): Use parentheses around
8430         arguments to `print' to make it compatible with Python 3.
8431         (tramp-get-remote-gid-with-python): Ditto.  (Bug#18118)
8433 2014-07-28  Eli Zaretskii  <eliz@gnu.org>
8435         * window.el (window--pixel-to-total): Use FRAME's root window, not
8436         that of the selected frame.  (Bug#18112, Bug#16674)
8438 2014-07-28  Andreas Schwab  <schwab@linux-m68k.org>
8440         * textmodes/tex-mode.el (tex-font-lock-verb): Doc fix.
8441         (Bug#18117)
8443 2014-07-28  Fabián Ezequiel Gallina  <fgallina@gnu.org>
8445         * progmodes/python.el (inferior-python-mode): Doc fix.
8447 2014-07-28  Stephen Berman  <stephen.berman@gmx.net>
8449         * calendar/todo-mode.el (todo-edit-item--next-key): If next key is
8450         not a character, ignore it instead of raising an error.
8452         * calendar/todo-mode.el: Fix handling of marked items and make
8453         minor code improvements.
8454         (todo-edit-item): If there are marked items, ensure user can only
8455         invoke editing commands that work with marked items.
8456         (todo-edit-item--text): When there are marked items, make it a
8457         noop if invoked with point not on an item; otherwise, ensure it
8458         applies only to item at point.
8459         (todo-item-undone): If there are marked not-done items, return
8460         point to its original position before signaling user error.
8461         (todo--user-error-if-marked-done-item): New function.
8462         (todo-edit-item--header, todo-edit-item--diary-inclusion)
8463         (todo-item-done): Use it.
8465 2014-07-28  Glenn Morris  <rgm@gnu.org>
8467         * files.el (toggle-read-only): Re-add basic doc-string.
8468         * vc/vc-hooks.el (vc-toggle-read-only): Tweak obsolescence mesage.
8470         * progmodes/prolog.el (prolog-mode-keybindings-edit):
8471         Replace missing `switch-to-prolog' with `run-prolog'.
8472         (switch-to-prolog): Define as (obsolete) alias, as in 23.4.
8474 2014-07-28  Stephen Berman  <stephen.berman@gmx.net>
8476         * calendar/todo-mode.el (todo-set-top-priorities): Fix overwriting
8477         of file-wide setting when changing category-wide setting.
8479 2014-07-28  Stephen Berman  <stephen.berman@gmx.net>
8481         * doc-view.el (doc-view-open-text): Don't require that the
8482         document is saved in a file (e.g., email attachment).
8484 2014-07-28  Fabián Ezequiel Gallina  <fgallina@gnu.org>
8486         Parse completion input in a iPython friendly way.  (Bug#18084)
8487         * progmodes/python.el
8488         (python-shell-completion-at-point): Rename from
8489         python-shell-completion-complete-at-point.
8490         (inferior-python-mode): Use it.
8491         (python-completion-at-point): Rename from
8492         python-completion-complete-at-point.  Parse input up to first
8493         backward occurrence of whitespace, open-paren, close-paren or
8494         string delimiter.
8495         (python-mode): Use it.
8497 2014-07-28  Fabián Ezequiel Gallina  <fgallina@gnu.org>
8499         * progmodes/python.el
8500         (python-shell-with-shell-buffer): New macro.
8501         (python-shell-font-lock-get-or-create-buffer)
8502         (python-shell-font-lock-kill-buffer)
8503         (python-shell-font-lock-with-font-lock-buffer)
8504         (python-shell-font-lock-cleanup-buffer)
8505         (python-shell-font-lock-toggle): Use it.
8506         (python-shell-font-lock-turn-on)
8507         (python-shell-font-lock-turn-off): Use it.  Make command.
8509 2014-07-28  Fabián Ezequiel Gallina  <fgallina@gnu.org>
8511         Grab all Python process output before inferior-python-mode hooks.
8512         * progmodes/python.el (inferior-python-mode):
8513         Call accept-process-output and sit-for to ensure all output for process
8514         has been received before running hooks.
8515         (python-shell-internal-get-or-create-process):
8516         Cleanup accept-process-output and sit-for calls.
8518 2014-07-28  Fabián Ezequiel Gallina  <fgallina@gnu.org>
8520         More robust shell startup and code setup.
8521         * progmodes/python.el (python-shell-make-comint):
8522         Remove accept-process-output call.
8523         (python-shell-get-buffer): Return current buffer if major-mode is
8524         inferior-python-mode.
8525         (python-shell-get-or-create-process): Use it.
8526         (python-shell-send-setup-code): Send all setup code in one string,
8527         output success message and accept-process-output.
8529 2014-07-27  Eli Zaretskii  <eliz@gnu.org>
8531         * scroll-bar.el (scroll-bar-toolkit-horizontal-scroll):
8532         Add rudimentary support for bidirectional text.
8534 2014-07-27  Martin Rudalics  <rudalics@gmx.at>
8536         * frame.el (frame-notice-user-settings): Rewrite using
8537         frame-initial-frame-tool-bar-height.
8538         * menu-bar.el (menu-bar-horizontal-scroll-bar)
8539         (menu-bar-no-horizontal-scroll-bar): New functions.
8540         (menu-bar-showhide-scroll-bar-menu): Add bindings for horizontal
8541         scroll bars.
8542         * scroll-bar.el (scroll-bar-lines)
8543         (set-horizontal-scroll-bar-mode)
8544         (get-horizontal-scroll-bar-mode, horizontal-scroll-bar-mode)
8545         (scroll-bar-horizontal-drag-1, scroll-bar-horizontal-drag)
8546         (scroll-bar-toolkit-horizontal-scroll): New functions.
8547         (horizontal-scroll-bar-mode)
8548         (previous-horizontal-scroll-bar-mode)
8549         (horizontal-scroll-bar-mode-explicit): New variables.
8550         (horizontal-scroll-bar-mode): New option.
8551         (toggle-horizontal-scroll-bar): Do something.
8552         (top-level): Bind horizontal-scroll-bar mouse-1.
8553         * startup.el (tool-bar-originally-present): Remove variable.
8554         (command-line): Don't set tool-bar-originally-present.
8555         * window.el (window-min-height): Update doc-string.
8556         (window--dump-frame): Dump horizontal scroll bar values.
8557         (window--min-size-1): Handle minibuffer window separately.
8558         Count in margins and horizontal scroll bar.  Return safe value
8559         iff IGNORE equals 'safe.
8560         (frame-windows-min-size): New function (used by frame resizing
8561         routines).
8562         (fit-frame-to-buffer, fit-window-to-buffer): Count in horizontal
8563         scroll bars.
8564         (window--sanitize-window-sizes): New function.
8565         (window-split-min-size): Remove.
8566         (split-window): Count divider-width.  Don't use
8567         `window-split-min-size' any more.  Reword error messages.
8568         Sanitize windows sizes after splitting.
8570 2014-07-27  Thien-Thi Nguyen  <ttn@gnu.org>
8572         Use `defvar-local' more.
8573         * progmodes/hideshow.el
8574         (hs-c-start-regexp, hs-block-start-regexp)
8575         (hs-block-start-mdata-select, hs-block-end-regexp)
8576         (hs-forward-sexp-func, hs-adjust-block-beginning): ...here;
8577         remove corresponding `make-variable-buffer-local' top-level calls.
8579 2014-07-27  Fabián Ezequiel Gallina  <fgallina@gnu.org>
8581         Cleanup error signals.  (Bug#18067)
8582         * progmodes/python.el
8583         (python-indent-shift-left): Use user-error instead.
8584         (python-shell-prompt-detect): Use lwarn with python group.
8585         (python-completion-complete-at-point)
8586         (python-eldoc--get-doc-at-point): Don't signal error.
8588 2014-07-27  Fabián Ezequiel Gallina  <fgallina@gnu.org>
8590         Support for packages in Python shell.  (Bug#13570)
8591         * progmodes/python.el (python-shell--package-depth): New var.
8592         (python-shell-package-enable): New command.
8593         (python-util-list-directories, python-util-list-files)
8594         (python-util-list-packages): New functions.
8596 2014-07-27  Fabián Ezequiel Gallina  <fgallina@gnu.org>
8598         Faster comint output.  (Bug#16875)
8599         * progmodes/python.el:
8600         (python-comint-output-filter-function): Make obsolete.
8601         (python-comint-postoutput-scroll-to-bottom): New function.
8602         (inferior-python-mode): Set comint-output-filter-functions to a
8603         minimum.
8605 2014-07-27  Fabián Ezequiel Gallina  <fgallina@gnu.org>
8607         * progmodes/python.el (python-shell-font-lock-post-command-hook):
8608         Safeguard current point and undo history.
8610 2014-07-26  Fabián Ezequiel Gallina  <fgallina@gnu.org>
8612         Robust shell syntax highlighting.  (Bug#18084, Bug#16875)
8613         * progmodes/python.el:
8614         (python-shell-prompt-input-regexps): Add iPython block prompt.
8615         (python-shell-output-syntax-table): Delete var.
8616         (python-shell-font-lock-with-font-lock-buffer): New macro.
8617         (python-shell-font-lock-get-or-create-buffer)
8618         (python-shell-font-lock-kill-buffer)
8619         (python-shell-font-lock-cleanup-buffer)
8620         (python-shell-font-lock-post-command-hook)
8621         (python-shell-font-lock-turn-off): New functions.
8622         (python-shell-font-lock-turn-on): New function.
8623         (inferior-python-mode): Use it.
8624         (python-shell-font-lock-toggle): New command.
8625         (python-shell-font-lock-enable): Rename from
8626         python-shell-enable-font-lock.
8627         (run-python-internal): Use it.
8628         (python-shell-font-lock-comint-output-filter-function): New function.
8629         (python-shell-comint-end-of-output-p): New function.
8630         (python-shell-output-filter): Use it.
8631         (python-util-comint-last-prompt): New function.
8632         (python-util-text-properties-replace-name): New function.
8634 2014-07-25  Glenn Morris  <rgm@gnu.org>
8636         * vc/ediff-init.el (ediff-toggle-read-only-function):
8637         * vc/ediff-util.el (ediff-toggle-read-only):
8638         Replace obsolete toggle-read-only with read-only-mode.
8640 2014-07-24  Michael Albinus  <michael.albinus@gmx.de>
8642         * net/tramp-cache.el (tramp-flush-file-function): Wrap the code
8643         with `save-match-data'.  (Bug#18095)
8645 2014-07-21  Vincent Belaïche  <vincentb1@users.sourceforge.net>
8647         * ses.el (ses-truncate-cell): Use cl-progv instead of eval in
8648         order to ensure that row and col are lexically bound inside the
8649         evaluated sexp.
8651 2014-07-21  Glenn Morris  <rgm@gnu.org>
8653         * progmodes/hideif.el (hide-ifdef-mode-submap):
8654         Also substitute read-only-mode.
8655         * bindings.el (mode-line-toggle-read-only):
8656         * bs.el (bs-toggle-readonly):
8657         * buff-menu.el (Buffer-menu-toggle-read-only):
8658         * dired.el (dired-toggle-read-only):
8659         * files.el (view-read-only, find-file-read-only)
8660         (find-file-read-only-other-window)
8661         (find-file-read-only-other-frame):
8662         * progmodes/hideif.el (hide-ifdef-toggle-outside-read-only):
8663         Doc fixes re toggle-read-only.
8665 2014-07-21  Fabián Ezequiel Gallina  <fgallina@gnu.org>
8667         * progmodes/python.el: Add comment about pipe buffering and
8668         solutions for missing/delayed output in inferior Python shells.
8669         (Bug#17304)
8671         * progmodes/python.el (python-mode): Don't set
8672         mode-require-final-newline.  (Bug#17990)
8674         Make python.el work with IPython automatically.  (Bug#15510)
8675         * progmodes/python.el:
8676         (python-shell-completion-setup-code): New value supporting iPython.
8677         (python-shell-completion-string-code): New value supporting iPython.
8678         (python-shell-completion-get-completions): Use them.
8679         (python-shell-completion-module-string-code): Make obsolete.
8680         (python-shell-prompt-input-regexps)
8681         (python-shell-prompt-output-regexps): Add safeguard for ipdb.
8682         (python-shell-output-filter): Fix comment typo.
8684         Fix Python shell prompts detection for remote hosts.
8685         * progmodes/python.el (python-shell-prompt-detect):
8686         Replace call-process with process-file and make it more robust.
8688         Autodetect Python shell prompts.  (Bug#17370)
8689         * progmodes/python.el:
8690         (python-shell-interpreter-interactive-arg)
8691         (python-shell-prompt-detect-enabled)
8692         (python-shell-prompt-detect-failure-warning)
8693         (python-shell-prompt-input-regexps)
8694         (python-shell-prompt-output-regexps): New vars.
8695         (python-shell-prompt-calculated-input-regexp)
8696         (python-shell-prompt-calculated-output-regexp): New vars.
8697         (python-shell-get-process-name)
8698         (python-shell-internal-get-process-name)
8699         (python-shell-output-filter)
8700         (python-shell-completion-get-completions): Use them.
8701         (python-shell-prompt-detect)
8702         (python-shell-prompt-validate-regexps): New functions.
8703         (python-shell-prompt-set-calculated-regexps): New function.
8704         (inferior-python-mode): Use it.  Also honor overridden
8705         python-shell-interpreter and python-shell-interpreter-args.
8706         (python-shell-make-comint): Honor overridden
8707         python-shell-interpreter and python-shell-interpreter-args.
8708         (python-shell-get-or-create-process): Make it testable by allowing
8709         to call run-python non-interactively.
8710         (python-util-valid-regexp-p): New function.
8711         (python-shell-prompt-regexp, python-shell-prompt-block-regexp)
8712         (python-shell-prompt-output-regexp)
8713         (python-shell-prompt-pdb-regexp): Use it as defcustom :safe.
8715 2014-07-21  Stefan Monnier  <monnier@iro.umontreal.ca>
8717         * emacs-lisp/smie.el (smie-config--guess-1): Split from
8718         smie-config--guess.
8719         (smie-config--guess): Use it.
8721         * emacs-lisp/edebug.el: Use nadvice.
8722         (edebug-original-read): Remove.
8723         (edebug--read): Rename from edebug-read and add `orig' arg.
8724         (edebug-uninstall-read-eval-functions)
8725         (edebug-install-read-eval-functions): Use nadvice.
8726         (edebug-read-sexp, edebug-read-storing-offsets, edebug-read-symbol)
8727         (edebug-read-and-maybe-wrap-form1, edebug-instrument-callee)
8728         (edebug-read-string, edebug-read-function): Use just `read'.
8729         (edebug-original-debug-on-entry): Remove.
8730         (edebug--debug-on-entry): Rename from edebug-debug-on-entry and add
8731         `orig' arg.
8732         (debug-on-entry): Override with nadvice.
8734         * mouse.el (tear-off-window): Rename from mouse-tear-off-window since
8735         it also makes sense to bind it to a non-mouse event.
8737         * vc/vc-bzr.el (vc-bzr-shelve): Make it operate on fileset.
8739 2014-07-19  Stefan Monnier  <monnier@iro.umontreal.ca>
8741         * xt-mouse.el (xterm-mouse-event): Don't assume last-click is non-nil
8742         (bug#18015).
8744         * rect.el (rectangle--string-preview): Don't assume there
8745         a non-nil default (bug#17984).
8747 2014-07-16  Glenn Morris  <rgm@gnu.org>
8749         * desktop.el (after-init-hook): Disable startup frame restoration
8750         in non-graphical situations.  (Bug#17693)
8752         * vc/vc-dispatcher.el (vc-log-edit): Do set up the log buffer
8753         if it was "empty", or used for a different set of files.  (Bug#17884)
8755 2014-07-16  Eli Zaretskii  <eliz@gnu.org>
8757         * bindings.el (mode-line-remote): If default-directory is not a
8758         string, don't call file-remote-p on it; instead state in the
8759         help-echo that it is nil.  (Bug#17986)
8761 2014-07-14  Daniel Colascione  <dancol@dancol.org>
8763         * progmodes/cc-langs.el: Change comments from `cl-macroexpand-all'
8764         to `macroexpand-all'
8766         * progmodes/cc-defs.el (c-lang-defconst-eval-immediately):
8767         Use `macroexpand-all' instead of `cl-macroexpand-all'.
8769 2014-07-12  Paul Eggert  <eggert@cs.ucla.edu>
8771         Fix bug: C-x v v discarded existing log message (Bug#17884).
8772         * vc/vc-dispatcher.el (vc-log-edit):
8773         Don't clobber an already-existing log message.
8775 2014-07-12  Glenn Morris  <rgm@gnu.org>
8777         * vc/log-edit.el (log-edit-changelog-entries):
8778         Check for a visited-but-never-saved ChangeLog.
8780 2014-07-12  Stefan Monnier  <monnier@iro.umontreal.ca>
8782         * vc/log-edit.el (log-edit-changelog-entries): Don't both visiting
8783         a non-existing file (bug#17970).
8785         * faces.el (face-name): Undo last change.
8786         (x-resolve-font-name): Don't call face-name (bug#17956).
8788 2014-07-12  Fabián Ezequiel Gallina  <fgallina@gnu.org>
8790         Fix dedenters and electric colon handling.  (Bug#15163)
8791         * progmodes/python.el
8792         (python-rx-constituents): Add dedenter and block-ender.
8793         (python-indent-dedenters, python-indent-block-enders): Delete.
8794         (python-indent-context): Return new case for dedenter-statement.
8795         (python-indent-calculate-indentation): Handle new case.
8796         (python-indent-calculate-levels): Fix levels calculation for
8797         dedenter statements.
8798         (python-indent-post-self-insert-function): Fix colon handling.
8799         (python-info-dedenter-opening-block-message): New function.
8800         (python-indent-line): Use it.
8801         (python-info-closing-block)
8802         (python-info-closing-block-message): Remove.
8803         (python-info-dedenter-opening-block-position)
8804         (python-info-dedenter-opening-block-positions)
8805         (python-info-dedenter-statement-p): New functions.
8807 2014-07-11  Dmitry Antipov  <dmantipov@yandex.ru>
8809         * files.el (out-of-memory-warning-percentage): New defcustom.
8810         (warn-maybe-out-of-memory): Use it.
8812 2014-07-11  Michael Albinus  <michael.albinus@gmx.de>
8814         * subr.el (read-passwd): Use `read-hide-char' if non-nil.  Bind it
8815         when calling `read-string'.  (Bug#17839)
8817 2014-07-10  Eli Zaretskii  <eliz@gnu.org>
8819         * files.el (warn-maybe-out-of-memory): Fix the wording of the
8820         warning.
8822 2014-07-10  Dmitry Antipov  <dmantipov@yandex.ru>
8824         * files.el (warn-maybe-out-of-memory): New function.
8825         (find-file-noselect): Use it.
8827 2014-07-09  Sam Steingold  <sds@gnu.org>
8829         * progmodes/cperl-mode.el (cperl-block-p): Treat the perl keyword
8830         `constant' like `bless', `return' &c
8832 2014-07-09  Stefan Monnier  <monnier@iro.umontreal.ca>
8834         * rect.el (apply-on-rectangle): Check forward-line really moved to the
8835         next line.
8837 2014-07-09  Stefan Monnier  <monnier@iro.umontreal.ca>
8839         * progmodes/sh-script.el (sh-smie-sh-rules): Don't align with a && in
8840         the middle of a line (bug#17896).
8842 2014-07-09  Juri Linkov  <juri@jurta.org>
8844         * startup.el (command-line): Append displaying the warning about
8845         the errors in the init file to the end of `after-init-hook'.
8846         (Bug#17927)
8848         * faces.el (face-name): Return input arg `face' as-is
8849         when it's not a symbol.
8850         (x-resolve-font-name): Don't check if the face is a symbol.
8851         (Bug#17956)
8853         * facemenu.el (list-colors-print): In help-echo format use %.2f
8854         instead of %d because now HSV values are floating-point components
8855         between 0.0 and 1.0.
8857 2014-07-09  Glenn Morris  <rgm@gnu.org>
8859         * emulation/cua-rect.el (cua--activate-rectangle):
8860         Avoid setting cua--rectangle to nil.  (Bug#17877)
8862 2014-07-09  Stephen Berman  <stephen.berman@gmx.net>
8864         * calendar/todo-mode.el: Fix wrong-type-argument error when
8865         marking multiple consecutive items.
8866         (todo-toggle-mark-item): Don't try to mark the empty lines at the
8867         end of the todo and done items sections.  Note in doc string that
8868         items marked by passing a numeric prefix argument can include the
8869         last todo and first done items.
8870         (todo-mark-category): Don't try to mark the empty line between the
8871         todo and done items sections.
8873 2014-07-09  Stefan Monnier  <monnier@iro.umontreal.ca>
8875         * emacs-lisp/edebug.el (edebug-eval-defun): Print result using
8876         proper Lisp quoting (bug#17934).
8878         * progmodes/ruby-mode.el (ruby-mode-variables): Don't meddle with
8879         require-final-newline since prog-mode already took care of it (bug#17947).
8881 2014-07-09  Stephen Berman  <stephen.berman@gmx.net>
8883         * calendar/todo-mode.el: Fix two bugs.  Shorten Commentary and
8884         refer to the Todo mode Info manual.  Update the comment on
8885         requiring cl-lib.
8886         (todo-find-filtered-items-file): Add todo-prefix overlays.
8887         (todo-filter-items): Reorder a let-bound variable to avoid a
8888         wrong-type-argument error on canceling the file choice dialog.
8890 2014-07-09  Stefan Monnier  <monnier@iro.umontreal.ca>
8892         * progmodes/octave.el (inferior-octave-mode):
8893         Set comint-input-ring-size to a number (bug#17912).
8895 2014-07-09  Juri Linkov  <juri@jurta.org>
8897         * desktop.el (desktop-minor-mode-table): Add `defining-kbd-macro'
8898         and `isearch-mode' associated with nil.  (Bug#17849)
8900 2014-07-08  Stefan Monnier  <monnier@iro.umontreal.ca>
8902         * linum.el (linum--face-height): New function (bug#17813).
8903         (linum-update-window): Use it to adjust margin to linum's width.
8905         * leim/quail/sisheng.el (sisheng-list): Don't bother with-case-table.
8906         * eshell/em-smart.el (eshell-smart-scroll-window):
8907         Use with-selected-window.
8909         * xt-mouse.el (xterm-mouse-translate-1): Intern drag event (bug#17894).
8910         Remove also pointless window&mark manipulation.
8912         * progmodes/perl-mode.el: Use syntax-ppss; fix one indentation case.
8913         (perl-indent-line): Use syntax-ppss to detect we're in a doc-section.
8914         (perl-continuation-line-p): Don't skip over anything else than labels.
8915         Return the previous char.
8916         (perl-calculate-indent): Use syntax-ppss instead of parse-start
8917         and update callers accordingly.  For continuation lines, check the
8918         the case of array hashes.
8919         (perl-backward-to-noncomment): Make it non-interactive.
8920         (perl-backward-to-start-of-continued-exp): Rewrite.
8922 2014-07-08  Sam Steingold  <sds@gnu.org>
8924         * progmodes/inf-lisp.el (lisp-eval-paragraph, lisp-eval-form-and-next):
8925         New user commands.
8927 2014-07-08  Juri Linkov  <juri@jurta.org>
8929         * vc/vc-annotate.el (vc-annotate-background-mode): New defcustom.
8930         (vc-annotate-color-map): Use less saturated colors (20%) for
8931         background-mode.
8932         (vc-annotate-very-old-color): Add default value for background-mode.
8933         (vc-annotate-background): Set default value to nil since now text on
8934         the default backgrounds should be legible in light and dark modes.
8935         (vc-annotate-lines): Use `vc-annotate-background-mode'.  Doc fix.
8936         (Bug#17808)
8938 2014-07-08  Juri Linkov  <juri@jurta.org>
8940         * simple.el (transpose-chars): Don't move point into read-only area.
8941         (Bug#17829)
8943 2014-07-08  Juri Linkov  <juri@jurta.org>
8945         * window.el (with-displayed-buffer-window): New macro.
8946         (with-temp-buffer-window, with-current-buffer-window):
8947         Use `macroexp-let2' to evaluate and bind variables
8948         in the same order as macro arguments.
8949         (display-buffer--action-function-custom-type):
8950         Add `display-buffer-below-selected' and `display-buffer-at-bottom'.
8952         * minibuffer.el (minibuffer-completion-help): Replace
8953         `with-output-to-temp-buffer' with `with-displayed-buffer-window'
8954         with actions that display *Completions* at-bottom when called
8955         from the minibuffer, or below-selected in a normal buffer.
8956         Associate `window-height' with `fit-window-to-buffer'.
8957         Let-bind `pop-up-windows' to nil.
8959         * dired.el (dired-mark-pop-up): Use `with-displayed-buffer-window'
8960         instead of `with-current-buffer-window'.  (Bug#17809)
8962 2014-07-07  Luke Lee  <luke.yx.lee@gmail.com>
8964         * progmodes/hideif.el (hide-ifdef-env): Change to global.
8965         (hide-ifdef-env-backup): New variable.
8966         (hide-ifdef-expand-reinclusion-protection, hide-ifdef-header-regexp):
8967         New customizable variables.
8968         (hif-clear-all-ifdef-defined): New defun.
8969         (hif-merge-ifdef-region, hide-ifdef-region-internal, hide-ifdef-region)
8970         (hif-show-ifdef-region): Merge hidden regions to prevent continuous "...".
8971         (hif-tokenize): Fix for MS-DOS/Win EOL style.
8972         (hif-endif-to-ifdef, hif-make-range, hif-find-range, hif-possibly-hide):
8973         Fix bug to hide the correct #elif region(s).
8974         (hif-range-elif): New defun.
8975         (hif-recurse-level): New var.
8976         (hif-evaluate-region, hif-evaluate-macro): New defun.
8977         (hide-ifdef-guts): Prevent reinclusion protected C/C++ headers from
8978         fully hidden.
8979         (hide-ifdef-define, hide-ifdefs, hide-ifdef-block, show-ifdef-block):
8980         Better interaction.
8982 2014-07-04  Michael Albinus  <michael.albinus@gmx.de>
8984         * net/dbus.el (dbus-peer-handler): New defun.
8985         (dbus-register-service): Register it.  (Bug#17858)
8986         (dbus-managed-objects-handler): Fix docstring.
8988 2014-07-04  Phil Sainty  <psainty@orcon.net.nz>
8990         * emacs-lisp/lisp.el (narrow-to-defun-include-comments): New var.
8991         (narrow-to-defun): New arg include-comments, defaulting to it
8992         (bug#16328).
8994 2014-07-03  Stefan Monnier  <monnier@iro.umontreal.ca>
8996         * rect.el (rectangle--highlight-for-redisplay): Don't pass `orig' with
8997         different calling convention to rectangle--unhighlight-for-redisplay.
8999 2014-07-03  Michael Albinus  <michael.albinus@gmx.de>
9001         * net/tramp.el (tramp-call-process): Handle error strings.
9003         * net/tramp-adb.el (tramp-adb-sh-fix-ls-output): Use `bolp'.
9005         * net/tramp-sh.el (tramp-sh-handle-set-visited-file-modtime)
9006         (tramp-sh-handle-verify-visited-file-modtime): Use `point-at-eol'.
9008         * net/trampver.el: Update release number.
9010 2014-07-03  Juri Linkov  <juri@jurta.org>
9012         * desktop.el (desktop-save): Rename arg `auto-save' to
9013         `only-if-changed'.  Doc fix.  (Bug#17873)
9015 2014-07-03  Stefan Monnier  <monnier@iro.umontreal.ca>
9017         * mouse.el (mouse-yank-primary, mouse-yank-secondary):
9018         Use insert-for-yank (bug#17271).
9020 2014-07-03  Leo Liu  <sdl.web@gmail.com>
9022         * emacs-lisp/pp.el (pp-eval-expression, pp-eval-last-sexp):
9023         Support lexical-binding.
9025 2014-07-03  Stefan Monnier  <monnier@iro.umontreal.ca>
9027         * vc/log-edit.el (log-edit-goto-eoh): New function.
9028         (log-edit--match-first-line): Use it (bug#17861).
9030 2014-07-03  Glenn Morris  <rgm@gnu.org>
9032         * vc/log-edit.el (log-edit-hook): Add missing :version.
9034 2014-07-03  Fabián Ezequiel Gallina  <fgallina@gnu.org>
9036         * progmodes/python.el (python-indent-post-self-insert-function):
9037         Enhancements to electric indentation behavior inside
9038         parens.  (Bug#17658)
9040 2014-07-03  Stefan Monnier  <monnier@iro.umontreal.ca>
9042         * ps-def.el (ps-generate-postscript-with-faces1): Don't mess with
9043         buffer-invisibility-spec (bug#17867).
9045 2014-07-03  Andreas Schwab  <schwab@linux-m68k.org>
9047         * vc/vc-git.el (vc-git-checkin): When operating on the whole tree
9048         pass "-a".
9050 2014-07-03  Glenn Morris  <rgm@gnu.org>
9052         * cus-edit.el (help):
9053         * finder.el (finder-known-keywords):
9054         * help.el (help-for-help-internal):
9055         * vc/ediff-mult.el (ediff-meta-buffer-verbose-message)
9056         (ediff-redraw-registry-buffer):
9057         * vc/ediff-ptch.el (ediff-patch-file-internal):
9058         Doc fixes re "online" help.  (Bug#17803)
9060         * progmodes/idlwave.el (idlwave): Update url-link for custom group.
9061         (idlwave-mode): Doc URL update.
9063 2014-07-01  Juri Linkov  <juri@jurta.org>
9065         * man.el: Display man pages immediately and use process-filter
9066         to format them asynchronously.
9067         (Man-width): Doc fix.
9068         (man): Doc fix.
9069         (Man-start-calling): Use `with-selected-window' to get
9070         `frame-width' and `window-width'.
9071         (Man-getpage-in-background): Call `Man-notify-when-ready'
9072         immediately after creating a new buffer.  Call `Man-mode' and set
9073         `mode-line-process' in the created buffer.  Set process-filter to
9074         `Man-bgproc-filter' in start-process branch.  In call-process branch
9075         call either `Man-fontify-manpage' or `Man-cleanup-manpage'.
9076         Use `Man-start-calling' inside `with-current-buffer'.
9077         (Man-fontify-manpage): Don't print messages.  Fix boundary condition.
9078         (Man-cleanup-manpage): Don't print messages.
9079         (Man-bgproc-filter): New function.
9080         (Man-bgproc-sentinel): Add `save-excursion' to keep point when
9081         user moved it during asynchronous formatting.  Move calls of
9082         `Man-fontify-manpage' and `Man-cleanup-manpage' to
9083         `Man-bgproc-filter'.  Move the call of `Man-mode' to
9084         `Man-getpage-in-background'.  Use `quit-restore-window'
9085         instead of `kill-buffer'.  Use `message' instead of `error'
9086         because errors are caught by process sentinel.
9087         (Man-mode): Move calls of `Man-build-page-list',
9088         `Man-strip-page-headers', `Man-unindent', `Man-goto-page' to
9089         `Man-bgproc-sentinel'.  Doc fix.  (Bug#2588, bug#5054, bug#9084, bug#17831)
9091         * man.el (Man-bgproc-sentinel): Use `Man-page-from-arguments'
9092         for the message about the man page cleaned up.
9094 2014-07-01  Mario Lang  <mlang@delysid.org>
9096         * net/gnutls.el (gnutls-negotiate): Prevent destructive modification of
9097         cosutomization option `gnutls-verify-error'.
9099 2014-07-01  Stefan Monnier  <monnier@iro.umontreal.ca>
9101         * simple.el (deactivate-mark, set-mark-command, handle-shift-selection):
9102         Don't keep transient-mark-mode buffer-local when not needed (bug#6316).
9104         * xt-mouse.el (turn-on-xterm-mouse-tracking-on-terminal)
9105         (turn-off-xterm-mouse-tracking-on-terminal): Don't burp if the terminal
9106         is suspended (bug#17857).
9108 2014-07-01  Michael Albinus  <michael.albinus@gmx.de>
9110         * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
9111         Prefer utf-8 coding.  (Bug#17859)
9113 2014-06-30  Fabián Ezequiel Gallina  <fgallina@gnu.org>
9115         * emacs-lisp/subr-x.el (string-reverse): Define as obsolete alias
9116         for `reverse'.
9118 2014-06-30  Glenn Morris  <rgm@gnu.org>
9120         * emacs-lisp/autoload.el (autoload-ensure-writable): New variable.
9121         (autoload-ensure-default-file): Maybe make existing output writable.
9122         * Makefile.in (AUTOGEN_VCS): Remove.
9123         (autoloads): Use autoload-ensure-writable rather than AUTOGEN_VCS.
9125 2014-06-30  Fabián Ezequiel Gallina  <fgallina@gnu.org>
9127         * emacs-lisp/subr-x.el (string-reverse): Use `reverse'.
9129 2014-06-30  Fabián Ezequiel Gallina  <fgallina@gnu.org>
9131         New if-let, when-let, thread-first and thread-last macros.
9133         * emacs-lisp/subr-x.el
9134         (internal--listify, internal--check-binding)
9135         (internal--build-binding-value-form, internal--build-binding)
9136         (internal--build-bindings): New functions.
9137         (internal--thread-argument, thread-first, thread-last)
9138         (if-let, when-let): New macros.
9140 2014-06-30  Grégoire Jadi  <daimrod@gmail.com>
9142         * net/rcirc.el (rcirc-buffer-process): Restore previous
9143         behavior.  (Bug#17772)
9145 2014-06-29  Alan Mackenzie  <acm@muc.de>
9147         Don't call c-parse-state when c++-template-syntax-table is active.
9148         * progmodes/cc-engine.el (c-guess-continued-construct CASE G)
9149         (c-guess-basic-syntax CASE 5D.3): Rearrange so that
9150         c-syntactic-skip-backwards isn't called with the pertinent syntax table.
9152 2014-06-28  Stephen Berman  <stephen.berman@gmx.net>
9154         * calendar/todo-mode.el (todo-set-top-priorities): Fix logic to
9155         account for file-wide setting of todo-top-priorities-overrides.
9156         Make code a bit cleaner.
9158 2014-06-28  Glenn Morris  <rgm@gnu.org>
9160         * net/eww.el (eww-mode) <eww-current-title>: Make local.  (Bug#17860)
9162 2014-06-28  Stephen Berman  <stephen.berman@gmx.net>
9164         * calendar/todo-mode.el (todo-prefix-overlays): If there is no
9165         category-wide setting of todo-top-priorities-overrides, check for
9166         a file-wide setting and fontify accordingly.
9168 2014-06-28  Glenn Morris  <rgm@gnu.org>
9170         * subr.el (read-passwd): Warn about batch mode.  (Bug#17839)
9172 2014-06-28  Stefan Monnier  <monnier@iro.umontreal.ca>
9174         * progmodes/hideif.el: Use lexical-binding.  Fix up cl-lib usage.
9176 2014-06-28  K. Handa  <handa@gnu.org>
9178         Fix Bug#17739.
9180         * composite.el: Setup composition-function-table for dotted circle.
9181         (compose-gstring-for-dotted-circle): New function.
9183         * international/characters.el: Add category "^" to all
9184         non-spacing characters.
9186 2014-06-28  Glenn Morris  <rgm@gnu.org>
9188         * Makefile.in (doit): Remove force rule.
9189         (custom-deps, finder-data, autoloads, update-subdirs)
9190         (compile-one-process): PHONY targets do not need force rules.
9192         * Makefile.in (compile-main, compile, compile-always):
9193         No need to explicitly pass variables to ourself in recursive calls.
9195 2014-06-28  Stefan Monnier  <monnier@iro.umontreal.ca>
9197         * files.el (minibuffer-with-setup-hook): Evaluate the first arg eagerly.
9199 2014-06-26  Glenn Morris  <rgm@gnu.org>
9201         * Makefile.in (update-authors): Update for moved authors.el.
9203 2014-06-26  Leo Liu  <sdl.web@gmail.com>
9205         * skeleton.el (skeleton-end-hook): Default to nil and move the
9206         work to skeleton-insert.  (Bug#17850)
9208 2014-06-26  Dmitry Antipov  <dmantipov@yandex.ru>
9210         * calc/calc-alg.el (math-beforep):
9211         * progmodes/cc-guess.el (c-guess-view-reorder-offsets-alist-in-style):
9212         Simplify because string-lessp can accept symbols as args.
9214 2014-06-26  Daiki Ueno  <ueno@gnu.org>
9216         * emacs-lisp/package.el (package--check-signature):
9217         If package-check-signature is allow-unsigned, don't signal error when
9218         we can't verify signature because of missing public key
9219         (bug#17625).
9221 2014-06-26  Glenn Morris  <rgm@gnu.org>
9223         * emacs-lisp/cl-macs.el (help-add-fundoc-usage):
9224         Remove outdated declaration.
9226         * emacs-lisp/authors.el (authors-valid-file-names)
9227         (authors-renamed-files-alist): Additions.
9229 2014-06-26  Leo Liu  <sdl.web@gmail.com>
9231         * textmodes/picture.el (picture-set-tab-stops):
9232         * ruler-mode.el (ruler-mode-mouse-add-tab-stop)
9233         (ruler-mode-ruler): Fix to work with nil tab-stop-list.
9235         * progmodes/asm-mode.el (asm-calculate-indentation):
9236         Use indent-next-tab-stop.
9238         * indent.el (indent-accumulate-tab-stops): New function.
9240 2014-06-26  Stefan Monnier  <monnier@iro.umontreal.ca>
9242         * emacs-lisp/package.el (package-list-unsigned): New var (bug#17625).
9243         (package-desc-status): Obey it.
9245 2014-06-26  Stephen Berman  <stephen.berman@gmx.net>
9247         * calendar/todo-mode.el: Fix two bugs.
9248         (todo-insert-item--basic): If user cancels item insertion to
9249         another category before setting priority, show original category
9250         whether it is in the same or a different file.
9251         (todo-set-item-priority): After selecting category, instead of
9252         moving point to top, which extends an active region, restore it.
9254 2014-06-26  Stefan Monnier  <monnier@iro.umontreal.ca>
9256         * help-fns.el (describe-function-1): Check file-name is a string before
9257         calling help-fns--autoloaded-p (bug#17564).
9259 2014-06-26  Juri Linkov  <juri@jurta.org>
9261         * desktop.el (desktop-auto-save-enable)
9262         (desktop-auto-save-disable): New functions.
9263         (desktop-save-mode, desktop-auto-save-timeout): Use them.
9264         (desktop-read): Disable the autosave before loading the desktop,
9265         and enable afterwards.  (Bug#17351)
9267 2014-06-26  Stefan Monnier  <monnier@iro.umontreal.ca>
9269         Fix some indentation problem with \; and pipes (bug#17842).
9270         * progmodes/sh-script.el (sh-mode-syntax-table): Set syntax of ;|&.
9271         (sh-smie--default-forward-token, sh-smie--default-backward-token):
9272         New functions.
9273         (sh-smie-sh-forward-token, sh-smie-sh-backward-token)
9274         (sh-smie-rc-forward-token, sh-smie-rc-backward-token): Use them.
9275         (sh-smie-sh-rules): Fix indentation of a pipe at BOL.
9277 2014-06-26  Glenn Morris  <rgm@gnu.org>
9279         * emacs-lisp/find-func.el (find-function-C-source-directory):
9280         Use file-accessible-directory-p.
9282         * ps-samp.el: Make it slightly less awful.
9283         (ps-rmail-mode-hook, ps-gnus-article-prepare-hook, ps-vm-mode-hook):
9284         (ps-gnus-summary-setup, ps-info-mode-hook): Use [print] key.
9285         Only set local values.
9286         (ps-article-subject, ps-article-author): Use standard functions
9287         like mail-fetch-field.
9288         (ps-info-file, ps-info-node): Use match-string.
9289         (ps-jts-ps-setup, ps-jack-setup): Remove, merging into...
9290         (ps-samp-ps-setup): ... new function.
9292         * progmodes/idlw-shell.el (idlwave-shell-make-temp-file):
9293         Optimize away code unneeded on any modern Emacs.
9295         * emacs-lisp/authors.el: Move to ../admin.
9297         * emacs-lisp/ert.el (ert-summarize-tests-batch-and-exit): New.
9299 2014-06-26  Luke Lee  <luke.yx.lee@gmail.com>
9301         * progmodes/hideif.el (hif-string-to-number): Fix return value bug.
9302         (hif-simple-token-only, hif-tokenize): Comment in detail mainly for
9303         performance enhancements.
9304         (hif-parse-if-exp): Rename to `hif-parse-exp'.  Enhance for macro
9305         expansion.
9306         (hif-factor, hif-string-concatenation, intern-safe): Support string
9307         concatenation and argumented macro expansion.
9308         (hif-if-valid-identifier-p, hif-define-operator, hif-flatten)
9309         (hif-expand-token-list, hif-get-argument-list, hif-define-macro)
9310         (hif-delimit, hif-macro-supply-arguments, hif-invoke, hif-canonicalize)
9311         (hif-canonicalize-tokens, hif-place-macro-invocation)
9312         (hif-parse-macro-arglist): Mostly new functions for supporting
9313         argumented macro expansion.
9314         (hif-string-concatenation, hif-stringify, hif-token-concat)
9315         (hif-token-stringification, hif-token-concatenation):
9316         Stringification and concatenation.
9317         (hif-find-next-relevant): Fix comments.
9318         (hif-ifdef-to-endif, hif-looking-at-elif, hif-hide-line): Bug fix for
9319         some cases involving #elif.
9320         (hif-find-define, hif-add-new-defines): New functions for automatically
9321         scanning of defined symbols.
9322         (hide-ifdef-guts): Fix for defined symbol auto scanning.
9323         (hide-ifdef-undef): Fix behavior to match CPP.
9325 2014-06-25  Glenn Morris  <rgm@gnu.org>
9327         * Makefile.in ($(lisp)/progmodes/cc-defs.elc)
9328         ($(lisp)/progmodes/cc-fonts.elc, $(lisp)/progmodes/cc-langs.elc)
9329         ($(lisp)/progmodes/cc-vars.elc): Drop hand-written deps on non-cc
9330         files.  They are not relevant to the original issue (bug#1004),
9331         and cause unnecessary recompilation (bug#2151).
9333 2014-06-25  Stefan Monnier  <monnier@iro.umontreal.ca>
9335         * play/landmark.el: Use lexical-binding and avoid `intangible'.
9336         (landmark--last-pos): New var.
9337         (landmark--intangible-chars): New const.
9338         (landmark--intangible): New function.
9339         (landmark-mode, landmark-move): Use it.
9340         (landmark-mode): Remove properties.
9341         (landmark-plot-square, landmark-point-square, landmark-goto-xy)
9342         (landmark-cross-qtuple):
9343         Don't worry about `intangible' any more.
9344         (landmark-click, landmark-point-y): Same; and don't assume point-min==1.
9345         (landmark-init-display): Don't set `intangible' and `point-entered'.
9346         (square): Remove.  Inline it instead.
9347         (landmark--distance): Rename from `distance'.
9348         (landmark-calc-distance-of-robot-from): Rename from
9349         calc-distance-of-robot-from.
9350         (landmark-calc-smell-internal): Rename from calc-smell-internal.
9352 2014-06-25  Dmitry Antipov  <dmantipov@yandex.ru>
9354         * files.el (dir-locals-find-file, file-relative-name):
9355         * info.el (Info-complete-menu-item):
9356         * minibuffer.el (completion-table-subvert): Prefer string-prefix-p
9357         to compare-strings to avoid out-of-range errors.
9358         * subr.el (string-prefix-p): Adjust to match strict range
9359         checking in compare-strings.
9361 2014-06-24  Leonard Randall  <leonard.a.randall@gmail.com>  (tiny change)
9363         * textmodes/reftex-parse.el (reftex-using-biblatex-p): Make search
9364         for comment lines non-greedy and stopping at newlines to fix stack
9365         overflows with large files.
9367 2014-06-24  Eli Barzilay  <eli@barzilay.org>
9369         * calculator.el (calculator-last-input): Drop 'ascii-character property
9370         lookup.
9372 2014-06-24  Leo Liu  <sdl.web@gmail.com>
9374         * align.el (align-adjust-col-for-rule): Unbreak due to defaulting
9375         tab-stop-list to nil.  (Bug#16381)
9377         * indent.el (indent-next-tab-stop): Rename from indent--next-tab-stop.
9378         (indent-rigidly-left-to-tab-stop)
9379         (indent-rigidly-right-to-tab-stop, tab-to-tab-stop)
9380         (move-to-tab-stop): Change callers.
9382 2014-06-24  Eli Zaretskii  <eliz@gnu.org>
9384         * skeleton.el (skeleton-insert): Yet another fix of the doc string
9385         wrt behavior of \n as the first/last element of a skeleton.
9387 2014-06-24  Michael Albinus  <michael.albinus@gmx.de>
9389         * net/tramp-adb.el (tramp-adb-handle-process-file):
9390         * net/tramp-sh.el (tramp-sh-handle-process-file):
9391         * net/tramp-smb.el (tramp-smb-handle-process-file): Do not raise
9392         the output buffer when DISPLAY is non-nil.  (Bug#17815)
9394 2014-06-24  Glenn Morris  <rgm@gnu.org>
9396         * play/landmark.el (landmark-move-down, landmark-move-up):
9397         Fix 2007-10-20 change - preserve horizontal position.
9399 2014-06-23  Sam Steingold  <sds@gnu.org>
9401         * simple.el (kill-append): Remove undo boundary depending on ...
9402         (kill-append-merge-undo): New user option.
9404 2014-06-23  Stefan Monnier  <monnier@iro.umontreal.ca>
9406         * simple.el (handle-shift-selection, exchange-point-and-mark)
9407         (activate-mark): Set transient-mark-mode buffer-locally (bug#6316).
9408         (transient-mark-mode): Use&set the global value.
9409         * mouse.el (mouse-set-region-1, mouse-drag-track): Idem.
9410         * emulation/edt.el (edt-emulation-off): Save&restore the global
9411         transient-mark-mode setting.
9412         * obsolete/pc-select.el (pc-selection-mode): Use the
9413         transient-mark-mode function.
9415 2014-06-23  Eli Zaretskii  <eliz@gnu.org>
9417         * international/fontset.el (script-representative-chars):
9418         Add representative characters for scripts added in Unicode 7.0.
9419         (otf-script-alist): Synchronize with the latest registry of OTF
9420         script tags.
9422         * international/characters.el (char-script-table): Update for
9423         scripts added and codepoint ranges changed in Unicode 7.0.
9425 2014-06-23  Eli Barzilay  <eli@barzilay.org>
9427         * calculator.el (calculator-standard-displayer): Fix bug in use of
9428         `calculator-groupize-number'.
9429         (calculator-funcall): Fix broken `cl-flet' use by moving it into the
9430         `eval' code, so it works in v24.3.1 too.
9431         (calculator-last-input): Comment to clarify purpose.
9433 2014-06-22  Mario Lang  <mlang@delysid.org>
9435         * textmodes/rst.el (rst-comment-region): From from -> from.
9437         * net/tramp-adb.el (tramp-adb-send-command-and-check): And and -> and.
9439 2013-06-22  Dmitry Antipov  <dmantipov@yandex.ru>
9441         * electric.el (electric-layout-post-self-insert-function):
9442         * emacs-lisp/ert.el (ert--insert-infos):
9443         * obsolete/vi.el (vi-set-mark):
9444         * term.el (term-handle-scroll):
9445         * textmodes/bibtex.el (bibtex-fill-field, bibtex-fill-entry):
9446         * wid-edit.el (widget-editable-list-value-create):
9447         Prefer point-marker to copy-marker of point.
9449 2014-06-21  Fabián Ezequiel Gallina  <fgallina@gnu.org>
9451         Fix completion retrieval parsing (bug#17209).
9452         * progmodes/python.el (python-mode):
9453         (python-util-strip-string): New function.
9454         (python-shell-completion-get-completions): Use it.
9456 2014-06-21  Eli Zaretskii  <eliz@gnu.org>
9458         * skeleton.el (skeleton-insert): Fix last change.
9460 2014-06-21  Fabián Ezequiel Gallina  <fgallina@gnu.org>
9462         Enhancements for outline integration (bug#17796).
9463         * progmodes/python.el (python-mode): Properly set
9464         outline-heading-end-regexp so that comments after colons for
9465         defuns are supported.
9467 2014-06-21  Eli Zaretskii  <eliz@gnu.org>
9469         * skeleton.el (skeleton-insert): Doc fix.
9471 2014-06-21  Stefan Monnier  <monnier@iro.umontreal.ca>
9473         * emacs-lisp/smie.el (smie-config--guess): Fix typo.
9474         (smie-config-guess): Use smie-config-local so the rules are obeyed
9475         (bug#17818).
9477         * mouse.el (mouse-drag-line): Don't re-add to unread-comment-events,
9478         since it's already done inside the loop (bug#17819).
9480 2014-06-21  Martin Rudalics  <rudalics@gmx.at>
9482         * mouse.el (mouse-drag-line): Re-remove code initially removed
9483         on 2013-03-09 and inadvertently reintroduced on 2013-11-30
9484         (Bug#17819).
9486 2014-06-21  Stefan Monnier  <monnier@iro.umontreal.ca>
9488         * progmodes/sh-script.el (sh-smie-sh-rules): For { after &&, don't
9489         align with the surrounding parent (bug#17721).
9491 2014-06-21  Eli Zaretskii  <eliz@gnu.org>
9493         * textmodes/texinfo.el (texinfo-mode): Set skeleton-end-newline
9494         locally to nil.
9495         (texinfo-insert-block, texinfo-insert-@end)
9496         (texinfo-insert-@example, texinfo-insert-@quotation): Adjust to
9497         local setting of skeleton-end-newline by adding an explicit \n to
9498         the skeletons where appropriate.  (Bug#17801)
9500 2014-06-21  Stefan Monnier  <monnier@iro.umontreal.ca>
9502         * emacs-lisp/smie.el (smie--hanging-eolp-function): New var.
9503         (smie-indent--hanging-p): Use it.
9504         * progmodes/sh-script.el (sh-set-shell): Set it (bug#17621).
9506 2014-06-21  Leo Liu  <sdl.web@gmail.com>
9508         * simple.el (read-quoted-char): Don't let help chars pop up help
9509         buffer.  (Bug#16617)
9511 2014-06-21  Stefan Monnier  <monnier@iro.umontreal.ca>
9513         * progmodes/sh-script.el (sh-smie-sh-rules): Use same rule for && as
9514         for | (bug#17621).
9516         * xt-mouse.el (xterm-mouse--read-event-sequence-1000):
9517         Drop unknown events instead of burping.
9519 2014-06-21  Eli Zaretskii  <eliz@gnu.org>
9521         * term/w32-win.el (dynamic-library-alist): Support giflib 5.1.0
9522         and later.  (Bug#17790)
9524 2014-06-21  Juri Linkov  <juri@jurta.org>
9526         * dired.el (dired-mark-pop-up): Let-bind display-buffer-mark-dedicated
9527         to `soft'.  (Bug#17554)
9529 2014-06-21  Stefan Monnier  <monnier@iro.umontreal.ca>
9531         * delsel.el (electric-newline-and-maybe-indent): Mark it as well
9532         (bug#17737).
9534 2014-06-21  Dmitry Gutov  <dgutov@yandex.ru>
9536         * progmodes/ruby-mode.el (ruby-font-lock-keywords): Don't fontify
9537         `!' in `!~' with `font-lock-negation-char-face'.  (Bug#17732)
9539 2014-06-21  Michael Albinus  <michael.albinus@gmx.de>
9541         * net/dbus.el (dbus-call-method): Push only non D-Bus events into
9542         `unread-command-events'.
9544 2014-06-19  William Xu  <william.xwl@gmail.com>
9546         * progmodes/hideif.el (hif-string-to-number): Don't return float for
9547         hex integer constants (bug#17807).
9549 2014-06-19  Stefan Monnier  <monnier@iro.umontreal.ca>
9551         * international/mule-util.el (truncate-string-ellipsis): New var.
9552         (truncate-string-to-width): Use it.
9554 2014-06-19  Robert Brown  <robert.brown@gmail.com>  (tiny change)
9556         * emacs-lisp/lisp-mode.el (lisp-string-after-doc-keyword-p): New fun.
9557         (lisp-string-in-doc-position-p): New function, extracted from
9558         lisp-font-lock-syntactic-face-function.
9559         (lisp-font-lock-syntactic-face-function): Use them (bug#9130).
9561 2014-06-19  Grégoire Jadi  <daimrod@gmail.com>
9563         * net/rcirc.el (rcirc-omit-mode): Fix recenter error.  (Bug#17769)
9565 2014-06-18  Stefan Monnier  <monnier@iro.umontreal.ca>
9567         * play/bubbles.el (bubbles--initialize, bubbles--show-scores)
9568         (bubbles--game-over): Don't add `intangible' properties since they
9569         didn't work anyway.
9571 2014-06-18  Juri Linkov  <juri@jurta.org>
9573         * vc/ediff-init.el (ediff-current-diff-Ancestor)
9574         (ediff-fine-diff-Ancestor, ediff-even-diff-A, ediff-even-diff-B)
9575         (ediff-even-diff-C, ediff-even-diff-Ancestor, ediff-odd-diff-A)
9576         (ediff-odd-diff-B, ediff-odd-diff-C, ediff-odd-diff-Ancestor):
9577         Add `min-colors 88' version with removed black/white foregrounds.
9578         (Bug#10181)
9580 2014-06-18  Juri Linkov  <juri@jurta.org>
9582         * vc/diff-mode.el (diff-changed): Empty face definition to use
9583         `diff-removed' and `diff-added' on tty as well.  (Bug#10181)
9584         (diff-context): Use darker color on light background and
9585         lighter color on dark background.
9587 2014-06-18  Juri Linkov  <juri@jurta.org>
9589         * vc/diff-mode.el (diff-refine-changed): Rename from
9590         `diff-refine-change' for consistency with `diff-changed'.
9591         (diff-refine-change): Add obsolete face alias.  (Bug#10181)
9593         * vc/smerge-mode.el (smerge-refined-changed): Rename from
9594         `smerge-refined-change'.
9595         (smerge-refined-change): Add obsolete face alias.
9597 2014-06-17  Stefan Monnier  <monnier@iro.umontreal.ca>
9599         * rect.el (rectangle-preview): New custom.
9600         (rectangle): New group.
9601         (rectangle--pos-cols): Add `window' argument.
9602         (rectangle--string-preview-state, rectangle--string-preview-window):
9603         New vars.
9604         (rectangle--string-flush-preview, rectangle--string-erase-preview)
9605         (rectangle--space-to, rectangle--string-preview): New functions.
9606         (string-rectangle): Use them.
9607         (rectangle--inhibit-region-highlight): New var.
9608         (rectangle--highlight-for-redisplay): Obey it.  Make sure
9609         `apply-on-region' uses the point-crutches of the right window.
9610         Use :align-to rather than multiple spaces.
9612 2014-06-16  Andrea Rossetti  <andrea.rossetti@gmail.com>  (tiny change)
9614         * ruler-mode.el (ruler-mode-window-col)
9615         (ruler-mode-mouse-set-left-margin)
9616         (ruler-mode-mouse-set-right-margin): Fix calculation of column
9617         from mouse position (Bug#17768).
9619 2014-06-16  Ron Schnell  <ronnie@driver-aces.com>
9621         * play/dunnet.el (dun-doassign): Fix bug where UNIX variable assignment
9622         without varname or rhs causes crash.
9623         (dun-ftp): Fix bug where blank ftp password is allowed, making it
9624         impossible to win endgame.
9625         (dun-unix-verbs): Add ssh as alias to rlogin, because nobody knows what
9626         rlogin is anymore.
9627         (dun-help): Bump version number; update contact info.
9629 2014-06-15  Eli Barzilay  <eli@barzilay.org>
9631         * calculator.el (calculator-prompt, calculator-remove-zeros)
9632         (calculator-mode-hook, calculator-operators, calculator-stack)
9633         (calculator-mode): Tweak docstring.
9634         (calculator-user-operators): Tweak docstring, fix a bug in the last
9635         example.
9636         (calculator-displayer): `std' case has an optional boolean.
9637         (calculator-displayers): Use the new boolean to group in decimal mode.
9638         (calculator-mode-map, calculator, calculator-message)
9639         (calculator-op-arity, calculator-add-operators)
9640         (calculator-string-to-number, calculator-displayer-prev)
9641         (calculator-displayer-next, calculator-remove-zeros)
9642         (calculator-eng-display, calculator-number-to-string)
9643         (calculator-update-display, calculator-last-input)
9644         (calculator-clear-fragile, calculator-digit, calculator-decimal)
9645         (calculator-exp, calculator-saved-move, calculator-clear)
9646         (calculator-copy, calculator-put-value, calculator-help)
9647         (calculator-expt, calculator-truncate): Minor code improvements.
9648         (calculator-need-3-lines): New function pulling out code from
9649         `calculator'.
9650         (calculator-get-display): Rename from `calculator-get-prompt', and
9651         improved.
9652         (calculator-push-curnum): Rename from `calculator-curnum-value', and
9653         extended for all uses of it.  All callers changed.
9654         (calculator-groupize-number): New utility for splitting a number into
9655         groups.
9656         (calculator-standard-displayer): Improve code, new optional argument to
9657         use comma-split groups, make second argument optional too to use with
9658         'left/'right inputs.  All callers changed.
9659         (calculator-reduce-stack-once): New utility, doing the meat of what
9660         `calculator-reduce-stack' used to do, much improved (mostly using
9661         `pcase' for conciseness and clarity).
9662         (calculator-reduce-stack): Now doing just the reduction loop using
9663         `calculator-reduce-stack-once'.
9664         (calculator-funcall): Improve code, make it work in v24.3.1 too.
9665         (calculator-last-input): Improve code, remove some old cruft.
9666         (calculator-quit): Kill `calculator-buffer' in electric mode too.
9667         (calculator-integer-p): Remove.
9668         (calculator-fact): Improve code, make it work on non-integer values
9669         too (using truncated numbers).
9671 2014-06-15  Michael Albinus  <michael.albinus@gmx.de>
9673         Sync with Tramp 2.2.10.
9675         * net/tramp.el (tramp-methods): Tweak docstring.
9676         (tramp-handle-file-accessible-directory-p): Check for
9677         `file-readable-p' instead of `file-executable-p'.
9678         (tramp-check-cached-permissions):
9679         Use `tramp-compat-file-attributes'.
9680         (tramp-call-process): Add new argument VEC.  Adapt callees in all
9681         tramp*.el files.
9683         * net/tramp-adb.el (tramp-adb-handle-write-region): Improve messages.
9684         (tramp-adb-maybe-open-connection): Don't set
9685         `tramp-current-*' variables.
9687         * net/tramp-cache.el (tramp-flush-file-function): Do not flush
9688         file properties of temporary buffers.
9690         * net/tramp-ftp.el (top): Remove special handling for URL syntax.
9692         * net/tramp-gvfs.el (tramp-gvfs-methods) <sftp>: Add.
9693         (tramp-gvfs-handle-delete-file): Flush file
9694         properties, not directory properties.
9695         (tramp-gvfs-handle-file-attributes): Use `string-to-number' when
9696         reading "unix::mode".
9697         (tramp-gvfs-handle-file-name-all-completions):
9698         Use "-h" option for "gvfs-ls".
9699         (tramp-gvfs-url-file-name): `user' and `localname' could be nil.
9700         (tramp-gvfs-send-command): Simplify traces.
9702         * net/tramp-sh.el (vc-handled-backends, vc-bzr-program)
9703         (vc-git-program, vc-hg-program): Declare.
9704         (tramp-methods) <sftp>: Remove.  It has never worked satisfactorily.
9705         (tramp-methods) <nc>: Add new method.
9706         (tramp-methods) <telnet>: Redirect stderr to "/dev/null".
9707         (tramp-methods) <plink, plinkx, pscp, psftp>: Improve
9708         `tramp-login-args'.
9709         (tramp-default-user-alist): Add "nc".
9710         (top): Remove completion function for "sftp".  Add completion
9711         functions for "nc" and "psftp".
9712         (tramp-do-copy-or-rename-file-out-of-band): Tweak docstring.
9713         Implement support for "nc" method.
9714         (tramp-sh-handle-expand-file-name, tramp-local-coding-commands)
9715         (tramp-remote-coding-commands, tramp-call-local-coding-command):
9716         Tweak docstring.
9717         (tramp-sh-handle-write-region): Tweak error message.
9718         (tramp-sh-handle-vc-registered): Remove backends when the remote
9719         binary does not exist.
9720         (tramp-find-inline-encoding): Do not raise an error.
9721         (tramp-make-copy-program-file-name): Tweak docstring.  Handle also
9722         the "nc" case.  Quote result also locally.
9724         * net/tramp-smb.el (tramp-smb-handle-copy-directory)
9725         (tramp-smb-handle-set-file-acl): Use `start-process'.
9726         (tramp-smb-handle-insert-directory): Use progress reporter.
9727         (tramp-smb-handle-rename-file): Flush also file properties of
9728         FILENAME.
9730         * net/trampver.el: Update release number.
9732 2014-06-15  Stefan Monnier  <monnier@iro.umontreal.ca>
9734         * ses.el: Miscellaneous cleanups; use lexical-binding; avoid
9735         add-to-list.
9736         (ses-localvars): Remove ses--local-printer-list, unused.
9737         (ses--metaprogramming): New macro.  Use it to defvar variables.
9738         (ses-set-localvars): Simplify.
9739         (ses--locprn, ses-cell): Use defstruct.  Change ses-cell's
9740         property-list into an alist.
9741         (ses-locprn-get-compiled, ses-locprn-compiled-aset)
9742         (ses-locprn-get-def, ses-locprn-def-aset, ses-locprn-get-number):
9743         Remove; use defstruct accessors/setters instead.
9744         (ses-cell-formula-aset, ses-cell-printer-aset)
9745         (ses-cell-references-aset): Remove, use setf instead.
9746         (ses--alist-get): New function.
9747         (ses-cell-property): Rename from ses-cell-property-get and rewrite.
9748         Use an alist instead of a plist and don't do move-to-front since the
9749         list is always short.
9750         (ses-cell-property-get-fun, ses-cell-property-delq-fun)
9751         (ses-cell-property-set-fun, ses-cell-property-set)
9752         (ses-cell-property-pop-fun, ses-cell-property-get-handle)
9753         (ses-cell-property-handle-car, ses-cell-property-handle-setcar): Remove.
9754         (ses--letref): New macro.
9755         (ses-cell-property-pop): Rewrite.
9756         (ses--cell): Rename from ses-cell and make it into a function.
9757         Make `formula' fallback on `value' if nil.
9758         (ses--local-printer): Rename from ses-local-printer and make it into
9759         a function.
9760         (ses-set-cell): Turn it into a macro so finding the accessor from the
9761         field name is done at compile time.
9762         (ses-repair-cell-reference-all): Test presence of `sym' rather than
9763         `ref' before adding `sym' to :ses-repair-reference.
9764         (ses-calculate-cell): Use ses--letref rather than
9765         ses-cell-property-get-handle.
9766         (ses-write-cells): Use a single prin1-to-string.
9767         (ses-setter-with-undo): New function.
9768         (ses-aset-with-undo, ses-set-with-undo): Rewrite using it.
9769         (ses-unset-with-undo): Remove.
9770         (ses-load): Prefer apply' over `eval'.
9771         (ses-read-printer, ses-set-column-width): Use standard "(default
9772         foo)" format.
9774 2014-06-15  Glenn Morris  <rgm@gnu.org>
9776         * Makefile.in (leim, semantic): Use `make -C' rather than `cd && make'.
9778         * progmodes/cc-langs.el: Require cl-lib.  (Bug#17463)
9779         Replace delete-duplicates and mapcan by cl- versions throughout.
9780         And cl-macroexpand-all by macroexpand-all.
9781         (delete-duplicates, mapcan, cl-macroexpand-all): No need to declare.
9783 2014-06-15  Eli Zaretskii  <eliz@gnu.org>
9785         * subr.el (posn-col-row): Doc fix.  (Bug#17768)
9787 2014-06-15  Juri Linkov  <juri@jurta.org>
9789         * bindings.el: Put `ascii-character' property on keypad keys
9790         mapped to characters.  (Bug#17759)
9792 2014-06-15  Stefan Monnier  <monnier@iro.umontreal.ca>
9794         * emacs-lisp/smie.el (smie-next-sexp): Fix up "other-end" info when
9795         bumping forward into a closing paren (bug#17761).
9797         * term/xterm.el (xterm--version-handler): Work around for OSX
9798         Terminal.app (bug#17607).
9800 2014-06-14  Ron Schnell  <ronnie@driver-aces.com>
9802         * play/dunnet.el (dun-describe-room, dun-mode):
9803         If a lamp is in the room, you won't be eaten by a grue.
9805 2014-06-13  Glenn Morris  <rgm@gnu.org>
9807         * Makefile.in ($(lisp)/cus-load.el, $(lisp)/finder-inf.el)
9808         (autoloads, $(lisp)/subdirs.el, compile-main, leim, semantic, compile)
9809         (compile-always): GNU make automatically passes
9810         command-line arguments to sub-makes.
9812         * calendar/calendar.el (calendar-generate-window):
9813         Remove pointless call to font-lock-fontify-buffer.
9815 2014-06-13  Matthias Meulien  <orontee@gmail.com>
9817         * simple.el (completion-list-mode-map): Navigate with tab and backtab
9818         (bug#17767).
9820 2014-06-13  Stefan Monnier  <monnier@iro.umontreal.ca>
9822         * simple.el (set-mark-command): Simplify a bit.
9824 2014-06-12  Nicolas Richard  <theonewiththeevillook@yahoo.fr>
9826         * help.el (help--key-binding-keymap): New function.
9827         (help--binding-locus): New function.
9828         (describe-key): Mention the keymap in which the binding was
9829         found.  (bug#13948)
9831 2014-06-12  Stefan Monnier  <monnier@iro.umontreal.ca>
9833         * hippie-exp.el (he--all-buffers): New function.
9834         (try-expand-line-all-buffers, try-expand-list-all-buffers)
9835         (try-expand-dabbrev-all-buffers): Use it.
9837 2014-06-12  Emilio C. Lopes  <eclig@gmx.net>
9839         * hippie-exp.el (try-expand-line-all-buffers)
9840         (try-expand-list-all-buffers, try-expand-dabbrev-all-buffers):
9841         Read hippie-expand-only-buffers and hippie-expand-ignore-buffers in the
9842         original buffer, in case they're buffer-local.
9844 2014-06-12  Vincent Belaïche  <vincentb1@users.sourceforge.net>
9846         * ses.el (ses-initial-global-parameters-re): New defconst, a
9847         specific regexp is needed now that ses.el can handle both
9848         file-format 2 --- ie. no local printers --- and 3 --- i.e. may have
9849         local printers.
9850         (ses-localvars): Add local variables needed for local printer handling.
9851         (ses-set-localvars): Handle hashmap initialization.
9852         (ses-paramlines-plist): Add param-line for number of local printers.
9853         (ses-paramfmt-plist): New defconst, needed for code factorization
9854         between functions `ses-set-parameter' and
9855         `ses-file-format-extend-paramter-list'
9856         (ses-make-local-printer-info): New defsubst.
9857         (ses-locprn-get-compiled, ses-locprn-compiled-aset)
9858         (ses-locprn-get-def, ses-locprn-def-aset, ses-locprn-get-number)
9859         (ses-cell-printer-aset): New defmacro.
9860         (ses-local-printer-compile): New defun.
9861         (ses-local-printer): New defmacro.
9862         (ses-printer-validate, ses-call-printer): Add support for local
9863         printer functions.
9864         (ses-file-format-extend-paramter-list): New defun.
9865         (ses-set-parameter): Use const `ses-paramfmt-plist' for code
9866         factorization.
9867         (ses-load): Add support for local printer functions.
9868         (ses-read-printer): Update docstring and add support for local printer
9869         functions.
9870         (ses-refresh-local-printer, ses-define-local-printer): New defun.
9871         (ses-safe-printer): Add support for local printer functions.
9873 2014-06-12  Ivan Andrus  <darthandrus@gmail.com>
9875         * ffap.el (ffap-lax-url): New var (bug#17723).
9876         (ffap-url-at-point): Use it.
9877         (ffap-file-at-point): Avoid returning just "/".
9879 2014-06-12  Matthias Meulien  <orontee@gmail.com>
9881         * progmodes/python.el (import skeleton): New skeleton (bug#17672).
9882         (python-mode-map): Bind it.
9884         * progmodes/python.el (class skeleton): Don't erase last char of class
9885         name (bug#17683).
9887 2014-06-12  Cameron Desautels  <camdez@gmail.com>  (tiny change)
9889         * help.el (where-is): Use `default' arg of completing-read (bug#17705).
9891 2014-06-12  Kevin Ryde  <user42_kevin@yahoo.com.au>
9893         * files.el (auto-mode-alist): Map .ad files to xdefaults-mode
9894         (bug#17745).
9896 2014-06-12  Stefan Monnier  <monnier@iro.umontreal.ca>
9898         * international/mule-cmds.el: Use lexical-binding.
9899         (ucs-names): Simplify.
9901 2014-05-18  Eric Hanchrow  <eric.hanchrow@gmail.com>
9903         * progmodes/python.el (run-python): Use read-shell-command.
9905 2014-06-11  Stefan Monnier  <monnier@iro.umontreal.ca>
9907         * rect.el: Make it possible to move bounds past EOL or into TABs.
9908         (operate-on-rectangle): Use apply-on-rectangle.
9909         (rectangle--mark-crutches): New var.
9910         (rectangle--pos-cols, rectangle--col-pos, rectangle--point-col)
9911         (rectangle--crutches, rectangle--reset-crutches): New functions.
9912         (apply-on-rectangle): Obey crutches.  Avoid setq.
9913         Fix missing final iteration if end is at EOB&BOL.
9914         (rectangle-mark-mode-map): Add remap bindings for
9915         exchange-point-and-mark and char/line movements.
9916         (rectangle--*-char): New function.
9917         (rectangle-exchange-point-and-mark, rectangle-right-char)
9918         (rectangle-left-char, rectangle-forward-char)
9919         (rectangle-backward-char, rectangle-next-line)
9920         (rectangle-previous-line): New commands.
9921         (rectangle--place-cursor): New function.
9922         (rectangle--highlight-for-redisplay): Use it.  Use apply-on-rectangle.
9924 2014-06-08  Glenn Morris  <rgm@gnu.org>
9926         * startup.el (initial-buffer-choice): Doc fix.
9927         Reset :version (adding an option does not merit a :version bump).
9929         * bookmark.el (bookmark-load):
9930         * uniquify.el (uniquify-buffer-name-style): Doc fixes.
9932 2014-06-08  Juri Linkov  <juri@jurta.org>
9934         * desktop.el: Activate auto-saving on window configuration changes.
9935         (desktop-save-mode, desktop-auto-save-timeout): Add/remove
9936         `desktop-auto-save-set-timer' to/from
9937         `window-configuration-change-hook'.
9938         (desktop-auto-save-set-timer): Change REPEAT arg of
9939         `run-with-idle-timer' from t to nil.
9940         http://lists.gnu.org/archive/html/emacs-devel/2014-06/msg00147.html
9942 2014-06-08  Santiago Payà i Miralta  <santiagopim@gmail.com>
9944         * vc/vc-hg.el (vc-hg-working-revision): Use "hg parent" and
9945         vc-hg-command (bug#17570).
9947 2014-06-08  Stefan Monnier  <monnier@iro.umontreal.ca>
9949         * international/mule-cmds.el (ucs-names): Add special entry for BEL
9950         (bug#17702).
9952 2014-06-08  Glenn Morris  <rgm@gnu.org>
9954         * startup.el (window-setup-hook): Doc fix.
9956         * emacs-lisp/package.el (package-check-signature)
9957         (package-unsigned-archives): Doc fixes.
9959 2014-06-08  Martin Rudalics  <rudalics@gmx.at>
9961         * window.el (display-buffer-use-some-window): Don't make window
9962         used smaller than it was before (Bug#17671).
9964 2014-06-08  Eli Zaretskii  <eliz@gnu.org>
9966         * menu-bar.el (menu-bar-open): Fix last change: use the PC
9967         'redisplay' instead of '(sit-for 0)'.
9969 2014-06-08  Michael Albinus  <michael.albinus@gmx.de>
9971         * net/tramp.el (tramp-ssh-controlmaster-options):
9972         Improve search regexp.  (Bug#17653)
9974 2014-06-08  Glenn Morris  <rgm@gnu.org>
9976         * emacs-lisp/package.el (package-pinned-packages): Doc fix.
9978 2014-06-08  Eli Zaretskii  <eliz@gnu.org>
9980         * menu-bar.el (menu-bar-open): Fix invocation via M-x.
9982 2014-06-06  Santiago Payà i Miralta  <santiagopim@gmail.com>
9984         * vc/vc-hg.el (vc-hg-create-tag, vc-hg-retrieve-tag): New functions
9985         (bug#17586).
9987         * vc/vc-hg.el (vc-hg-log-graph): New var.
9988         (vc-hg-print-log): Use it.
9989         (vc-hg-root-log-format): Include branch name and bookmarks; ignore
9990         graph output (bug#17515).
9992 2014-06-06  Stefan Monnier  <monnier@iro.umontreal.ca>
9994         * mouse.el (mouse-posn-property): Ignore buffer position info when the
9995         even happened elsewhere.
9997 2014-06-06  Mario Lang  <mlang@delysid.org>
9999         * emacs-lisp/tabulated-list.el (tabulated-list-print): Only call
10000         `recenter' if `current-buffer' is equal to `window-buffer'.
10002 2014-06-05  Leo Liu  <sdl.web@gmail.com>
10004         * emacs-lisp/cl-macs.el (cl-macrolet): Avoid excessive progn's.
10006 2014-06-05  Michal Nazarewicz  <mina86@mina86.com>
10008         * textmodes/tildify.el (tildify-foreach-region-outside-env):
10009         New function which calls a callback on portions of the buffer that are
10010         outside of ignored environments.
10011         (tildify-build-regexp): Remove function since it is now
10012         incorporated in `tildify-foreach-region-outside-env' where it is
10013         optimized and simplified by the use of `mapconcat'.
10014         (tildify-tildify): Return number of substitutions made so that…
10015         (tildify-count): …can be removed.
10016         (tildify-find-env): Accept a new PAIRS argument which was
10017         previously looked up in `tildify-ignored-environments-alist' each
10018         time the function was called.  With this change, the lookup is
10019         performed only once in `tildify-foreach-region-outside-env'.
10020         (tildify-region): Greatly simplify the function since now most of
10021         the work is done by `tildify-foreach-region-outside-env'.
10022         (tildify-mode-alist): Simplify slightly by avoiding if and setq
10023         and instead using or.
10025         * textmodes/tildify.el (tildify-ignored-environments-alist):
10026         Optimize environments regexes
10028         Each time beginning of an environment to ignore is found,
10029         `tildify-find-env' needs to identify regexp for the ending
10030         of the environment.  This is done by trying all the opening
10031         regexes on matched text in a loop, so to speed that up, this
10032         loop should have fewer things to match, which can be done by
10033         using alternatives in the opening regexes.
10035         Coincidentally, this should make matching of the opening
10036         regexp faster as well thanks to the use of `regexp-opt' and
10037         having common prefix pulled from many regexes.
10039         * textmodes/tildify.el (tildify-string-alist)
10040         (tildify-ignored-environments-alist): Add `nxml-mode' to the list
10041         of supported modes since `xml-mode' is no longer a thing but just
10042         an alias to the former.  Also include comments and insides of tags
10043         in `tildify-ignored-environments-alist' for XML modes.  Finally,
10044         since XML does not define “&nbsp;”[1], use a numeric reference for
10045         a no-break space (namely “&#160;”)
10047         [1] XML specification defines only a handful of predefined entities.
10048             The list is at <http://www.w3.org/TR/REC-xml/#sec-predefined-ent>
10049             and includes only &lt;, &gt;, &amp;, &apos; and &quot; (meaning <,
10050             >, &, ' and " respectively).  This is in contrast to HTML and even
10051             XHTML which defined a whole bunch of entities including “&nbsp;”.
10053         * textmodes/tildify.el (tildify-pattern-alist)
10054         (tildify-string-alist, tildify-ignored-environments-alist):
10055         Improve defcustom's types by adding more tags explaining what each
10056         value means and replace “sexp” used in
10057         `tildify-ignored-environments-alist' with a full type declaration.
10059         * textmodes/tildify.el (tildify-find-env): Fix matched group
10060         indexes in end-regex building
10062         When looking for a start of an ignore-environment, the regex is built
10063         by concatenating regexes of all the environments configured in
10064         `tildify-ignored-environments-alist'.  So for example, the following
10065         list could be used to match TeX's \verb and \verb* commands:
10067             (("\\\\verb\\(.\\)" . (1))
10068              ("\\\\verb\\*\\(.\\)" . (1)))
10070         This would result in the following regex being used to find the start
10071         of any of the variants of the \verb command:
10073             \\\\verb\\(.\\)\\|\\\\verb\\*\\(.\\)
10075         But now, if “\\\\verb\\*\\(.\\)” matches, the first capture group
10076         won't match anything, and thus (match-string 1) will be nil, which
10077         will cause building of the end-matching regex to fail.
10079         Fix this by using capture groups from the time when the opening
10080         regexes are matched individually.
10082         * textmodes/tildify.el (tildify-find-env): Fix end-regex building
10083         in `tildify-find-env'
10085         The `tildify-ignored-environments-alist' allows the end-regex to
10086         be provided not as a static string but mix of strings and indexes
10087         of groups matched the begin-regex.  For example, the “\verb!…!”
10088         TeX-command (where “!” is an arbitrary character) is handled
10089         using:
10091             ("\\\\verb\\*?\\(.\\)" . (1))
10093         In the same way, the following should be supported as well:
10095             ("open-\\(.\\)" . ("end-" 1))
10097         However the tildify-find-env function fails at
10099             (concat result
10100                     (if (stringp (setq aux (car expression)))
10101                          expression  ; BUG: expression is a list
10102                        (regexp-quote (match-string aux))))
10104         where the string part is handled incorrectly.
10106         The most trivial fix would be to replace `expression' in the
10107         true-part of the if-statement with `aux', but instead, this commit
10108         optimizes `tildify-find-env' by changing it to use `mapconcat'
10109         rather than open-coded while-loop.
10111 2014-06-05  Mario Lang  <mlang@delysid.org>
10113         * woman.el (woman-mapcan): Remove.
10114         (woman-parse-colon-path): Use cl-mapcan instead.
10116 2014-06-03  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
10118         * register.el: Add link to Emacs manual in Commentary.
10120 2014-06-02  Sam Steingold  <sds@gnu.org>
10122         * menu-bar.el (lookup-key-ignore-too-long): Extract from...
10123         (popup-menu): ...here.
10124         (menu-bar-open): Use it to avoid an error when `lookup-key'
10125         returns a number.
10127 2014-06-02  Michael Albinus  <michael.albinus@gmx.de>
10129         * net/tramp.el (tramp-call-process): Add traces.
10130         (tramp-handle-unhandled-file-name-directory): Return "/".
10132 2014-06-02  Wilson Snyder  <wsnyder@wsnyder.org>
10134         Sync with upstream verilog-mode revision 3cd8144.
10135         * progmodes/verilog-mode.el (verilog-mode-version): Bump.
10136         (verilog-auto-arg-format): New option, to support newlines in AUTOARG.
10137         (verilog-type-font-keywords): Add nor.
10138         (verilog-batch-execute-func): Force reading of Local Variables.
10139         Fix printing "no changes to be saved" with verilog-batch.
10140         (verilog-auto-arg-ports): Doc fix.
10141         Add verilog-auto-arg-format to support newlines in AUTOARG.
10142         (verilog-auto-arg): Doc fix.
10144 2014-06-02  Glenn Morris  <rgm@gnu.org>
10146         * emulation/crisp.el, emulation/tpu-edt.el, emulation/tpu-extras.el:
10147         * emulation/tpu-mapper.el, emulation/vi.el, emulation/vip.el:
10148         * emulation/ws-mode.el: Move to obsolete/.
10149         * Makefile.in (AUTOGEN_VCS): Update for moved tpu-edu.el.
10151 2014-06-02  Eli Zaretskii  <eliz@gnu.org>
10153         * simple.el (keyboard-quit): Force update of mode lines, to remove
10154         the "Def" indicator, if we were defining a macro.  (Bug#17615)
10156 2014-06-02  Stefan Monnier  <monnier@iro.umontreal.ca>
10158         * minibuffer.el (minibuffer-force-complete-and-exit):
10159         Obey minibuffer-default (bug#17545).
10161         * progmodes/js.el (js-indent-line): Don't mix columns and chars
10162         (bug#17619).
10164         * subr.el (set-transient-map): Don't wait for some "nested"
10165         transient-map to finish if we're only supposed to be active for
10166         the next command (bug#17642).
10168 2014-06-02  Leo Liu  <sdl.web@gmail.com>
10170         * emacs-lisp/gv.el (window-buffer, window-display-table)
10171         (window-dedicated-p, window-hscroll, window-point, window-start):
10172         Fix gv-expander.  (Bug#17630)
10174 2014-06-02  Stefan Monnier  <monnier@iro.umontreal.ca>
10176         * mouse.el (mouse-posn-property): Ignore posn-point for mode-line
10177         clicks (bug#17633).
10179         * leim/quail/latin-pre.el ("latin-2-prefix"): Use ",," rather than ", "
10180         for the single comma, since ", " is *very* common in normal French text
10181         (bug#17643).
10183 2014-06-02  Glenn Morris  <rgm@gnu.org>
10185         * emacs-lisp/package.el (package-check-signature)
10186         (package-unsigned-archives): Fix :version.
10188 2014-06-02  Stefan Monnier  <monnier@iro.umontreal.ca>
10190         * subr.el (sit-for): Don't run input-methods (bug#15614).
10192 2014-06-02  Glenn Morris  <rgm@gnu.org>
10194         * cus-start.el: Fix some :version numbers.
10196 2014-06-02  Stefan Monnier  <monnier@iro.umontreal.ca>
10198         * simple.el (deactivate-mark): Set mark-active to nil even if
10199         deactivation is done via setting transient-mark-mode to nil,
10200         since one is buffer-local and the other is global.
10202         * emacs-lisp/byte-opt.el (byte-optimize-binary-predicate): Don't assume
10203         there can't be more than 2 arguments (bug#17584).
10205 2014-06-02  Glenn Morris  <rgm@gnu.org>
10207         * simple.el (filter-buffer-substring-functions)
10208         (filter-buffer-substring-function, buffer-substring-filters)
10209         (filter-buffer-substring, buffer-substring--filter): Doc fixes.
10211         * minibuffer.el (completion-in-region-functions, completion-in-region)
10212         (completion--in-region): Doc fixes.
10214         * abbrev.el (abbrev-expand-functions, abbrev-expand-function)
10215         (expand-abbrev, abbrev--default-expand): Doc fixes.
10217 2014-06-02  Paul Eggert  <eggert@cs.ucla.edu>
10219         Include sources used to create macuvs.h.
10220         * international/README: Refer to the Unicode Terms of Use rather
10221         than copying it bodily here, as that simplifies maintenance.
10223 2014-06-01  Glenn Morris  <rgm@gnu.org>
10225         * loadup.el (load-prefer-newer): Set non-nil when dumping.  (Bug#17629)
10227 2014-05-31  Glenn Morris  <rgm@gnu.org>
10229         * files.el (locate-dominating-file): Expand file argument.  (Bug#17641)
10231 2014-05-30  Glenn Morris  <rgm@gnu.org>
10233         * loadup.el: Treat `command-line-args' more flexibly.
10235 2014-05-30  Alan Mackenzie  <acm@muc.de>
10237         Guard (looking-at "\\s!") from XEmacs.
10238         * progmodes/cc-engine.el (c-state-pp-to-literal): Add guard form.
10240 2014-05-30    Ken Olum  <kdo@cosmos.phy.tufts.edu>
10242         * mail/rmail.el (rmail-delete-forward, rmail-delete-backward):
10243         The argument COUNT is now optional, to be more backward-compatible.
10244         Doc fix.  (Bug#17560)
10246 2014-05-29  Reuben Thomas  <rrt@sc3d.org>
10248         * whitespace.el (whitespace-report-region):
10249         Simplify documentation.
10250         (whitespace-report-region): Allow report-if-bogus to take the
10251         value `never', for non-interactive use.
10252         (whitespace-report): Refer to whitespace-report-region's
10253         documentation.
10255 2014-05-29  Stefan Monnier  <monnier@iro.umontreal.ca>
10257         * whitespace.el: Use font-lock-flush.  Minimize refontifications.
10258         Side benefit: it works without jit-lock.
10259         (whitespace-point--used): New buffer-local var.
10260         (whitespace-color-on): Initialize it and flush it.  Use font-lock-flush.
10261         (whitespace-color-off): Use font-lock-flush.
10262         (whitespace-point--used, whitespace-point--flush-used): New functions.
10263         (whitespace-trailing-regexp, whitespace-empty-at-bob-regexp)
10264         (whitespace-empty-at-eob-regexp): Use them.
10265         (whitespace-post-command-hook): Rewrite.
10267         * font-lock.el (font-lock-flush, font-lock-ensure): New functions.
10268         (font-lock-fontify-buffer): Mark interactive-only.
10269         (font-lock-multiline, font-lock-fontified, font-lock-set-defaults):
10270         Make buffer-local.
10271         (font-lock-specified-p): Remove redundant boundp check.
10272         (font-lock-flush-function, font-lock-ensure-function): New vars.
10273         (font-lock-turn-on-thing-lock): Set them.
10274         (font-lock-default-fontify-buffer): Obey font-lock-dont-widen.
10275         (font-lock-after-change-function): Make `old-len' optional.
10276         (font-lock-set-defaults): Remove redundant `set' of font-lock-defaults.
10277         Call font-lock-flush, just in case.
10278         * progmodes/verilog-mode.el (verilog-preprocess): Disable workaround in
10279         recent Emacsen.
10280         * progmodes/vera-mode.el (vera-fontify-buffer): Declare obsolete.
10281         (vera-mode-map, vera-mode-menu): Remove bindings to it.
10282         * progmodes/idlw-help.el (idlwave-help-fontify): Use font-lock-ensure
10283         and with-syntax-table.
10284         * textmodes/conf-mode.el (conf-quote-normal):
10285         * progmodes/sh-script.el (sh-set-shell):
10286         * progmodes/prog-mode.el (prettify-symbols-mode):
10287         * progmodes/f90.el (f90-font-lock-n):
10288         * progmodes/cwarn.el (cwarn-mode):
10289         * nxml/nxml-mode.el (nxml-toggle-char-ref-extra-display):
10290         * progmodes/compile.el (compilation-setup, compilation--unsetup):
10291         * hi-lock.el (hi-lock-mode, hi-lock-unface-buffer)
10292         (hi-lock-set-pattern, hi-lock-set-file-patterns): Use font-lock-flush.
10293         * mail/rmail.el (rmail-variables): Set font-lock-dont-widen instead of
10294         font-lock-fontify-buffer-function and
10295         font-lock-unfontify-buffer-function.
10296         (rmail-unfontify-buffer-function, rmail-fontify-message):
10297         Use with-silent-modifications.
10298         * htmlfontify.el (hfy-force-fontification): Use jit-lock-fontify-now
10299         and font-lock-ensure.
10300         * bs.el (bs-show-in-buffer): Use font-lock-ensure.
10302 2014-05-28  Thien-Thi Nguyen  <ttn@gnu.org>
10304         * emacs-lisp/package.el (package-generate-autoloads):
10305         Inhibit backup files.
10307 2014-05-28  Stefan Monnier  <monnier@iro.umontreal.ca>
10309         * progmodes/hideshow.el (hs-hide-all): Call syntax-propertize
10310         (bug#17608).
10312 2014-05-21  Michal Nazarewicz  <mina86@mina86.com>
10314         * textmodes/tildify.el (tildify-buffer, tildify-region):
10315         Add dont-ask option.
10317 2014-05-28  Stefan Monnier  <monnier@iro.umontreal.ca>
10319         * subr.el (zerop): Move from C.  Add compiler-macro (bug#17475).
10320         * emacs-lisp/byte-opt.el (byte-optimize-zerop): Remove.
10322         * subr.el (internal--funcall-interactively): New.
10323         (internal--call-interactively): Remove.
10324         (called-interactively-p): Detect funcall-interactively instead of
10325         call-interactively.
10326         * simple.el (repeat-complex-command): Use funcall-interactively.
10327         (repeat-complex-command--called-interactively-skip): Remove.
10329 2014-05-27  Stefan Monnier  <monnier@iro.umontreal.ca>
10331         * register.el (register-read-with-preview): Don't burp on
10332         frame switches (e.g. due to the frame we just popped).
10334         * mouse.el (mouse-set-region): Handle spurious drag events (bug#17562).
10335         (mouse-drag-track): Annotate `mouse-drag-start' so we know we moved.
10337 2014-05-26  Andreas Schwab  <schwab@linux-m68k.org>
10339         * cus-face.el (custom-face-attributes): Add :distant-foreground.
10341 2014-05-26  Martin Rudalics  <rudalics@gmx.at>
10343         * window.el (window--dump-frame): Remove interactive specification.
10345 2014-05-26  Glenn Morris  <rgm@gnu.org>
10347         * hippie-exp.el (he-line-search-regexp):
10348         Handle comint-prompt-regexp containing subgroups.  (Bug#17529)
10350 2014-05-26  Stephen Berman  <stephen.berman@gmx.net>
10352         * calendar/todo-mode.el: Remove dependence on auto-mode-alist,
10353         to avoid errors when trying to create or visit a file foo.todo
10354         located outside to todo-directory, and to allow having such files
10355         without them being tied to Todo mode (bug#17482).
10356         (todo-show, todo-move-category, todo-merge-category, todo-find-archive)
10357         (todo-archive-done-item, todo-find-filtered-items-file)
10358         (todo-filter-items, todo-find-item, todo-diary-goto-entry)
10359         (todo-category-completions, todo-read-category): When visiting a
10360         Todo file, make sure we're in the right mode and the buffer local
10361         variables are set.
10362         (todo-make-categories-list, todo-reset-nondiary-marker)
10363         (todo-reset-done-string, todo-reset-comment-string):
10364         After processing all Todo files, kill the buffers of those files that
10365         weren't being visited before the processing.
10366         (todo-display-as-todo-file, todo-add-to-buffer-list)
10367         (todo-visit-files-commands): Comment out.
10368         (todo-modes-set-3, todo-mode): Comment out additions to find-file-hook.
10369         (auto-mode-alist): Remove add-to-list calls making Todo file
10370         extensions unrestrictedly tied to Todo modes.
10372 2014-05-26  Stefan Monnier  <monnier@iro.umontreal.ca>
10374         * emacs-lisp/nadvice.el (advice--member-p): Change second arg.
10375         (advice-function-member-p): Tell it to check both names and functions
10376         (bug#17531).
10377         (advice--add-function): Adjust call accordingly.
10379 2014-05-26  Stephen Berman  <stephen.berman@gmx.net>
10381         * calendar/todo-mode.el: Miscellaneous bug fixes.
10382         (todo-delete-file): When deleting an archive but not its todo
10383         file, make sure to update the todo file's category sexp.
10384         (todo-move-category): Keep the moved category's name unless the
10385         file moved to already has a category with that name.  If the
10386         numerically last category of the source file was moved, make the
10387         first category current to avoid selecting a nonexistent category.
10388         (todo-merge-category): Fix implementation to make merging to a
10389         category in another file work as documented.  Eliminate now
10390         insufficient and unnecessary renaming of archive category, correct
10391         document string accordingly, and clarify it.  If the numerically
10392         last category of the source file was merged, make the first
10393         category current to avoid selecting a nonexistent category.
10394         (todo-archive-done-item): When there are marked items and point
10395         happens to be on an unmarked item, ignore the latter.  Don't leave
10396         point below last item after archiving marked items.
10397         (todo-unarchive-items): Fix logic to ensure unarchiving an item
10398         from an archive with only one category deletes the archive only
10399         when the category is empty after unarchiving.  Make sure the todo
10400         file's category sexp is updated.
10401         (todo-read-file-name): Allow an existing file name even when it is
10402         not required (todo-move-category needs this to work as documented).
10403         (todo-add-file): Call todo-validate-name to reject the name of an
10404         existing todo file (needed due to fix in todo-read-file-name).
10405         (todo-reset-nondiary-marker): Also reset in filtered items files.
10406         (todo-reset-done-string, todo-reset-comment-string): Also reset in
10407         regexp filtered items files.
10408         (todo-reset-highlight-item): Also reset in filtered items files.
10409         Fix incorrect variable reference in document string.
10411 2014-05-26  Glenn Morris  <rgm@gnu.org>
10413         * window.el (window--dump-frame): Avoid error in --without-x builds.
10415 2014-05-26  Glenn Morris  <rgm@gnu.org>
10417         * nxml/nxml-mode.el (xml-mode): Only define this alias once.
10419 2014-05-26  Eli Zaretskii  <eliz@gnu.org>
10421         * frame.el (set-frame-font): Doc fix.
10423         * menu-bar.el (menu-set-font): Doc fix.  (Bug#17532)
10425 2014-05-26  Dmitry Gutov  <dgutov@yandex.ru>
10427         * emacs-lisp/package.el (package--download-one-archive):
10428         Use `write-region' instead of `save-buffer' to avoid running various
10429         hooks.  (Bug#17155)
10430         (describe-package-1): Same.  Insert newline at the end of the
10431         buffer if appropriate.
10433 2014-05-26  Juri Linkov  <juri@jurta.org>
10435         * avoid.el (mouse-avoidance-set-mouse-position): Don't raise frame.
10436         (mouse-avoidance-ignore-p): Remove `switch-frame', add `focus-out'.
10437         Add more modifiers: meta, control, shift, hyper, super, alt.
10438         (Bug#17439)
10440         * avoid.el (mouse-avoidance-banish-position): Fix defcustom :options
10441         to allow changing its value with `set-variable'.
10443 2014-05-26  Stefan Monnier  <monnier@iro.umontreal.ca>
10445         * progmodes/scheme.el (scheme-mode-syntax-table): Remove hack for
10446         #; comments.
10447         (scheme-syntax-propertize, scheme-syntax-propertize-sexp-comment):
10448         New functions.
10449         (scheme-mode-variables): Set syntax-propertize-function instead of
10450         font-lock-syntactic-face-function.
10451         (scheme-font-lock-syntactic-face-function): Delete.
10453         * emacs-lisp/lisp.el (end-of-defun): Ensure we move (bug#17274).
10455         * emacs-lisp/timer.el (timer-event-handler): Don't run if canceled
10456         (bug#17392).
10458 2014-05-26  Michael Albinus  <michael.albinus@gmx.de>
10460         * net/tramp-sh.el (tramp-find-inline-encoding): Do not match "%%t"
10461         for a temporary file name.
10463 2014-05-26  Eli Zaretskii  <eliz@gnu.org>
10465         * simple.el (line-move-ignore-invisible): Doc fix.  (Bug#17511)
10467 2014-05-26  Michael Albinus  <michael.albinus@gmx.de>
10469         * net/dbus.el (dbus-init-bus, dbus-call-method)
10470         (dbus-call-method-asynchronously, dbus-send-signal)
10471         (dbus-method-return-internal, dbus-method-error-internal):
10472         Check, whether Emacs has been compiled with D-Bus support.  (Bug#17508)
10474 2014-05-26  Nicolas Richard  <theonewiththeevillook@yahoo.fr>
10476         * emacs-lisp/eieio-opt.el (eieio-help-class): Correctly deal with
10477         methods which do not have a doc string.  (Bug#17490)
10479 2014-05-25  Tassilo Horn  <tsdh@gnu.org>
10481         * textmodes/reftex-ref.el (reftex-format-special): Make it work
10482         also for AMS Math's \eqref macro.
10484 2014-05-25  Thien-Thi Nguyen  <ttn@gnu.org>
10486         Arrange to never byte-compile the generated -pkg.el file.
10488         * emacs-lisp/package.el (package-generate-description-file):
10489         Output first-line comment to set buffer-local var `no-byte-compile'.
10490         Suggested by Dmitry Gutov:
10491         <http://lists.gnu.org/archive/html/emacs-devel/2014-05/msg00401.html>.
10493 2014-05-25  Thien-Thi Nguyen  <ttn@gnu.org>
10495         Fix bug: Properly quote args to generated -pkg.el `define-package'.
10497         * emacs-lisp/package.el (package-generate-description-file):
10498         Inline `package--alist-to-plist'; rewrite to selectively
10499         quote alist values that are not self-quoting.
10500         (package--alist-to-plist): Delete func.
10502 2014-05-25  Andreas Schwab  <schwab@linux-m68k.org>
10504         * term/xterm.el (xterm-function-map): Add mapping for shifted
10505         keypad keys.
10507 2014-05-24  Daniel Colascione  <dancol@dancol.org>
10509         * progmodes/subword.el (subword-find-word-boundary): Move point to
10510         correct spot before search.  (Bug#17580)
10512         * emacs-lisp/nadvice.el (defun): Write in eval-and-compile to avoid
10513         breaking the build.
10515 2014-05-24  Leo Liu  <sdl.web@gmail.com>
10517         * calc/calc.el (math-bignum): Handle most-negative-fixnum.  (Bug#17556)
10519 2014-05-23  Stefan Monnier  <monnier@iro.umontreal.ca>
10521         * minibuffer.el (completion--sreverse): Remove.
10522         (completion--common-suffix): Use `reverse' instead.
10523         * emacs-lisp/regexp-opt.el (regexp-opt-group): Use `reverse' on strings.
10525 2014-05-22  Glenn Morris  <rgm@gnu.org>
10527         * shell.el (shell-mode) <shell-dirstack-query>: Bypass bash aliases.
10529 2014-05-21  Daniel Colascione  <dancol@dancol.org>
10531         * files.el (interpreter-mode-alist): Add mksh.
10533         * progmodes/sh-script.el (sh-ancestor-alist): Add mksh, a pdksh
10534         derivative.
10535         (sh-alias-alist): Alias /system/bin/sh (Android's system shell) to
10536         mksh. Improve custom spec; allow regular expressions.
10537         (sh-shell): Delegate name splitting to `sh-canonicalize-shell'.
10538         (sh-after-hack-local-variables): New function.
10539         (sh-mode): Use it; respect file-local `sh-shell' variable.  (Bug#17333)
10540         (sh-set-shell): Use `sh-canonicalize-shell' instead of open-coding
10541         the normalization.
10542         (sh-canonicalize-shell): Rewrite to support regexes.
10544 2014-05-21  Leo Liu  <sdl.web@gmail.com>
10546         * emacs-lisp/cl-lib.el (cl-endp): Fix last change.
10548 2014-05-19  Leo Liu  <sdl.web@gmail.com>
10550         * emacs-lisp/cl-lib.el (cl-endp): Conform to CL's semantics.
10552 2014-05-18  Glenn Morris  <rgm@gnu.org>
10554         * loadup.el:
10555         * play/gametree.el: `track-mouse' is always defined since 2012-11-24.
10557 2014-05-14  Sam Steingold  <sds@gnu.org>
10559         * progmodes/python.el (python-shell-get-or-create-process):
10560         Do not bind `current-prefix-arg' so that C-c C-z does not talk
10561         back unless requested.
10563 2014-05-14  Glenn Morris  <rgm@gnu.org>
10565         * subr.el (with-file-modes): New macro.
10566         * printing.el (pr-save-file-modes): Make obsolete.
10567         * eshell/esh-util.el (eshell-with-file-modes): Make obsolete.
10568         * emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-2):
10569         Add with-file-modes.
10570         * doc-view.el (doc-view-make-safe-dir):
10571         * epg.el (epg--start):
10572         * files.el (locate-user-emacs-file, make-temp-file)
10573         (backup-buffer-copy, move-file-to-trash):
10574         * printing.el (pr-despool-print, pr-call-process, pr-text2ps):
10575         * eshell/esh-util.el (eshell-with-private-file-modes)
10576         (eshell-make-private-directory):
10577         * net/browse-url.el (browse-url-mosaic):
10578         * obsolete/mailpost.el (post-mail-send-it):
10579         * obsolete/pgg-pgp.el (pgg-pgp-verify-region):
10580         * obsolete/pgg-pgp5.el (pgg-pgp5-verify-region):
10581         Use with-file-modes.
10583         * vc/emerge.el (emerge-make-temp-file): Simplify.
10585 2014-05-14  Stephen Berman <stephen.berman@gmx.net>
10586             Stefan Monnier  <monnier@iro.umontreal.ca>
10588         * minibuffer.el (completion-pcm--merge-try): Merge trailing / with
10589         suffix (bug#15419).
10591 2014-05-14  Glenn Morris  <rgm@gnu.org>
10593         * vc/emerge.el (emerge-temp-file-prefix):
10594         Make pointless option obsolete.
10595         (emerge-temp-file-mode): Make non-functional option obsolete.
10597 2014-05-14  Michael Albinus  <michael.albinus@gmx.de>
10599         * net/browse-url.el (browse-url):
10600         Use `unhandled-file-name-directory' when setting `default-directory',
10601         in order to circumvent stalled remote connections.  (Bug#17425)
10603 2014-05-14  Glenn Morris  <rgm@gnu.org>
10605         * printing.el (subst-char-in-string, make-temp-file, pr-get-symbol):
10606         Optimize on Emacs, which has the relevant functions for ages.
10608 2014-05-13  Stefan Monnier  <monnier@iro.umontreal.ca>
10610         * simple.el (undo-make-selective-list): Obey undo-no-redo.
10612 2014-05-12  Sam Steingold  <sds@gnu.org>
10614         * calendar/time-date.el (seconds-to-string): New function to
10615         pretty print time delay in seconds.
10617 2014-05-12  Stefan Monnier  <monnier@iro.umontreal.ca>
10619         * mpc.el (mpc-format): Trim Date to the year.
10620         (mpc-songs-hashcons): Shorten the Date field.
10622         * emacs-lisp/nadvice.el (advice--interactive-form): Don't get fooled
10623         into autoloading just because of a silly indirection.
10625 2014-05-12  Santiago Payà i Miralta  <santiagopim@gmail.com>
10627         * vc/vc-hg.el (vc-hg-unregister): New function.  (Bug#17454)
10629 2014-05-12  Glenn Morris  <rgm@gnu.org>
10631         * emacs-lisp/find-gc.el: Move to ../admin.
10633         * printing.el (pr-version):
10634         * ps-print.el (ps-print-version): Also mention bug-gnu-emacs.
10636         * net/browse-url.el (browse-url-mosaic):
10637         Create /tmp/Mosaic.PID as a private file.
10639 2014-05-12  Stefan Monnier  <monnier@iro.umontreal.ca>
10641         * emacs-lisp/nadvice.el: Support adding a given function multiple times.
10642         (advice--member-p): If name is given, only compare the name.
10643         (advice--remove-function): Don't stop at the first match.
10644         (advice--normalize-place): New function.
10645         (add-function, remove-function): Use it.
10646         (advice--add-function): Pass the name, if any, to
10647         advice--remove-function.
10649 2014-05-12  Philipp Rumpf  <prumpf@gmail.com>  (tiny change)
10651         * electric.el (electric-indent-post-self-insert-function): Don't use
10652         `pos' after modifying the buffer (bug#17449).
10654 2014-05-12  Stephen Berman  <stephen.berman@gmx.net>
10656         * calendar/todo-mode.el (todo-insert-item-from-calendar):
10657         Correct argument list to conform to todo-insert-item--basic.
10659 2014-05-12  Glenn Morris  <rgm@gnu.org>
10661         * files.el (cd-absolute): Test if directory is accessible
10662         rather than executable.  (Bug#17330)
10664         * progmodes/compile.el (recompile):
10665         Handle C-u M-x recompile from a non-compilation buffer.  (Bug#17444)
10667         * net/browse-url.el (browse-url-mosaic):
10668         Be careful when writing /tmp/Mosaic.PID.  (Bug#17428)
10669         This is CVE-2014-3423.
10671 2014-05-11  Stefan Monnier  <monnier@iro.umontreal.ca>
10673         * mouse.el: Use the normal toplevel loop while dragging.
10674         (mouse-set-point): Handle multi-clicks.
10675         (mouse-set-region): Handle multi-clicks for drags.
10676         (mouse-drag-region): Update call accordingly.
10677         (mouse-drag-track): Remove `do-mouse-drag-region-post-process' hack.
10678         Use the normal event loop instead of a local while/read-event loop.
10679         (global-map): Remove redundant bindings for double/triple-mouse-1.
10680         * xt-mouse.el (xterm-mouse-translate-1): Only process one event at a time.
10681         Generate synthetic down events when the protocol only sends up events.
10682         (xterm-mouse-last): Remove.
10683         (xterm-mouse--read-event-sequence-1000): Use xterm-mouse-last-down
10684         terminal parameter instead.
10685         (xterm-mouse--set-click-count): New function.
10686         (xterm-mouse-event): Detect/generate double/triple clicks.
10687         * reveal.el (reveal-close-old-overlays): Don't close while dragging.
10689         * info.el (Info-quoted): New face.
10690         (Info-mode-font-lock-keywords): New var.
10691         (Info-mode): Use it.
10693         * emacs-lisp/lisp-mode.el (preceding-sexp): Exclude leading "," which
10694         are a hindrance for C-x C-e.
10696 2014-05-11  Leo Liu  <sdl.web@gmail.com>
10698         * net/rcirc.el (rcirc-sentinel): Fix last change.
10700 2014-05-08  Sam Steingold  <sds@gnu.org>
10702         * net/rcirc.el (rcirc-reconnect-delay): New user option.
10703         (rcirc-sentinel): Auto-reconnect to the server if
10704         `rcirc-reconnect-delay' is non-0 (but not more often than its
10705         value in case the host is off-line).
10707 2014-05-09  Eli Zaretskii  <eliz@gnu.org>
10709         * progmodes/grep.el (lgrep): Fix a typo in last commit.
10711 2014-05-09  Glenn Morris  <rgm@gnu.org>
10713         * files.el (file-expand-wildcards):
10714         * man.el (Man-support-local-filenames):
10715         * printing.el (pr-i-directory, pr-interface-directory):
10716         * progmodes/grep.el (lgrep, rgrep):
10717         * textmodes/ispell.el (ispell-call-process)
10718         (ispell-call-process-region, ispell-start-process)
10719         (ispell-init-process): Use file-accessible-directory-p.
10721 2014-05-08  Stefan Monnier  <monnier@iro.umontreal.ca>
10723         * xt-mouse.el: Drop spurious/oddly shaped events (bug#17378).
10724         (xterm-mouse--read-event-sequence-1000): Return nil if something
10725         looks fishy.
10726         (xterm-mouse-event): Propagate it.
10727         (xterm-mouse-translate-1): Handle it.
10729 2014-05-08  Stephen Berman  <stephen.berman@gmx.net>
10731         * calendar/todo-mode.el (todo-insert-item--apply-args): When all
10732         four slots of the parameter list are filled, make sure to pass it
10733         to the argument list of todo-insert-item--basic.
10735 2014-05-08  Stefan Monnier  <monnier@iro.umontreal.ca>
10737         * emacs-lisp/package.el (package-compute-transaction): Topological sort.
10738         Add optional `seen' argument to detect and break infinite loops.
10740 2014-05-08  Eli Zaretskii  <eliz@gnu.org>
10742         * emacs-lisp/find-gc.el (find-gc-unsafe, find-unsafe-funcs)
10743         (trace-unsafe, trace-use-tree): Make parentheses style be
10744         according to Emacs style.
10746 2014-05-08  Michael Albinus  <michael.albinus@gmx.de>
10748         * net/tramp-sh.el (tramp-remote-process-environment):
10749         Remove HISTFILE and HISTSIZE; it's too late to set them here.
10750         Add :version entry.
10751         (tramp-open-shell): Do not let-bind `tramp-end-of-output'.
10752         Add "HISTFILE=/dev/null" to the shell's env arguments.  Do not send
10753         extra "PSx=..." commands.
10754         (tramp-maybe-open-connection): Setenv HISTFILE to /dev/null.
10755         (Bug#17295)
10757         (tramp-uudecode): Replace the hard-coded temporary file name by a
10758         format specifier.
10759         (tramp-remote-coding-commands): Enhance docstring.
10760         (tramp-find-inline-encoding): Replace "%t" by a temporary file
10761         name.  (Bug#17415)
10762         This is CVE-2014-3424.
10764 2014-05-08  Glenn Morris  <rgm@gnu.org>
10766         * emacs-lisp/find-gc.el (find-gc-source-directory): Give it a value.
10767         (find-gc-source-files): Update some names.
10768         (trace-call-tree): Simplify and update.
10769         Avoid predictable temp-file names.  (http://bugs.debian.org/747100)
10770         This is CVE-2014-3422.
10772 2014-05-08  Stefan Monnier  <monnier@iro.umontreal.ca>
10774         * minibuffer.el (completion--try-word-completion): Revert fix for
10775         Bug#15980 (bug#17375).
10777         * xt-mouse.el (xterm-mouse--read-event-sequence-1000): (bug#17378)
10778         Always store button numbers in the same way in xterm-mouse-last;
10779         Don't burp is xterm-mouse-last is not set as expected.
10780         Never return negative indices.
10782 2014-05-08  Dmitry Gutov  <dgutov@yandex.ru>
10784         * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
10785         Backtrack one char if the global/char-literal var matcher hits
10786         inside a string.  The next char could be the beginning of an
10787         expression expansion.
10789 2014-05-08  Glenn Morris  <rgm@gnu.org>
10791         * help-fns.el (describe-function-1): Test for an autoload before a
10792         macro, since `macrop' works on autoloads.  (Bug#17410)
10794 2014-05-08  Stefan Monnier  <monnier@iro.umontreal.ca>
10796         * electric.el (electric-indent-functions-without-reindent): Add yaml.
10798         * minibuffer.el (completion-table-with-quoting) <completion--unquote>:
10799         Make sure the new point we return is within the new string (bug#17239).
10801 2014-05-05  Daniel Colascione  <dancol@dancol.org>
10803         * progmodes/compile.el (compilation-error-regexp-alist-alist):
10804         Port `gnu' pattern to rx.
10806 2014-05-05  Jarek Czekalski  <jarekczek@poczta.onet.pl>
10808         Remove unneeded prompt when closing a buffer with active
10809         emacsclient ("Buffer ... still has clients"), #16548.
10810         * server.el (server-start): Remove the only call to:
10811         (server-kill-buffer-query-function): Remove.
10813 2014-05-04  Leo Liu  <sdl.web@gmail.com>
10815         * calendar/diary-lib.el (calendar-chinese-month-name-array):
10816         Defvar to pacify compiler.
10818 2014-05-04  Eli Zaretskii  <eliz@gnu.org>
10820         * mail/rmailsum.el (rmail-new-summary-1): Fix a typo in a comment.
10822 2014-05-04  Stefan Monnier  <monnier@iro.umontreal.ca>
10824         * vc/ediff-diff.el (ediff-set-fine-diff-properties-in-one-buffer):
10825         Use nil rather than `default' for the "default" appearance (bug#17388).
10826         * vc/ediff-util.el (ediff-inferior-compare-regions)
10827         (ediff-toggle-autorefine, ediff-unselect-difference): Don't use
10828         a misleading `default' value when it's really a boolean.
10829         * vc/ediff-init.el (ediff-set-overlay-face): Don't set help-echo if the
10830         overlay is not visible.
10832 2014-05-04  Stephen Berman  <stephen.berman@gmx.net>
10834         * calendar/todo-mode.el (todo-edit-file): Use display-warning.
10835         (todo-menu): Uncomment and update.
10837 2014-05-04  Stephen Berman  <stephen.berman@gmx.net>
10839         * calendar/todo-mode.el: Reimplement item editing to have the same
10840         basic user interface as item insertion, and make small UI and
10841         larger internal improvements to the latter.
10842         (todo-insert-item): Add reference to the Todo mode user manual to
10843         the documentation string.
10844         (todo-insert-item--basic): Rename from todo-basic-insert-item and
10845         adjust all callers.  Change signature to combine diary and
10846         nonmarking arguments.  Incorporate functionality of deleted item
10847         copying command and add error checking.  Remove detailed
10848         descriptions of the arguments from the documentation string, since
10849         this is treated in the Todo mode user manual.
10850         (todo-copy-item, todo-edit-multiline-item)
10851         (todo-edit-done-item-comment, todo-edit-item-header)
10852         (todo-edit-item-time, todo-edit-item-date-from-calendar)
10853         (todo-edit-item-date-to-today, todo-edit-item-date-day-name)
10854         (todo-edit-item-date-year, todo-edit-item-date-month)
10855         (todo-edit-item-date-day, todo-edit-item-diary-nonmarking):
10856         Remove.
10857         (todo-edit-item): Reimplement as wrapper command for
10858         todo-edit-item--next-key and make it distinguish done and not done
10859         todo items.
10860         (todo-edit-item--text): New function, replacing old command
10861         todo-edit-item and incorporating deleted commands
10862         todo-edit-multiline-item and todo-edit-done-item-comment.
10863         (todo-edit-item--header): Rename from todo-basic-edit-item-header.
10864         Use only numeric value of prefix argument.  Remove detailed
10865         descriptions of the arguments from the documentation string, since
10866         this is treated in the Todo mode user manual.
10867         (todo-edit-item--diary-inclusion): New function, replacing old
10868         command todo-edit-item-diary-inclusion and incorporating and fixing
10869         functionality of deleted command todo-edit-item-diary-nonmarking,
10870         making sure to remove todo-nondiary-marker when adding
10871         diary-nonmarking-symbol.
10872         (todo-edit-category-diary-inclusion): Make sure to delete
10873         diary-nonmarking-symbol when adding todo-nondiary-marker.
10874         (todo-edit-category-diary-nonmarking): Fix indentation.
10875         (todo-insert-item--parameters): Group diary and nonmarking
10876         parameters together.
10877         (todo-insert-item--apply-args): Adjust to signature of
10878         todo-insert-item--basic and incorporate copy parameter.
10879         Make small code improvements.
10880         (todo-insert-item--next-param): Improve prompt and adjust it to
10881         new parameter grouping.  Remove obsolete code.
10882         (todo-edit-item--param-key-alist)
10883         (todo-edit-item--date-param-key-alist)
10884         (todo-edit-done-item--param-key-alist): New defconsts.
10885         (todo-edit-item--prompt): New variable.
10886         (todo-edit-item--next-key): New function.
10887         (todo-key-bindings-t): Bind "e" to todo-edit-item.
10888         Remove bindings of deleted commands.
10890 2014-05-04  Leo Liu  <sdl.web@gmail.com>
10892         * emacs-lisp/cl-macs.el (cl-deftype): Fix indentation.
10894 2014-05-04  Glenn Morris  <rgm@gnu.org>
10896         * allout-widgets.el (allout-widgets-tally)
10897         (allout-decorate-item-guides):
10898         * menu-bar.el (menu-bar-positive-p):
10899         * minibuffer.el (completion-pcm-complete-word-inserts-delimiters):
10900         * progmodes/gdb-mi.el (gdbmi-same-start, gdbmi-is-number):
10901         * progmodes/js.el (js--inside-param-list-p)
10902         (js--inside-dojo-class-list-p, js--forward-destructuring-spec):
10903         * progmodes/prolog.el (region-exists-p):
10904         * progmodes/verilog-mode.el (verilog-scan-cache-ok-p):
10905         * textmodes/reftex-parse.el (reftex-using-biblatex-p):
10906         Doc fixes (replace `iff').
10908 2014-05-04  Stefan Monnier  <monnier@iro.umontreal.ca>
10910         * mpc.el (mpc-volume-mouse-set): Don't burp at the boundaries.
10912 2014-05-04  Leo Liu  <sdl.web@gmail.com>
10914         Support Chinese diary entries in calendar and diary.  (Bug#17393)
10915         * calendar/cal-china.el (calendar-chinese-month-name-array): New var.
10916         (calendar-chinese-from-absolute-for-diary)
10917         (calendar-chinese-to-absolute-for-diary)
10918         (calendar-chinese-mark-date-pattern, diary-chinese-mark-entries)
10919         (diary-chinese-list-entries): New functions to list and mark
10920         Chinese diary entries in the calendar window.
10921         (diary-chinese-anniversary)
10922         (diary-chinese-insert-anniversary-entry)
10923         (diary-chinese-insert-entry, diary-chinese-insert-monthly-entry)
10924         (diary-chinese-insert-yearly-entry): New commands to insert
10925         Chinese diary entries.
10927         * calendar/diary-lib.el (diary-font-lock-keywords):
10928         Support font-locking Chinese dates.
10930         * calendar/cal-menu.el (cal-menu-diary-menu): Add entries for
10931         inserting Chinese diary entries.
10933         * calendar/calendar.el (diary-chinese-entry-symbol):
10934         New customizable variable.
10935         (calendar-mode-map): Add bindings for inserting Chinese diary
10936         entries.
10938 2014-05-03  Juri Linkov  <juri@jurta.org>
10940         * dired.el (dired-check-switches, dired-switches-recursive-p):
10941         New functions.  (Bug#17218)
10942         (dired-switches-escape-p, dired-move-to-end-of-filename):
10943         Use `dired-check-switches'.
10944         (dired-insert-old-subdirs, dired-build-subdir-alist)
10945         (dired-sort-R-check): Use `dired-switches-recursive-p'.
10947 2014-05-01  Barry O'Reilly  <gundaetiapo@gmail.com>
10949         * simple.el (undo-make-selective-list): New algorithm fixes
10950         incorrectness of position adjustments when undoing in region.
10951         (Bug#17235)
10952         (undo-elt-crosses-region): Make obsolete.
10953         (undo-adjust-elt, undo-adjust-beg-end, undo-adjust-pos):
10954         New functions to adjust positions using undo-deltas.
10956 2014-05-01  Stefan Monnier  <monnier@iro.umontreal.ca>
10958         * emacs-lisp/lisp-mode.el (lisp--match-hidden-arg): Only highlight past
10959         the last consecutive closing paren (bug#17345).
10961 2014-04-30  Reuben Thomas  <rrt@sc3d.org>
10963         * dired.el (dired-mode): make terminology for eXpunge command
10964         consistent.  (Bug#17276)
10966 2014-04-30  Eli Zaretskii  <eliz@gnu.org>
10968         * dired.el (dired-initial-position-hook, dired-initial-position):
10969         Doc string fixes.
10971 2014-04-30  Glenn Morris  <rgm@gnu.org>
10973         * mail/rmail.el (rmail-quit): Handle killed summaries.  (Bug#17283)
10975 2014-04-30  Matthias Dahl  <matthias.dahl@binary-island.eu>
10977         * faces.el (face-spec-recalc): Apply X resources only after the
10978         defface spec has been applied. Thus, X resources are no longer
10979         overridden by the defface spec which also fixes issues on win32 where
10980         the toolbar coloring was wrong because it is set through X resources
10981         and was (wrongfully) overridden.  (Bug#16694)
10983 2014-04-30  Stefan Monnier  <monnier@iro.umontreal.ca>
10985         * textmodes/rst.el (electric-pair-pairs): Declare.
10986         (rst-mode): Set it (bug#17131).
10988 2014-04-30  Juri Linkov  <juri@jurta.org>
10990         * desktop.el (desktop-value-to-string): Let-bind `print-length'
10991         and `print-level' to nil.  (Bug#17351)
10993 2014-04-30  Nicolas Richard  <theonewiththeevillook@yahoo.fr>
10995         * battery.el (battery-update): Handle the case where battery
10996         status is "N/A" (bug#17319).
10998 2014-04-28  Stefan Monnier  <monnier@iro.umontreal.ca>
11000         * progmodes/ps-mode.el: Use SMIE.  Move string and comment recognition
11001         to syntax-propertize.
11002         (ps-mode-auto-indent): Mark as obsolete.
11003         (ps-mode-font-lock-keywords-1): Remove string-or-comment handling.
11004         (ps-mode-font-lock-keywords-3): Use symbol regexp operators instead of
11005         word regexp operators.
11006         (ps-mode-map): Move initialization into declaration.  Remove binding
11007         for TAB, RET, >, ], and }.
11008         (ps-mode-syntax-table): Move initialization into declaration.
11009         Don't give word syntax to non-word chars.
11010         (ps-run-mode-map): Move initialization into declaration.
11011         (ps-mode-menu-main): Remove auto-indent entry.
11012         (ps-mode-smie-rules): New function.
11013         (ps-mode): Setup smie, syntax-propertize, and electric-indent-mode.
11014         (ps-mode-looking-at-nested, ps-mode-match-string-or-comment): Remove.
11015         (ps-mode--string-syntax-table): New const.
11016         (ps-mode--syntax-propertize-special, ps-mode-syntax-propertize):
11017         New functions.
11018         (ps-mode-newline, ps-mode-tabkey, ps-mode-r-brace, ps-mode-r-angle)
11019         (ps-mode-r-gt, ps-mode-r-balance): Remove functions.
11021 2014-04-27  Daniel Colascione  <dancol@dancol.org>
11023         * term/xterm.el (xterm-paste): Use large finite timeout when
11024         reading event to avoid putting keys in this-command-keys.
11026 2014-04-25  Stefan Monnier  <monnier@iro.umontreal.ca>
11028         * progmodes/perl-mode.el (perl--syntax-exp-intro-regexp): New var.
11029         (perl-syntax-propertize-function): Use it.  Extend handling of
11030         here-docs to the unquoted case.
11032 2014-04-25  Eli Zaretskii  <eliz@gnu.org>
11034         * tooltip.el (tooltip-show-help-non-mode, tooltip-show-help):
11035         Use equal-including-properties to compare help-echo strings (bug#17331).
11037 2014-04-25  Leo Liu  <sdl.web@gmail.com>
11039         * emacs-lisp/lisp-mode.el (emacs-lisp-mode-syntax-table):
11040         Fix syntax for @.  (Bug#17325)
11042 2014-04-25  Daniel Colascione  <dancol@dancol.org>
11044         * emacs-lisp/cl.el (gv): Require gv early to break eager
11045         macro-expansion cycles.
11047 2014-04-25  Stefan Monnier  <monnier@iro.umontreal.ca>
11049         * simple.el (region-active-p): Check there's a mark (bug#17324).
11051         * simple.el (completion-list-mode-map): Use choose-completion for the
11052         mouse binding as well (bug#17302).
11053         (completion-list-mode, completion-setup-function): Adjust docstring and
11054         echo area message accordingly.
11055         * progmodes/idlwave.el (idlwave-choose-completion): Adjust to new
11056         calling convention of choose-completion.
11057         * comint.el (comint-dynamic-list-completions):
11058         * term.el (term-dynamic-list-completions): Accept choose-completion.
11060         * progmodes/perl-mode.el (perl-syntax-propertize-function): Slash after
11061         &, |, +, - and * can't be a division (bug#17317).
11063         * term/xterm.el (xterm--version-handler): Don't use modern xterm
11064         features on gnome-terminal (bug#16988).
11066 2014-04-25  Thien-Thi Nguyen  <ttn@gnu.org>
11068         Improve Scheme font-locking for (define ((foo ...) ...) ...).
11070         * progmodes/scheme.el (scheme-font-lock-keywords-1): To find
11071         the declared object, ignore zero or more parens, not zero or one.
11073 2014-04-24  Leo Liu  <sdl.web@gmail.com>
11075         * progmodes/xscheme.el (xscheme-expressions-ring)
11076         (xscheme-expressions-ring-yank-pointer, xscheme-running-p)
11077         (xscheme-control-g-disabled-p, xscheme-process-filter-state)
11078         (xscheme-allow-output-p, xscheme-prompt)
11079         (xscheme-string-accumulator, xscheme-mode-string): Use defvar-local.
11081         * progmodes/scheme.el (would-be-symbol, next-sexp-as-string):
11082         Comment out unused functions.
11084 2014-04-24  Stefan Monnier  <monnier@iro.umontreal.ca>
11086         * info.el: Use lexical-binding and cl-lib.
11087         Use defvar-local and setq-local instead of make-local-variable.
11088         (Info-apropos-matches): Avoid add-to-list.
11089         (Info-edit-mode-map): Fix obsolescence call to Info-edit-map.
11091 2014-04-24  Daniel Colascione  <dancol@dancol.org>
11093         * progmodes/sh-script.el (sh-builtins): Add coproc to list of bash builtins.
11095 2014-04-23  Stefan Monnier  <monnier@iro.umontreal.ca>
11097         * emacs-lisp/cl-macs.el (cl--loop-let): Fix last merge.
11099 2014-04-22  Michael Heerdegen  <michael_heerdegen@web.de>
11101         * dired.el (dired-insert-set-properties): Do not consider
11102         subdirectory headings and empty lines to be information that
11103         `dired-hide-details-mode' should hide.  (Bug#17228)
11105 2014-04-22  Michael Albinus  <michael.albinus@gmx.de>
11107         * net/tramp-sh.el (tramp-sh-handle-file-name-all-completions):
11108         Remove test messages.
11109         (tramp-do-copy-or-rename-file-out-of-band): Do not quote `source'
11110         and `target' twice.
11112 2014-04-22  Stefan Monnier  <monnier@iro.umontreal.ca>
11114         * dframe.el (dframe-get-focus): Remove `hook' argument (bug#17311).
11115         * speedbar.el (speedbar-get-focus): Run the "hook" afterwards instead.
11117         * emacs-lisp/cl-macs.el (cl--loop-let): Avoid `nil' as var name.
11119 2014-04-22  Michael Albinus  <michael.albinus@gmx.de>
11121         * net/tramp-sh.el (tramp-sh-handle-file-name-all-completions):
11122         Set "IFS=" when using read builtin, in order to preserve spaces in
11123         the file name.  Add test messages for hunting a bug on hydra.
11124         (tramp-get-ls-command): Undo using "-b" argument.  It doesn't help.
11126 2014-04-22  Stefan Monnier  <monnier@iro.umontreal.ca>
11128         * progmodes/prog-mode.el (prettify-symbols--compose-symbol):
11129         Don't prettify a word within a symbol.
11131 2014-04-22  Michael Albinus  <michael.albinus@gmx.de>
11133         * net/tramp-sh.el (tramp-get-ls-command): Use "-b" argument if
11134         possible.
11136 2014-04-22  Daniel Colascione  <dancol@dancol.org>
11138         * emacs-lisp/byte-run.el (function-put): Unbreak build: don't
11139         use defun to define `function-put'.
11141 2014-04-22  Stefan Monnier  <monnier@iro.umontreal.ca>
11143         * emacs-lisp/lisp-mode.el (lisp--match-hidden-arg): New function.
11144         (lisp-el-font-lock-keywords-2, lisp-cl-font-lock-keywords-2): Use it.
11145         (lisp-mode-variables): Set font-lock-extra-managed-props.
11147         * emacs-lisp/byte-run.el (function-put): New function.
11148         (defun-declarations-alist): Use it.  Add `pure' and `side-effect-free'.
11149         * emacs-lisp/cl-macs.el (cl-defstruct, cl-struct-sequence-type)
11150         (cl-struct-slot-info, cl-struct-slot-offset, cl-struct-slot-value):
11151         Use them.
11153 2014-04-22  Daniel Colascione  <dancol@dancol.org>
11155         * emacs-lisp/macroexp.el (internal-macroexpand-for-load):
11156         Add `full-p' parameter; when nil, call `macroexpand' instead of
11157         `macroexpand-all'.
11159         * emacs-lisp/byte-run.el (eval-when-compile, eval-and-compile):
11160         Improve docstrings.
11162         * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
11163         Use lambda function values, not quoted lambdas.
11164         (byte-compile-recurse-toplevel): Remove extraneous &optional.
11166         * emacs-lisp/cl-macs.el
11167         (cl-struct-sequence-type, cl-struct-slot-info): Declare pure.
11168         (cl-struct-slot-value): Conditionally use aref or nth so that the
11169         compiler produces optimal code.
11171 2014-04-22  Stefan Monnier  <monnier@iro.umontreal.ca>
11173         * emacs-lisp/cl-macs.el (cl-struct-slot-offset): Mark as pure.
11174         (inline): Don't inline cl--set-elt.
11175         (cl-struct-slot-value): Remove explicit gv-setter and compiler-macro.
11176         Define as inlinable instead.
11177         (cl-struct-set-slot-value): Remove.
11179         * emacs-lisp/cl-lib.el (cl--set-elt): Remove.
11180         * emacs-lisp/cl-seq.el (cl-replace, cl-substitute, cl-nsubstitute):
11181         Use setf instead.
11183 2014-04-21  Daniel Colascione  <dancol@dancol.org>
11185         * emacs-lisp/cl-macs.el (cl--const-expr-val): We didn't need the
11186         last two parameters after all.
11187         (cl--expr-contains,cl--compiler-macro-typep,cl--compiler-macro-member)
11188         (cl--compiler-macro-assoc,cl-struct-slot-value)
11189         (cl-struct-set-slot-value): Stop using them.
11191 (2014-04-21  Stefan Monnier  <monnier@iro.umontreal.ca>
11193         * image-mode.el (image-mode-window-put): Don't assume there's a `t'
11194         entry in image-mode-winprops-alist.
11196 2014-04-21  Daniel Colascione  <dancol@dancol.org>
11198         * emacs-lisp/bytecomp.el (byte-compile-recurse-toplevel): New function.
11199         (byte-compile-recurse-toplevel, byte-compile-initial-macro-environment)
11200         (byte-compile-toplevel-file-form): Use it.
11202         * emacs-lisp/cl-macs.el:
11203         (cl--loop-let): Properly destructure `while' clauses.
11205 2014-04-20  Daniel Colascione  <dancol@dancol.org>
11207         * vc/vc.el (vc-root-dir): New public autoloaded function for
11208         generically finding the current VC root.
11209         * vc/vc-hooks.el (vc-not-supported): New error.
11210         (vc-call-backend): Signal `vc-not-supported' instead of generic error.
11212 2014-04-20  Daniel Colascione  <dancol@dancol.org>
11214         * emacs-lisp/cl-macs.el (cl-the): Make `cl-the' assert its type
11215         argument.
11216         (cl--const-expr-val): cl--const-expr-val should macroexpand its
11217         argument in case we're inside a symbol-macrolet.
11218         (cl--do-arglist, cl--compiler-macro-typep)
11219         (cl--compiler-macro-member, cl--compiler-macro-assoc): Pass macro
11220         environment to `cl--const-expr-val'.
11221         (cl-struct-sequence-type,cl-struct-slot-info)
11222         (cl-struct-slot-offset, cl-struct-slot-value)
11223         (cl-struct-set-slot-value): New functions.
11225 2014-04-19  Stefan Monnier  <monnier@iro.umontreal.ca>
11227         * progmodes/sh-script.el (sh-smie--sh-keyword-p): Handle variable
11228         assignments such as "case=hello" (bug#17297).
11230 2014-04-18  Michael Albinus  <michael.albinus@gmx.de>
11232         * net/tramp.el (tramp-run-real-handler, tramp-file-name-handler):
11233         Do not autoload.
11234         (tramp-file-name-handler, tramp-completion-file-name-handler):
11235         Revert patch from 2014-04-10, it isn't necessary anymore.
11236         (tramp-autoload-file-name-handler)
11237         (tramp-register-autoload-file-name-handlers): New defuns.
11238         (top): Autoload call of `tramp-register-autoload-file-name-handlers'.
11239         (tramp-register-file-name-handlers): Remove also
11240         `tramp-autoload-file-name-handler' from `file-name-handler-list'.
11241         Do not autoload its invocation, but eval it after loading of 'tramp.
11243         * net/tramp-adb.el (tramp-unload-hook): Unload `tramp-adb'.
11245         * net/tramp-compat.el (tramp-unload-hook): Unload `tramp-loaddefs'.
11247 2014-04-17  Daniel Colascione  <dancol@dancol.org>
11249         Add support for bracketed paste mode; add infrastructure for
11250         managing terminal mode enabling and disabling automatically.
11252         * xt-mouse.el:
11253         (xterm-mouse-mode): Simplify.
11254         (xterm-mouse-tracking-enable-sequence)
11255         (xterm-mouse-tracking-disable-sequence): New constants.
11256         (turn-on-xterm-mouse-tracking-on-terminal)
11257         (turn-off-xterm-mouse-tracking-on-terminal):
11258         Use tty-mode-set-strings and tty-mode-reset-strings terminal
11259         parameters instead of random hooks.
11260         (turn-on-xterm-mouse-tracking)
11261         (turn-off-xterm-mouse-tracking): Delete.
11263         * term/xterm.el (xterm-extra-capabilities): Fix bitrotted comment.
11264         (xterm-paste-ending-sequence): New constant.
11265         (xterm-paste): New command used for bracketed paste support.
11267         (xterm-modify-other-keys-terminal-list): Delete obsolete variable.
11268         (terminal-init-xterm-bracketed-paste-mode): New function.
11269         (terminal-init-xterm): Call it.
11270         (terminal-init-xterm-modify-other-keys): Use tty-mode-set-strings
11271         and tty-mode-reset-strings instead of random hooks.
11272         (xterm-turn-on-modify-other-keys)
11273         (xterm-turn-off-modify-other-keys)
11274         (xterm-remove-modify-other-keys): Delete obsolete functions.
11276         * term/screen.el: Rewrite to just use the xterm code.
11277         Add copyright notice.  Mention tmux.
11279 2014-04-17  Ian D  <dunni@gnu.org>  (tiny change)
11281         * image-mode.el (image-mode-window-put): Also update the property of
11282         the "default window".
11283         * doc-view.el (doc-view-new-window-function): If no window
11284         exists, move to the last known page.
11286 2014-04-16  Stefan Monnier  <monnier@iro.umontreal.ca>
11288         * progmodes/perl-mode.el (perl-calculate-indent): Don't auto-indent in
11289         here-documents (bug#17262).
11291 2014-04-16  Eli Zaretskii  <eliz@gnu.org>
11293         * term/pc-win.el (x-list-fonts, x-get-selection-value):
11294         Provide doc strings, as required by snarf-documentation.
11296 2014-04-16  Stefan Monnier  <monnier@iro.umontreal.ca>
11298         * ps-def.el (ps-generate-postscript-with-faces1): Use the new `sorted'
11299         arg of overlays-at.  Use `invisible-p'.
11301         * obsolete/lucid.el (extent-at):
11302         * htmlfontify.el (hfy-overlay-props-at): Use the new `sorted' arg of
11303         overlays-at.
11304         (hfy-fontify-buffer): Remove unused var `orig-ovls'.
11306 2014-04-16  João Távora  <joaotavora@gmail.com>
11308         * net/shr.el (shr-expand-url): Use `expand-file-name' for relative
11309         links.  (Bug#17217).
11311 2014-04-16  YAMAMOTO Mitsuharu  <mituharu@math.s.chiba-u.ac.jp>
11313         * vc/ediff-diff.el (ediff-set-fine-diff-properties-in-one-buffer):
11314         Use mapc to loop over a vector.  (Bug#17257).
11316 2014-04-16  Michael Albinus  <michael.albinus@gmx.de>
11318         * net/tramp-sh.el (tramp-sh-handle-file-truename): Revert previous
11319         patch, there are new problems with file names containing spaces.
11320         Get rid of backticks.  (Bug#17238)
11322 2014-04-16  João Távora  <joaotavora@gmail.com>
11324         * elec-pair.el (electric-pair--syntax-ppss): Simplify and fix
11325         possible bug.
11327 2014-04-16  Eli Zaretskii  <eliz@gnu.org>
11329         * frame.el (blink-cursor-blinks, blink-cursor-blinks-done): Doc fixes.
11330         (blink-cursor-mode): Mention customization variables and the
11331         effect of 'blink-cursor-blinks'.
11333 2014-04-16  Barry O'Reilly  <gundaetiapo@gmail.com>
11335         * simple.el (undo): Prevent insertion of identity mapping into
11336         undo-equiv-table so as undo-only does not inf loop in the presence
11337         of consecutive nils in undo list.
11339 2014-04-16  Matthias Dahl  <matthias.dahl@binary-island.eu>
11341         * faces.el (make-face): Deprecate optional argument as it is no
11342         longer needed/used since the conditional X resources handling
11343         has been pushed down to make-face-x-resource-internal itself.
11344         (make-empty-face): Don't pass optional argument to make-face.
11346 2014-04-16  Karl Fogel  <kfogel@red-bean.com>
11348         * savehist.el (savehist-save): Remove workaround for a read-passwd
11349         bug that was fixed before 24.3.  Thanks to Juanma Barranquero for
11350         noticing that the shim was still present.
11352 2014-04-14  Stefan Monnier  <monnier@iro.umontreal.ca>
11354         * doc-view.el (doc-view-set-doc-type): Ignore file name case; add .pps.
11356 2014-04-14  Juanma Barranquero  <lekktu@gmail.com>
11358         * faces.el (face-set-after-frame-default): Remove unused local variable.
11360 2014-04-12  Stefan Monnier  <monnier@iro.umontreal.ca>
11362         * progmodes/grep.el: Use lexical-binding.
11363         (grep-expand-template): Pass explicit lexical env to `eval'.
11364         (zrgrep): Let-bind grep-find-template explicitly.
11366         * emacs-lisp/cl-lib.el (current-case-table): Remove setter.
11367         * leim/quail/sisheng.el (sisheng-list): Use with-case-table.
11369 2014-04-12  Eli Zaretskii  <eliz@gnu.org>
11371         * international/characters.el <standard-case-table>: Add entries
11372         for letters from the Coptic block u+2C80-u+2CFF.  (Bug#17243)
11373         Set category of Coptic characters be 'g' (Greek).
11375 2014-04-12  Leo Liu  <sdl.web@gmail.com>
11377         * progmodes/octave.el (completion-table-with-cache):
11378         Define if not available.
11379         (octave-goto-function-definition, octave-sync-function-file-names)
11380         (octave-find-definition-default-filename):
11381         Backquote upattern for compatibility.
11383 2014-04-12  Michael Albinus  <michael.albinus@gmx.de>
11385         * net/tramp-sh.el (tramp-sh-handle-file-truename): Quote the file
11386         name twice due to backticks.  (Bug#17238)
11388 2014-04-12  Glenn Morris  <rgm@gnu.org>
11390         * term/w32-win.el (x-win-suspend-error):
11391         * term/x-win.el (x-win-suspend-error): Sync docs.
11393 2014-04-12  Matthias Dahl  <matthias.dahl@binary-island.eu>
11395         * faces.el (make-face): Remove deprecated optional argument.
11396         The conditional application of X resources is handled directly by
11397         make-face-x-resource-internal since Emacs 24.4.
11398         (make-empty-face): Don't pass optional argument to make-face.
11400 2014-04-11  Glenn Morris  <rgm@gnu.org>
11402         * Makefile.in (EMACSDATA, EMACSDOC, EMACSPATH): Unexport.  (Bug#16429)
11404 2014-04-11  Stefan Monnier  <monnier@iro.umontreal.ca>
11406         Ediff's overlay priorities cause more trouble than they solve.
11407         * vc/ediff-init.el (ediff-shadow-overlay-priority): Remove variable.
11408         (ediff-highest-priority): Remove function (bug#17234).
11409         * vc/ediff-util.el (ediff-highlight-diff-in-one-buffer):
11410         * vc/ediff-diff.el (ediff-set-diff-overlays-in-one-buffer)
11411         (ediff-set-fine-diff-properties-in-one-buffer): Don't mess with
11412         overlay priorities.
11414 2014-04-11  Feng Li  <fengli@gmail.com>  (tiny change)
11416         * progmodes/pascal.el (pascal-font-lock-keywords): Fix incorrect format
11417         entry; use symbol boundaries to avoid mis-matches.
11419 2014-04-11  Michael Albinus  <michael.albinus@gmx.de>
11421         * net/tramp.el (tramp-file-name-handler)
11422         (tramp-completion-file-name-handler): Avoid recursive loading.
11424         * net/tramp-sh.el (tramp-make-copy-program-file-name):
11425         Quote result also locally.
11427 2014-04-11  Stefan Monnier  <monnier@iro.umontreal.ca>
11429         * emulation/cua-base.el (<toplevel>, cua--pre-command-handler-1):
11430         Remove left-over code.
11432         * newcomment.el (comment-indent-new-line): Sink code where it's used.
11433         Reuse the previous comment's indentation unconditionally if it's on its
11434         own line.
11436 2014-04-09  Daniel Colascione  <dancol@dancol.org>
11438         * emacs-lisp/lisp.el (backward-up-list): Add `escape-strings',
11439         `no-syntax-crossing' arguments.  Forward to `up-list'.
11440         (up-list): Add `escape-strings', `no-syntax-crossing' arguments.
11441         Implement logic for escaping from strings.  Use narrowing to deal
11442         with corner cases.
11444 2014-04-09  Leo Liu  <sdl.web@gmail.com>
11446         * net/rcirc.el (rcirc-connection-info): New variable.
11447         (rcirc-connect): Use it to store connection info.
11448         (rcirc-buffer-process): Avoid get-buffer-process which returns nil
11449         for killed process.
11450         (rcirc-cmd-reconnect): New command.  (Bug#17045)
11451         (rcirc-mode, set-rcirc-encode-coding-system)
11452         (set-rcirc-decode-coding-system, rcirc-connect): Use setq-local.
11454 2014-04-09  Daniel Colascione  <dancol@dancol.org>
11456         * emacs-lisp/cl-indent.el: Add comment claiming
11457         facility is also good for elisp.
11458         (lisp-indent-find-method): New function.
11459         (common-lisp-indent-function): Recognize cl-loop.
11460         (common-lisp-indent-function-1): Recognize cl constructs; use
11461         `lisp-indent-find-method' instead of `get' directly.
11462         (if): Use else-body style for elisp.
11464 2014-04-09  Dmitry Gutov  <dgutov@yandex.ru>
11466         * progmodes/ruby-mode.el (ruby-font-lock-keywords): Highlight more
11467         Module methods.  (Bug#17216)
11469 2014-04-09  Stefan Monnier  <monnier@iro.umontreal.ca>
11471         * help.el (describe-bindings): Fix buffer handling (bug#17210).
11472         (describe-bindings-internal): Mark obsolete.
11474 2014-04-09  Stefan Monnier  <monnier@iro.umontreal.ca>
11476         * subr.el (with-silent-modifications): Don't bind deactivate-mark,
11477         buffer-file-name, and buffer-file-truename any more.
11479 2014-04-08  Leo Liu  <sdl.web@gmail.com>
11481         Use lexical-binding and require cl-lib.
11482         * net/rcirc.el (rcirc, rcirc-handler-ctcp-KEEPALIVE)
11483         (rcirc-handler-generic, rcirc-fill-paragraph)
11484         (rcirc-format-response-string, rcirc-target-buffer)
11485         (rcirc-last-line, rcirc-record-activity, rcirc-split-activity)
11486         (rcirc-activity-string, rcirc-make-trees, rcirc-cmd-ctcp)
11487         (rcirc-ctcp-sender-PING, rcirc-browse-url)
11488         (rcirc-markup-timestamp, rcirc-markup-attributes)
11489         (rcirc-markup-my-nick, rcirc-markup-urls)
11490         (rcirc-markup-bright-nicks, rcirc-markup-fill)
11491         (rcirc-check-auth-status, rcirc-handler-WALLOPS)
11492         (rcirc-handler-JOIN, rcirc-handler-PART-or-KICK)
11493         (rcirc-handler-PART, rcirc-handler-KICK, rcirc-handler-QUIT)
11494         (rcirc-handler-NICK, rcirc-handler-PING, rcirc-handler-PONG)
11495         (rcirc-handler-TOPIC, rcirc-handler-301, rcirc-handler-317)
11496         (rcirc-handler-332, rcirc-handler-333, rcirc-handler-477)
11497         (rcirc-handler-MODE, rcirc-handler-353, rcirc-handler-366)
11498         (rcirc-authenticate, rcirc-handler-INVITE, rcirc-handler-ERROR)
11499         (rcirc-handler-ctcp-VERSION, rcirc-handler-ctcp-TIME)
11500         (rcirc-handler-CTCP-response): Fix unused arguments warnings and
11501         use cl-lib.
11503 2014-04-07  João Távora  <joaotavora@gmail.com>
11505         * elec-pair.el (electric-pair--syntax-ppss):
11506         When inside comments parse from comment beginning.
11507         (electric-pair--balance-info): Fix typo in comment.
11508         (electric-pair--in-unterminated-string-p): Delete.
11509         (electric-pair--unbalanced-strings-p): New function.
11510         (electric-pair-string-bound-function): New var.
11511         (electric-pair-inhibit-if-helps-balance): Decide quote pairing
11512         according to `electric-pair--in-unterminated-string-p'
11514         * elec-pair.el (electric-pair-inhibit-if-helps-balance):
11515         Inhibit quote pairing if point-max is inside an unterminated string.
11516         (electric-pair--looking-at-unterminated-string-p): Delete.
11517         (electric-pair--in-unterminated-string-p): New function.
11519 2014-04-07  Glenn Morris  <rgm@gnu.org>
11521         * shell.el (shell-directory-tracker):
11522         Go back to just ignoring failures.  (Bug#17159)
11524 2014-04-07  João Távora  <joaotavora@gmail.com>
11526         Fix `electric-pair-delete-adjacent-pairs' in modes binding
11527         backspace.  (Bug#16981)
11528         * elec-pair.el (electric-pair-backward-delete-char): Delete.
11529         (electric-pair-backward-delete-char-untabify): Delete.
11530         (electric-pair-mode-map): Bind backspace to a menu item filtering
11531         a new `electric-pair-delete-pair' command.
11532         (electric-pair-delete-pair): New command.
11534         * progmodes/python.el (python-electric-pair-string-delimiter):
11535         Fix triple-quoting electricity.  (Bug#17192)
11537         * elec-pair.el (electric-pair-post-self-insert-function):
11538         Don't skip whitespace when `electric-pair-text-pairs' and
11539         `electric-pair-pairs' were used. syntax to
11540         electric-pair--skip-whitespace.  (Bug#17183)
11542 2014-04-07  Eli Zaretskii  <eliz@gnu.org>
11544         * leim/quail/ipa.el (ipa-x-sampa): Fix the character produced for
11545         "<F>".  (Bug#17199)
11547 2014-04-07  Stefan Monnier  <monnier@iro.umontreal.ca>
11549         * mpc.el (mpc--status-timer-run): Disable timer if not displayed.
11550         (mpc--status-idle-timer-run): Use mpc--status-timer-run.
11552 2014-04-07  Glenn Morris  <rgm@gnu.org>
11554         * help.el (view-lossage): Doc tweak.
11556 2014-04-07  Matthias Dahl  <ml_emacs-lists@binary-island.eu>
11558         * faces.el (face-spec-recalc): Call make-face-x-resource-internal
11559         only when inhibit-x-resources is nil, and do that earlier in the
11560         function.  Doc fix.  (Bug#16694)
11561         (face-spec-choose): Accept additional optional argument, whose
11562         value is returned if no matching attributes are found.
11563         (face-spec-recalc): Use the new optional argument when calling
11564         face-spec-choose.  (Bug#16378)
11565         (make-face-x-resource-internal): Do nothing when
11566         inhibit-x-resources is non-nil.  Don't touch the default face if
11567         reversed video is given--as was done in previous versions of Emacs.
11568         (face-set-after-frame-default): Don't call
11569         make-face-x-resource-internal here.  (Bug#16434)
11571 2014-04-07  Tassilo Horn  <tsdh@gnu.org>
11573         * doc-view.el (doc-view-bookmark-jump):
11574         Use `bookmark-after-jump-hook' to jump to the right page after the
11575         buffer is shown in a window.  (bug#16090)
11577 2014-04-07  Eli Zaretskii  <eliz@gnu.org>
11579         * international/characters.el (mirroring): Fix last change:
11580         instead of loading uni-mirrored.el explicitly, do that implicitly
11581         by creating the 'mirroring' uniprop table.  This avoids announcing
11582         the loading of uni-mirrored.el.
11584 2014-04-07  Glenn Morris  <rgm@gnu.org>
11586         * files.el (buffer-stale--default-function)
11587         (buffer-stale-function, revert-buffer--default):
11588         * autorevert.el (auto-revert-buffers): Doc tweaks.
11590 2014-04-07  Eli Zaretskii  <eliz@gnu.org>
11592         * international/characters.el: Preload uni-mirrored.el.  (Bug#17169)
11594 2014-04-07  Glenn Morris  <rgm@gnu.org>
11596         * files.el (make-backup-file-name-function)
11597         (make-backup-file-name, make-backup-file-name--default-function)
11598         (make-backup-file-name-1, find-backup-file-name)
11599         (revert-buffer-function, revert-buffer-insert-file-contents-function)
11600         (buffer-stale--default-function, buffer-stale-function)
11601         (before-revert-hook, after-revert-hook, revert-buffer-in-progress-p)
11602         (revert-buffer, revert-buffer--default)
11603         (revert-buffer-insert-file-contents--default-function):
11604         Doc fixes related to defaults no longer being nil.
11605         (make-backup-file-name-function): Bump :version.
11606         Restore nil as a valid but deprecated custom type.
11608 2014-04-07  Stefan Monnier  <monnier@iro.umontreal.ca>
11610         * progmodes/perl-mode.el (perl-syntax-propertize-function):
11611         Handle $' used as a variable (bug#17174).
11613         * progmodes/perl-mode.el (perl-indent-new-calculate):
11614         Handle forward-sexp failure (bug#16985).
11615         (perl-syntax-propertize-function): Add "foreach" and "for" statement
11616         modifiers introducing expressions (bug#17116).
11618 2014-04-06  Stefan Monnier  <monnier@iro.umontreal.ca>
11620         * dired-aux.el (dired-file-set-difference): Use lexical-scoping.
11622 2014-04-05  Leo Liu  <sdl.web@gmail.com>
11624         * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
11625         Add define-compilation-mode.
11627 2014-04-04  João Távora  <joaotavora@gmail.com>
11629         * elec-pair.el (electric-pair--syntax-ppss): When inside comments
11630         parse from comment beginning.
11631         (electric-pair--balance-info): Fix typo in comment.
11632         (electric-pair--in-unterminated-string-p): Delete.
11633         (electric-pair--unbalanced-strings-p): New function.
11634         (electric-pair-string-bound-function): New var.
11635         (electric-pair-inhibit-if-helps-balance): Decide quote pairing
11636         according to `electric-pair--in-unterminated-string-p'.
11638 2014-04-04  Stefan Monnier  <monnier@iro.umontreal.ca>
11640         * textmodes/reftex-parse.el (reftex--index-tags): Rename `index-tags'.
11641         Move declaration before first use.
11642         (reftex-move-to-next-arg): Silence compiler warning.
11644 2014-04-04  Joost Kremers  <joostkremers@fastmail.fm>  (tiny change)
11646         * textmodes/reftex-toc.el (reftex-toc, reftex-re-enlarge):
11647         Use `window-total-width' instead of `window-width'.
11649 2014-04-03  Daniel Colascione  <dancol@dancol.org>
11651         * subr.el (set-transient-map): Remove rms's workaround entirely;
11652         use new `suspicious-object' subr to mark our lambda for closer
11653         scrutiny during gc.
11655 2014-04-02  Richard Stallman  <rms@gnu.org>
11657         * subr.el (set-transient-map): Comment out previous change.
11659 2014-04-02  Glenn Morris  <rgm@gnu.org>
11661         * menu-bar.el (menu-bar-file-menu):
11662         * vc/ediff.el (ediff-current-file):
11663         Update for revert-buffer-function no longer being nil by default.
11665         * simple.el (command-execute): Respect nil disabled-command-function.
11667 2014-04-02  Nicolas Richard  <theonewiththeevillook@yahoo.fr>
11669         * simple.el (command-execute): Do not execute the command when it
11670         is disabled; fixes thinko in 2013-02-20 conversion from C.  (Bug#17151)
11672 2014-04-02  Juri Linkov  <juri@jurta.org>
11674         * dired-aux.el (dired-compress-file): Don't use string-match-p
11675         because its match data is used afterwards.
11677 2014-04-02  Stefan Monnier  <monnier@iro.umontreal.ca>
11679         * emacs-lisp/package.el (package-built-in-p): Treat a min-version of
11680         0 like nil.
11682 2014-04-02  João Távora  <joaotavora@gmail.com>
11684         * elec-pair.el (electric-pair-inhibit-if-helps-balance):
11685         Inhibit quote pairing if point-max is inside an unterminated string.
11686         (electric-pair--looking-at-unterminated-string-p):
11687         Delete.
11688         (electric-pair--in-unterminated-string-p): New function.
11690 2014-04-01  Daniel Colascione  <dancol@dancol.org>
11692         * minibuffer.el (minibuffer-complete): Prevent assertion failure
11693         when trying to complete the prompt.
11695 2014-03-31  Leo Liu  <sdl.web@gmail.com>
11697         * emacs-lisp/eldoc.el (eldoc-print-current-symbol-info):
11698         Refactor out eldoc-documentation-function-default.
11699         (eldoc-documentation-function-default): New function.
11700         (eldoc-documentation-function): Change value.
11702 2014-03-31  Glenn Morris  <rgm@gnu.org>
11704         * simple.el (cycle-spacing--context, cycle-spacing): Doc tweaks.
11706         * progmodes/vhdl-mode.el (vhdl-speedbar-select-mra)
11707         (vhdl-compose-components-package, vhdl-compose-configuration):
11708         Abbreviate default-directory (missing from some previous upstream sync).
11710 2014-03-31  Reto Zimmermann  <reto@gnu.org>
11712         Sync with upstream vhdl mode v3.35.2.
11713         * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
11714         (top-level): No longer require assoc.
11715         (vhdl-asort, vhdl-anot-head-p, vhdl-aput, vhdl-adelete, vhdl-aget):
11716         New functions.  Use throughout to replace aget etc.
11717         (vhdl-aput-delete-if-nil): Rename from vhdl-aput.
11718         (vhdl-update-file-contents): Update for vhdl-aput-delete-if-nil rename.
11719         (vhdl-template-replace-header-keywords): Fix bug for "<title string>".
11720         (vhdl-compile-init): Do not initialize regexps for Emacs 22+.
11721         (vhdl-error-regexp-emacs-alist): Remove regexps from all compilers
11722         except `vhdl-compiler'.
11723         (vhdl-error-regexp-add-emacs): Remove all other compilers,
11724         when appropriate.
11726 2014-03-31  Glenn Morris  <rgm@gnu.org>
11728         * progmodes/vhdl-mode.el (vhdl-expand-abbrev, vhdl-expand-paren):
11729         Revert 2014-03-26 merge goof; go back to using defalias.
11731 2014-03-30  Daniel Colascione  <dancol@dancol.org>
11733         * comint.el (comint-send-input):
11734         Deactivate completion-in-region-mode before we send comint input.
11735         (Bug#17139).
11737         * simple.el (keyboard-quit): Deactivate completion-in-region-mode
11738         on keyboard-quit.
11740 2014-03-29  Glenn Morris  <rgm@gnu.org>
11742         * textmodes/reftex.el: Manage most autoloads automatically.
11743         * textmodes/reftex-auc.el, textmodes/reftex-cite.el:
11744         * textmodes/reftex-dcr.el, textmodes/reftex-global.el:
11745         * textmodes/reftex-index.el, textmodes/reftex-parse.el:
11746         * textmodes/reftex-ref.el, textmodes/reftex-sel.el:
11747         * textmodes/reftex-toc.el: Set generated-autoload-file,
11748         and add autoload cookies for reftex.el.
11749         * Makefile.in (AUTOGEN_VCS): Add textmodes/reftex.el.
11751 2014-03-28  Glenn Morris  <rgm@gnu.org>
11753         * cus-start.el (report-emacs-bug-address): Set custom properties.
11754         * mail/emacsbug.el (report-emacs-bug-address):
11755         Variable is now defined in emacs.c.
11757         * mail/emacsbug.el (report-emacs-bug):
11758         Include system-configuration-features.
11760 2014-03-28  Michal Nazarewicz  <mina86@mina86.com>
11762         * simple.el (cycle-spacing): Never delete spaces on first run by
11763         default, but do so in a new 'fast mode and if there are already
11764         N spaces (the previous behavior).
11765         Compare N with its value in previous invocation so that changing
11766         prefix argument restarts `cycle-spacing' sequence.
11767         The idea is that with this change, binding M-SPC to
11768         `cycle-spacing' should not introduce any changes in behavior of
11769         the binding so long as users do not type M-SPC twice in a raw with
11770         the same prefix argument or lack thereof.
11772 2014-03-28  Glenn Morris  <rgm@gnu.org>
11774         * faces.el (term-file-aliases): New variable.
11775         (tty-run-terminal-initialization): Respect term-file-aliases.
11776         * term/apollo.el, term/vt102.el, term/vt125.el, term/vt201.el:
11777         * term/vt220.el, term/vt240.el, term/vt300.el, term/vt320.el:
11778         * term/vt400.el, term/vt420.el: Remove files, replaced by aliases.
11780 2014-03-27  Glenn Morris  <rgm@gnu.org>
11782         * startup.el (inhibit-startup-hooks): Doc tweak.
11783         (normal-top-level): Simplify running of hooks.
11784         For window-setup-hook, respect inhibit-startup-hooks.
11785         (command-line-1): Don't set window-setup-hook to nil.
11787         Allow selective autoloading from obsolete/ directory.
11788         * Makefile.in (obsolete-autoloads): New rule.
11789         (autoloads): Run obsolete-autoloads.
11790         * obsolete/iswitchb.el (iswitchb-mode): Use obsolete-autoload.
11791         * simple.el (iswitchb-mode): Remove hand-written autoloads.
11793 2014-03-27  Dmitry Gutov  <dgutov@yandex.ru>
11795         * progmodes/ruby-mode.el (ruby-font-lock-keywords):
11796         Highlight special globals with font-lock-builtin-face.  (Bug#17057)
11798         * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
11799         Don't propertize `?' or `!' as symbol constituent when after
11800         colon.  (Bug#17097)
11802 2014-03-27  Juanma Barranquero  <lekktu@gmail.com>
11804         * frameset.el (frameset--restore-frame): Remove workaround for bug#14795
11805         which is no longer needed and causes trouble in GTK builds (bug#17046).
11807         * emacs-lisp/package-x.el (package--archive-contents-from-url):
11808         Use url-insert-file-contents; package-handle-response no longer exists.
11810 2014-03-26  Daniel Colascione  <dancol@dancol.org>
11812         * simple.el (process-menu-mode-map): New variable.
11813         (process-menu-delete-process): New command.
11815 2014-03-26  Juanma Barranquero  <lekktu@gmail.com>
11817         * emacs-lisp/package.el: Fix bug#16733 (again).
11818         (url-http-parse-response, url-http-end-of-headers, url-recreate-url)
11819         (url-http-target-url): Remove unused declarations.
11820         (package-handle-response): Remove.
11821         (package--with-work-buffer): Use url-insert-file-contents and simplify.
11822         (package--download-one-archive): Use current-buffer instead of
11823         dynamic binding of `buffer'.
11824         (describe-package-1): Do not decode readme-string.
11826 2014-03-26  Michael Albinus  <michael.albinus@gmx.de>
11828         * net/tramp.el (tramp-methods, tramp-connection-timeout): Fix docstring.
11830         * net/tramp-sh.el (tramp-sh-handle-vc-registered): Revert change
11831         from 2014-03-07, it decreases performance unnecessarily.  Let-bind
11832         `remote-file-name-inhibit-cache' to nil in the second pass.
11833         (tramp-find-executable): Do not call "which" on SunOS.
11834         (tramp-send-command-and-check): Fix docstring.
11835         (tramp-do-copy-or-rename-file-directly): In the `rename' case,
11836         check whether source directory has set the sticky bit.
11838 2014-03-26  Barry O'Reilly  <gundaetiapo@gmail.com>
11840         * simple.el (primitive-undo): Only process marker adjustments
11841         validated against their corresponding (TEXT . POS).  Issue warning
11842         for lone marker adjustments in undo history.  (Bug#16818)
11843         (undo-make-selective-list): Add marker adjustments to selective
11844         undo list based on whether their corresponding (TEXT . POS) is in
11845         the region.  Remove variable adjusted-markers, which was unused
11846         and only non nil during undo-make-selective-list.
11847         (undo-elt-in-region): Return nil when passed a marker adjustment
11848         and explain in function doc.
11850 2014-03-26  Nicolas Richard  <theonewiththeevillook@yahoo.fr>
11852         * align.el (align-region): Do not fail when end-mark is nil (bug#17088).
11854 2014-03-26  Dmitry Gutov  <dgutov@yandex.ru>
11856         * progmodes/ruby-mode.el (ruby-expression-expansion-re):
11857         Match special global variables without curlies, too.
11858         (ruby-font-lock-keywords): Simplify the matcher for special global
11859         variables.  Don't require a non-word character after the variable.
11860         (Bug#17057)
11862 2014-03-26  Stefan Monnier  <monnier@iro.umontreal.ca>
11864         * simple.el (redisplay-highlight-region-function): Increase priority of
11865         overlay to make sure boundaries are visible (bug#15899).
11867 2014-03-26  Juanma Barranquero  <lekktu@gmail.com>
11869         * frameset.el (frameset--initial-params): Fix typo in parameter name.
11870         (frameset-restore): Compare display strings with equal.
11872         * frame.el (make-frame): Don't quote display name in error message,
11873         it is already a string.
11875 2014-03-26  Thierry Volpiatto  <thierry.volpiatto@gmail.com>
11877         * net/tramp.el (tramp-read-passwd): Suspend the timers while reading
11878         the password.
11880 2014-03-26  Dmitry Gutov  <dgutov@yandex.ru>
11882         * emacs-lisp/package.el (package--add-to-archive-contents):
11883         Include already installed and built-in packages in
11884         `package-archive-contents'.
11885         (package-install): Don't include already installed packages in the
11886         options during interactive invocation.  (Bug#16762)
11887         (package-show-package-list): If the buffer is already displayed in
11888         another window, switch to that window.
11890 2014-03-26  Reto Zimmermann  <reto@gnu.org>
11892         Sync with upstream vhdl mode v3.35.1.
11893         * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
11894         (vhdl-compiler-alist): Doc fix.
11895         (vhdl-goto-line): Remove.
11896         (vhdl-mode-abbrev-table-init): Add XEmacs compat.
11897         (vhdl-mode) <paragraph-start>: Fix value.
11898         (vhdl-fix-statement-region): Not `for' in wait-statement.
11899         (vhdl-beautify-region): Also (un)tabify.
11900         (vhdl-get-visible-signals):
11901         Scan declarative part of generate statements.
11902         (vhdl-template-record): Fix indentation for record type declaration.
11903         (vhdl-expand-abbrev, vhdl-expand-paren):
11904         Revert to using fset again rather than defalias.
11905         (vhdl-scan-directory-contents): Tweak.
11906         (vhdl-speedbar-find-file, vhdl-speedbar-port-copy)
11907         (vhdl-compose-components-package):
11908         Replace vhdl-goto-line with forward-line.
11909         (top-level): Tweak speedbar frame selection.
11910         (vhdl-generate-makefile-1): Support for compilers with no
11911         unit-to-file name mapping (create directory with dummy files).
11913 2014-03-26  Wilson Snyder  <wsnyder@wsnyder.org>
11915         Sync with upstream verilog-mode revision 702457d.
11916         * progmodes/verilog-mode.el (verilog-mode-version): Update.
11917         (create-lockfiles): Declare.
11918         (verilog-read-decls): Fix module header imports, bug709.
11919         Reported by Victor Lau.
11920         Fix parsing 'var' in AUTOs, msg1294.  Reported by Dominique Chen.
11921         (verilog-auto-inout-module): Fix AUTOINOUTMODULE not inserting
11922         interface-only modules, bug721.  Reported by Dean Hoyt.
11924 2014-03-26  Glenn Morris  <rgm@gnu.org>
11926         * obsolete/gulp.el: Move here from emacs-lisp/.
11928         * files.el (lock-buffer, unlock-buffer, file-locked-p):
11929         Remove fallback aliases, since they are always defined now.
11931 2014-03-24  Daniel Colascione  <dancol@dancol.org>
11933         * emacs-lisp/cl-macs.el (cl--do-arglist): Use `plist-member'
11934         instead of cl-loop search function.
11936 2014-03-23  Lars Ingebrigtsen  <larsi@gnus.org>
11938         * calendar/parse-time.el (parse-time-iso8601-regexp)
11939         (parse-iso8601-time-string): Copy from `url-dav' so that we can use
11940         it more generally.
11942 2014-03-23  Lars Ingebrigtsen  <larsi@gnus.org>
11944         * net/dns.el (network-interface-list): Define for XEmacs.
11946 2014-03-23  Magnus Henoch  <magnus.henoch@gmail.com>
11948         * net/dns.el (dns-servers-up-to-date-p): New function to see whether
11949         the network interfaces changed.
11950         (dns-query): Use it to flush the data.
11952 2014-03-23  Juanma Barranquero  <lekktu@gmail.com>
11954         * vc/vc.el (vc-rollback): Use set-buffer-modified-p.
11956 2014-03-23  Daniel Colascione  <dancol@dancol.org>
11958         Change subword-mode to use `find-word-boundary-function-table' and
11959         replace `capitalized-words-mode'.  Also, convert to lexical binding.
11960         * progmodes/cap-words.el: Delete now-obsolete file.
11961         * progmodes/subword.el: Reimplement using
11962         `find-word-boundary-function-table'.
11963         (subword-mode-map): Hollow out.
11964         (capitalized-words-mode): Define as obsolete alias for
11965         `subword-mode'.
11966         (subword-mode, superword-mode): Tweak documentation to reflect new
11967         implementation; call `subword-setup-buffer'.
11968         (subword-forward, subword-capitalize): Add underscore to indicate
11969         unused variable.
11970         (subword-find-word-boundary-function-table): New constant.
11971         (subword-empty-char-table): New constant.
11972         (subword-setup-buffer): New function.
11973         (subword-find-word-boundary): New function.
11975 2014-03-23  Daniel Colascione  <dancol@dancol.org>
11977         * emacs-lisp/cl-macs.el (cl--do-arglist): Use a little `cl-loop'
11978         list to look for keyword arguments instead of `memq', fixing
11979         (Bug#3647) --- unfortunately, only for freshly-compiled code.
11980         Please make bootstrap.
11982 2014-03-22  Glenn Morris  <rgm@gnu.org>
11984         * dired.el (dired-read-regexp): Make obsolete.
11985         (dired-mark-files-regexp, dired-mark-files-containing-regexp)
11986         (dired-flag-files-regexp):
11987         * dired-aux.el (dired-mark-read-regexp):
11988         * dired-x.el (dired-mark-unmarked-files): Use read-regexp directly.
11990         * startup.el (fancy-startup-text):
11991         * help.el (describe-gnu-project): Visit online info about GNU project.
11993         * help-fns.el (help-fns--interactive-only): New function.
11994         (help-fns-describe-function-functions): Add the above function.
11995         * simple.el (beginning-of-buffer, end-of-buffer, insert-buffer)
11996         (next-line, previous-line): Remove hand-written interactive-only
11997         information from doc strings, it is auto-generated now.
11998         * bookmark.el (bookmark-write):
11999         * epa-mail.el (epa-mail-decrypt, epa-mail-verify, epa-mail-sign)
12000         (epa-mail-import-keys): Mark interactive-only,
12001         and remove hand-written interactive-only information from doc strings.
12002         * epa.el (epa-decrypt-armor-in-region, epa-verify-region)
12003         (epa-verify-cleartext-in-region, epa-sign-region, epa-encrypt-region):
12004         * files.el (not-modified):
12005         * simple.el (mark-whole-buffer): Mark interactive-only.
12007         * emacs-lisp/byte-run.el (defun-declarations-alist):
12008         Add interactive-only.  Doc tweak.
12009         (macro-declarations-alist): Doc tweak.
12010         * subr.el (declare): Doc tweak (add xref to manual).
12011         * comint.el (comint-run):
12012         * files.el (insert-file-literally, insert-file):
12013         * replace.el (replace-string, replace-regexp):
12014         * simple.el (beginning-of-buffer, end-of-buffer, delete-backward-char)
12015         (delete-forward-char, goto-line, insert-buffer, next-line)
12016         (previous-line): Set interactive-only via declare.
12018 2014-03-22  Dmitry Gutov  <dgutov@yandex.ru>
12020         * emacs-lisp/package.el (package-desc): Use the contents of the
12021         quoted form, not its cdr.  (Bug#16873)
12023 2014-03-22  Juanma Barranquero  <lekktu@gmail.com>
12025         * w32-common-fns.el (x-selection-owner-p): Add empty docstring for the
12026         benefit of doc.c; change parameter profile to match the X function.
12028 2014-03-22  Leo Liu  <sdl.web@gmail.com>
12030         * help.el (temp-buffer-setup-hook): Remove help-mode-setup.
12031         (temp-buffer-show-hook): Remove help-mode-finish.  (Bug#16038)
12033 2014-03-21  Richard Stallman  <rms@gnu.org>
12035         * battery.el (battery-linux-sysfs): Search for each field
12036         from the beginning of the buffer.
12038         * subr.el (set-transient-map): Clear out function and value
12039         of the temporary symbol when we're done with it.
12041         * mail/rmailsum.el (rmail-summary-delete-forward):
12042         Optimize case of reaching end and handling count.
12043         (rmail-summary-mark-deleted): Optimize when N is current msg.
12044         Don't create new summary line.
12045         (rmail-summary-undelete): Pass arg to rmail-undelete-previous-message.
12046         (rmail-summary-undelete-many): Rewrite for speed.
12047         (rmail-summary-msg-number): New function.
12049         * mail/rmail.el (rmail-delete-message): Update summary.
12050         (rmail-undelete-previous-message): Handle repeat count arg.
12051         (rmail-delete-backward, rmail-delete-forward): Likewise.
12053 2014-03-21  Daniel Colascione  <dancol@dancol.org>
12055         * mail/emacsbug.el (report-emacs-bug): Include memory usage
12056         information in bug reports.
12058 2014-03-21  Michael Albinus  <michael.albinus@gmx.de>
12060         * net/tramp.el (tramp-methods): Add docstring for `tramp-login-env'
12061         and `tramp-copy-env'.
12063         * net/tramp-sh.el (tramp-methods) <sudo>: Add `tramp-login-env'.
12064         (tramp-maybe-open-connection): Handle `tramp-login-env'.
12066 2014-03-21  Glenn Morris  <rgm@gnu.org>
12068         * electric.el (electric-indent-post-self-insert-function): Add doc.
12070 2014-03-21  Dmitry Gutov  <dgutov@yandex.ru>
12072         * emacs-lisp/package.el (package-compute-transaction):
12073         Use `version-list-<=' to compare the requirement version against
12074         the version of package already to be installed.  Update the error
12075         message.  (Bug#16826)
12077         * progmodes/ruby-mode.el (ruby-smie-rules):
12078         Add indentation rule for ` @ '.  (Bug#17050)
12080 2014-03-21  Juanma Barranquero  <lekktu@gmail.com>
12082         * align.el (align-regexp): Remove superfluous backslash.
12084         * ffap.el (ffap-ftp-default-user, ffap-url-regexp)
12085         (ffap-pass-wildcards-to-dired, dired-at-point-require-prefix)
12086         (ffap-rfc-path, ffap-ftp-sans-slash-regexp, ffap-menu-regexp):
12087         Fix docstring typos.
12088         (ffap-next): Use C-u in docstring.
12089         (ffap-machine-p, ffap-list-env, ffap-alist, ffap-alist)
12090         (ffap-string-at-point-mode-alist, ffap-menu, ffap-menu-ask):
12091         Remove superfluous backslashes.
12092         (ffap-string-at-point): Reflow docstring.
12094         * server.el (server-host): Reflow docstring.
12095         (server-unload-function): Fix docstring typo.
12096         (server-eval-at): Remove superfluous backslash.
12098         * skeleton.el (skeleton-insert): Remove superfluous backslash.
12099         (skeleton-insert): Doc fix.
12100         (skeleton-insert): Reflow docstring.
12102         * term/tty-colors.el (tty-color-alist, tty-modify-color-alist)
12103         (tty-color-approximate, tty-color-by-index, tty-color-values)
12104         (tty-color-desc): Remove superfluous backslashes.
12106 2014-03-21  Glenn Morris  <rgm@gnu.org>
12108         * cus-start.el (history-length): Bump :version.
12110         * Makefile.in ($(MH_E_DIR)/mh-loaddefs.el)
12111         ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
12112         ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
12113         Don't set `make-backup-files'.
12115         * info.el (info--prettify-description): New function,
12116         to give info-finder descriptions consistent case, punctuation.
12117         (Info-finder-find-node): Use it.  Sort packages.
12118         Refer to "description" rather than "commentary".
12120 2014-03-21  Juanma Barranquero  <lekktu@gmail.com>
12122         * frameset.el (frameset--print-register): New function.
12123         (frameset-to-register): Use it.
12125 2014-03-20  Juanma Barranquero  <lekktu@gmail.com>
12127         * progmodes/hideif.el (hif-string-to-number): New function.
12128         (hif-tokenize): Use it to understand non-decimal floats.
12130         * emacs-lisp/cl-extra.el (cl--map-overlays): Remove obsolete code.
12132         * skeleton.el (skeleton-autowrap): Mark as obsolete.  Doc fix.
12134 2014-03-20  Stefan Monnier  <monnier@iro.umontreal.ca>
12136         * electric.el (electric-newline-and-maybe-indent): New command.
12137         Bind it globally to C-j.
12138         (electric-indent-mode): Don't mess with the global map any more.
12139         Don't drop the post-self-insert-hook is some buffer is still using it
12140         (bug#16770).
12142         * bindings.el (global-map): Remove C-j binding.
12144         * emacs-lisp/nadvice.el (advice--make-docstring): Try harder to find
12145         the docstring of functions advised before dumping (bug#16993).
12147 2014-03-19  Stefan-W. Hahn  <stefan.hahn@s-hahn.de>  (tiny change)
12149         * ps-print.el (ps-generate-postscript-with-faces):
12150         Explicitly deactivate the mark (bug#16866).
12151         * simple.el (deactivate-mark): Update region highlight.
12153 2014-03-19  Juanma Barranquero  <lekktu@gmail.com>
12155         * emacs-lisp/package.el (describe-package-1):
12156         Decode commentary (bug#16733).
12158 2014-03-18  Juanma Barranquero  <lekktu@gmail.com>
12160         * custom.el (defcustom): Doc fix: recommend avoiding destructive
12161         modification of the value argument of :set (bug#16755).
12163 2014-03-18  Stefan Monnier  <monnier@iro.umontreal.ca>
12165         * simple.el (newline-and-indent): Do autofill (bug#17031).
12167 2014-03-18  Dmitry Gutov  <dgutov@yandex.ru>
12169         * newcomment.el (comment-normalize-vars): Only add escaping check
12170         to `comment-start-skip' if not `comment-use-syntax'.  (Bug#16971)
12171         (comment-beginning): Use `narrow-to-region' instead of moving back
12172         one character.
12173         (http://lists.gnu.org/archive/html/emacs-devel/2014-03/msg00488.html)
12174         (comment-start-skip): Update the docstring.
12176 2014-03-18  Richard Stallman  <rms@gnu.org>
12178         * dired.el (dired-display-file): Force use of other window.
12180 2014-03-18  Daniel Colascione  <dancol@dancol.org>
12182         * startup.el (tty-handle-args): Remove debug message from 2007.
12184 2014-03-17  Stefan Monnier  <monnier@iro.umontreal.ca>
12186         * emacs-lisp/nadvice.el (advice--interactive-form): New function.
12187         (advice--make-interactive-form): Use it to avoid (auto)loading function.
12188         (advice--make-1, advice-add, advice-remove):
12189         Remove braindead :advice-pending hack.
12191 2014-03-17  Glenn Morris  <rgm@gnu.org>
12193         * calendar/calendar.el (calendar-generate-month): Apply weekend
12194         face to the right days; fixes 2013-08-06 change.  (Bug#17028)
12196 2014-03-17  Michael Albinus  <michael.albinus@gmx.de>
12198         * net/tramp.el (tramp-action-out-of-band): Read pending output.
12199         (tramp-call-process): Trace also DESTINATION.
12201         * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
12202         Quote file names when they are local.  Remove superfluous trace.
12204 2014-03-17  Dmitry Gutov  <dgutov@yandex.ru>
12206         * newcomment.el (comment-beginning): If `comment-start-skip'
12207         doesn't match, move back one char and try again.  (Bug#16971)
12209         * emacs-lisp/lisp-mode.el (lisp-mode-variables):
12210         Set `comment-use-syntax' to t to avoid the unnecessary runtime check.
12211         Set `comment-start-skip' to a simpler value that doesn't try to
12212         check if the semicolon is escaped (this is handled by
12213         `syntax-ppss' now).  (Bug#16971)
12215         * progmodes/scheme.el (scheme-mode-variables): Same.
12217 2014-03-16  Martin Rudalics  <rudalics@gmx.at>
12219         Fix behavior of with-temp-buffer-window (Bug#16816, Bug#17007).
12220         * window.el (with-temp-buffer-window): Don't make BUFFER-OR-NAME
12221         current (Bug#16816, Bug#17007).
12222         (with-current-buffer-window): New macro doing the same as
12223         `with-temp-buffer-window' but with BUFFER-OR-NAME current.
12224         * help.el (help-print-return-message): Warn in doc-string to not
12225         use this in `with-help-window'.
12226         (describe-bindings-internal): Call `describe-buffer-bindings'
12227         from within help buffer.  See Juanma's scenario in (Bug#16816).
12228         (with-help-window): Update doc-string.
12229         * dired.el (dired-mark-pop-up):
12230         * files.el (save-buffers-kill-emacs):
12231         * register.el (register-preview): Use `with-current-buffer-window'
12232         instead of `with-temp-buffer-window'.
12234 2014-03-16  Juanma Barranquero  <lekktu@gmail.com>
12236         * textmodes/rst.el (rst-arabic-to-roman, rst-roman-to-arabic):
12237         Implement inserting into current buffer, documented in their docstrings.
12238         (rst-define-key, rst-compare-adornments, rst-insert-list-new-item)
12239         (rst-section-tree-point, rst-forward-section, rst-indent)
12240         (rst-compute-tabs, rst-font-lock-find-unindented-line-end)
12241         (rst-font-lock-find-unindented-line-limit, rst-adornment-level)
12242         (rst-font-lock-handle-adornment-pre-match-form)
12243         (rst-repeat-last-character): Reflow docstrings.
12244         (rst-preferred-adornments, rst-update-section, rst-find-title-line)
12245         (rst-adjust-adornment-work, rst-initial-items, rst-insert-list)
12246         (rst-toc-insert-style, rst-toc-insert-node, rst-goto-section)
12247         (rst-compile, rst-imenu-convert-cell, rst-imenu-create-index):
12248         Fix docstring typos.
12249         (rst-all-sections, rst-section-hierarchy, rst-adjust): Doc fixes.
12250         (rst-uncomment-region, rst-font-lock-find-unindented-line-match)
12251         (rst-font-lock-handle-adornment-matcher): Mark unused arguments.
12253 2014-03-15  Juanma Barranquero  <lekktu@gmail.com>
12255         * term/ns-win.el (x-command-line-resources): Rename from ns-... version,
12256         for compatibility with other ports.
12257         (ns-initialize-window-system): Use it.  It is set in term/common-win.el
12258         from the -xrm command line argument, but in the Nextstep port its value
12259         is irrelevant because nsfns.m:Fx_open_connection ignores it for now.
12261         * progmodes/python.el (defconst, python-syntax-count-quotes)
12262         (python-indent-region, python-indent-shift-right)
12263         (python-indent-dedent-line-backspace, python-nav-backward-sexp)
12264         (python-nav-backward-sexp-safe, python-nav-backward-up-list)
12265         (python-shell-prompt-block-regexp, python-shell-prompt-output-regexp)
12266         (python-shell-prompt-pdb-regexp, python-shell-enable-font-lock)
12267         (inferior-python-mode, python-shell-make-comint, run-python-internal)
12268         (python-shell-buffer-substring, python-shell-send-buffer)
12269         (python-pdbtrack-activate, python-pdbtrack-stacktrace-info-regexp)
12270         (python-completion-complete-at-point, python-fill-docstring-style)
12271         (python-eldoc-function, python-imenu-format-item-label)
12272         (python-imenu-format-parent-item-label)
12273         (python-imenu-format-parent-item-jump-label)
12274         (python-imenu--build-tree, python-imenu-create-index)
12275         (python-imenu-create-flat-index): Fix docstring typos.
12276         (python-indent-context, python-shell-prompt-regexp, run-python):
12277         Remove superfluous backslashes.
12278         (python-indent-line, python-nav-beginning-of-defun)
12279         (python-shell-get-buffer, python-shell-get-process)
12280         (python-info-current-defun, python-info-current-line-comment-p)
12281         (python-info-current-line-empty-p, python-util-popn): Doc fixes.
12282         (python-indent-post-self-insert-function, python-shell-send-file)
12283         (python-shell-completion-get-completions)
12284         (python-shell-completion-complete-or-indent)
12285         (python-eldoc--get-doc-at-point): Reflow docstrings.
12287 2014-03-14  Glenn Morris  <rgm@gnu.org>
12289         * emacs-lisp/package.el (package-menu-mode-map):
12290         Replace use of obsolete function alias.  Tweak menu item text.
12292         * info.el (Info-finder-find-node):
12293         Ignore the `emacs' metapackage.  (Bug#10813)
12295         * finder.el (finder-list-matches): Include unversioned packages
12296         in the result of a keyword search.
12298         * finder.el (finder--builtins-descriptions): New constant.
12299         (finder-compile-keywords): Use finder--builtins-descriptions.
12301 2014-03-14  Dmitry Gutov  <dgutov@yandex.ru>
12303         * simple.el (blink-matching-paren): Describe the new value,
12304         `jump', enabling the old behavior.
12305         (blink-matching-open): Use that value.  (Bug#17008)
12307 2014-03-14  Glenn Morris  <rgm@gnu.org>
12309         * finder.el (finder-no-scan-regexp): Add leim-list.
12310         (finder-compile-keywords):
12311         Don't skip files with same basename.  (Bug#14010)
12312         * Makefile.in (setwins_finder): New, excluding leim.
12313         (finder-data): Use setwins_finder.
12315         * help-fns.el (help-split-fundoc, help-add-fundoc-usage)
12316         (help-function-arglist, help-make-usage): Move from here...
12317         * help.el (help-split-fundoc, help-add-fundoc-usage)
12318         (help-function-arglist, help-make-usage): ... to here.  (Bug#17001)
12319         * emacs-lisp/bytecomp.el (byte-compile-lambda): Do not load help-fns.
12321 2014-03-14  Juanma Barranquero  <lekktu@gmail.com>
12323         * net/socks.el (socks, socks-override-functions)
12324         (socks-find-services-entry):
12325         * progmodes/hideif.el (hif-set-var, hif-nexttoken, hif-comma)
12326         (hif-find-ifdef-block):
12327         * progmodes/modula2.el (m2-indent): Fix docstring typos.
12329         * net/tls.el (tls-program): Reflow docstring.
12331         * progmodes/pascal.el (pascal-mode-abbrev-table)
12332         (pascal-imenu-generic-expression, pascal-auto-endcomments)
12333         (pascal-mark-defun, pascal-comment-area, pascal-indent-level)
12334         (pascal-outline-mode): Fix docstring typos.
12335         (pascal-mode): Let define-derived-mode document mode hook.
12336         (pascal-uncomment-area): Reflow.
12337         (pascal-exclude-str-start, pascal-exclude-str-end): Add docstring.
12339         * progmodes/opascal.el (opascal-compound-block-indent)
12340         (opascal-case-label-indent): Fix docstring typos.
12341         (opascal-mode): Fix typos; let defined-derived-mode document mode hook.
12343 2014-03-13  Dmitry Gutov  <dgutov@yandex.ru>
12345         * progmodes/ruby-mode.el (ruby-font-lock-keywords):
12346         Fontify multiple adjacent negation chars.  (Bug#17004)
12348 2014-03-13  Tom Willemse  <tom@ryuslash.org>  (tiny change)
12350         * emacs-lisp/package.el (package--prepare-dependencies):
12351         Accept requirements without explicit version (bug#14941).
12353 2014-03-12  Juanma Barranquero  <lekktu@gmail.com>
12355         * register.el (register-separator, copy-to-register): Doc fixes.
12356         (register-preview-default): Remove unnecessary call to concat.
12358         * frameset.el (frameset-restore): When checking for a visible frame,
12359         use the action map instead of calling visible-frame-list.
12361 2014-03-12  Jonas Bernoulli  <jonas@bernoul.li>
12363         * emacs-lisp/eieio.el (with-slots): Use cl-symbol-macrolet (bug#16998).
12365 2014-03-12  Martin Rudalics  <rudalics@gmx.at>
12367         * window.el (fit-frame-to-buffer): Get maximum width from
12368         display's width instead of height.
12370 2014-03-12  Glenn Morris  <rgm@gnu.org>
12372         * desktop.el (desktop-restore-frames)
12373         (desktop-restore-in-current-display, desktop-restore-forces-onscreen)
12374         (desktop-restore-reuses-frames): Doc tweaks.
12376         * electric.el (electric-indent-mode): Doc fix.
12378 2014-03-12  Juanma Barranquero  <lekktu@gmail.com>
12380         * vc/pcvs.el (cvs-temp-buffer, defun-cvs-mode, cvs-get-cvsroot)
12381         (cvs-checkout, cvs-mode-checkout, cvs-update-filter, cvs-mode-mark)
12382         (cvs-mode-diff-head, cvs-mode-diff-repository, cvs-mode-diff-yesterday)
12383         (cvs-mode-diff-vendor, cvs-mode-do, cvs-change-cvsroot)
12384         (cvs-dired-use-hook): Fix docstring typos.
12385         (cvs-mode-view-file-other-window, cvs-mode-byte-compile-files):
12386         Doc fixes.
12388         * vc/pcvs-defs.el (cvs-auto-remove-handled)
12389         (cvs-auto-remove-directories, cvs-default-ignore-marks)
12390         (cvs-idiff-imerge-handlers, cvs-reuse-cvs-buffer)
12391         (cvs-execute-single-dir): Fix docstring typos.
12393         * vc/pcvs-info.el (cvs-status-map, cvs-states): Fix docstring typos.
12394         (cvs-fileinfo-pp, cvs-fileinfo-from-entries): Doc fixes.
12396         * vc/pcvs-parse.el (cvs-parsed-fileinfo): Reflow docstring.
12398         * vc/pcvs-util.el (cvs-flags-query, cvs-flags-set, cvs-prefix-set):
12399         Fix docstring typos.
12401 2014-03-12  Juanma Barranquero  <lekktu@gmail.com>
12403         * frameset.el (frameset--jump-to-register): Add autoload; it could be
12404         called from jump-to-register after unloading the frameset package.
12406 2014-03-11  Stefan Monnier  <monnier@iro.umontreal.ca>
12408         * simple.el (set-mark): Ensure mark-active is nil if the mark is nil
12409         (bug#16975).  Deactivate the mark before setting it to nil.
12410         (activate-mark): Do nothing if region is already active.
12412 2014-03-11  Juanma Barranquero  <lekktu@gmail.com>
12414         * frameset.el (frameset--target-display): Remove definition; declare.
12415         (frameset-save, frameset-restore): Let-bind frameset--target-display.
12417 2014-03-11  Stefan Monnier  <monnier@iro.umontreal.ca>
12419         * emacs-lisp/nadvice.el (advice--make-1): Fix autoloading avoidance.
12420         (advice-add): Add a :advice--pending marker, so advice--make-1 knows
12421         when the advice is pending.
12422         (advice-remove): Remove this marker when not needed any more.
12424 2014-03-11  Juanma Barranquero  <lekktu@gmail.com>
12426         * frameset.el: Separate options for reusing frames and cleaning up.
12427         (frameset--reuse-list): Remove definition; declare.
12428         (frameset--action-map): Declare.
12429         (frameset--find-frame-if): Doc fix.
12430         (frameset--restore-frame): Cache frame action.
12431         (frameset-restore): New keyword arg CLEANUP-FRAMES, allows to select
12432         how to clean up the frame list after restoring.  Remove cleaning
12433         options from REUSE-FRAMES.  Change all keyword values to symbols.
12434         (frameset--jump-to-register): Simplify by using CLEANUP-FRAMES.
12436         * desktop.el (desktop-restore-forces-onscreen)
12437         (desktop-restore-reuses-frames): Use non-keyword values.
12438         (desktop-restore-frameset): Use CLEANUP-FRAMES arg of frameset-restore.
12440 2014-03-10  Glenn Morris  <rgm@gnu.org>
12442         * files.el (find-file): Doc fix: update info node name.
12444         * emacs-lisp/advice.el (ad-add-advice, defadvice):
12445         Doc fix: remove references to deleted info nodes.
12447 2014-03-10  Michael Albinus  <michael.albinus@gmx.de>
12449         * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
12450         Do not add nil to the environment, when there's no remote `locale'.
12451         (tramp-find-inline-encoding): Check, that the remote host has
12452         installed perl, before sending scripts.
12454 2014-03-10  Leo Liu  <sdl.web@gmail.com>
12456         * emacs-lisp/eldoc.el (eldoc-minibuffer-message):
12457         Clear eldoc-last-message.  (Bug#16920)
12459 2014-03-10  Stefan Monnier  <monnier@iro.umontreal.ca>
12461         * desktop.el (desktop-create-buffer): Don't run activate-mark-hook
12462         (bug#14430).
12464 2014-03-09  Juri Linkov  <juri@jurta.org>
12466         * ansi-color.el (ansi-color-names-vector): Copy default colors
12467         from `xterm-standard-colors' that look well on the default white
12468         background (and also on the black background) to avoid illegible
12469         color combinations like yellow-on-white and white-on-white.
12470         http://lists.gnu.org/archive/html/emacs-devel/2014-02/msg00157.html
12472 2014-03-08  Juanma Barranquero  <lekktu@gmail.com>
12474         * frameset.el (frameset-restore): When no frame is visible, do not
12475         generate a list of frames, just make visible the selected one.
12477 2014-03-08  Dmitry Gutov  <dgutov@yandex.ru>
12479         * vc/vc-git.el (vc-git-command): Turn FILE-OR-LIST into nil when
12480         it only contains the repository root.  (Bug#16897)
12482 2014-03-07  Michael Albinus  <michael.albinus@gmx.de>
12484         * net/tramp-sh.el (tramp-sh-handle-vc-registered): Run first pass
12485         only when `remote-file-name-inhibit-cache' is nil.
12486         (tramp-sh-file-name-handler): Use `tramp-error'.  Simplify code.
12488 2014-03-06  Martin Rudalics  <rudalics@gmx.at>
12490         * window.el (fit-frame-to-buffer, fit-frame-to-buffer-margins):
12491         Fix doc-strings.
12492         (fit-frame-to-buffer): New argument ONLY.  Remove dependency on
12493         fit-frame-to-buffer variable.  Fix doc-string.
12494         (fit-window-to-buffer): Set ONLY argument in call of
12495         fit-frame-to-buffer.  Fix doc-string.
12497 2014-03-06  Michael Albinus  <michael.albinus@gmx.de>
12499         * net/tramp.el (tramp-error): VEC-OR-PROC can be nil.
12500         (tramp-action-password): Clear password cache if needed.
12501         (tramp-read-passwd): Do not clear password cache.
12503         * net/tramp-gvfs.el (tramp-gvfs-handler-askpassword): Clear password
12504         cache unless it is the first password request.
12506 2014-03-06  Glenn Morris  <rgm@gnu.org>
12508         * simple.el (newline): Doc tweak.
12510         * emacs-lisp/shadow.el (load-path-shadows-find):
12511         Ignore dir-locals.  (Bug#12357)
12513 2014-03-05  Glenn Morris  <rgm@gnu.org>
12515         * files.el (interpreter-mode-alist):
12516         * progmodes/sh-script.el (sh-ancestor-alist): Add dash.  (Bug#16938)
12518 2014-03-05  Juanma Barranquero  <lekktu@gmail.com>
12520         * frameset.el (frameset--initial-params): Filter out null entries.
12522 2014-03-05  Martin Rudalics  <rudalics@gmx.at>
12524         * window.el (window-min-height, window-min-width):
12525         Rewrite doc-strings.
12526         (window-body-size): Add PIXELWISE argument to make it consistent
12527         with its callees.
12529 2014-03-05  Juanma Barranquero  <lekktu@gmail.com>
12531         * finder.el (finder-mode-map, finder-mode-syntax-table):
12532         Revert part of 2014-02-28 change.
12534 2014-03-05  Lars Ingebrigtsen  <larsi@gnus.org>
12536         * net/eww.el (eww-mode-map): [tab] doesn't work on tty.
12537         (eww-setup-buffer): Clear next/prev/etc more reliably.
12538         (eww-textarea-map): [tab] doesn't work on tty.
12539         Reported by Mario Lang.
12541         * net/shr.el (shr-map): Ditto.
12543 2014-03-04  Glenn Morris  <rgm@gnu.org>
12545         * minibuffer.el (completion-hilit-commonality):
12546         Revert 2014-03-01 short-cut, which changed the return value. (Bug#16933)
12548 2014-03-04  Juanma Barranquero  <lekktu@gmail.com>
12550         * hilit-chg.el (hilit-chg-unload-function): New function.
12551         (highlight-changes-mode, highlight-changes-visible-mode): Fix typos.
12552         (hilit-chg-map-changes): Prefer cardinal number to digit.
12553         (hilit-chg-display-changes): Reflow docstring.
12554         (highlight-changes-rotate-faces): Remove superfluous backslash.
12556 2014-03-04  Michael Albinus  <michael.albinus@gmx.de>
12558         * net/tramp-sh.el (tramp-sh-handle-vc-registered): Do not call
12559         `tramp-send-command-and-check'.
12561 2014-03-04  Juanma Barranquero  <lekktu@gmail.com>
12563         * hexl.el (hexl-address-region, hexl-ascii-region)
12564         (hexl-goto-hex-address, hexl-backward-char, hexl-forward-char)
12565         (hexl-backward-short, hexl-forward-short, hexl-backward-word)
12566         (hexl-forward-word, hexl-previous-line, hexl-next-line):
12567         Use "Hexl mode" for non-hyperlinked hexl-mode references in docstrings.
12568         (hexl-mode): Doc fix.
12569         (hexl-ascii-start-column, hexl-beginning-of-line, hexl-end-of-line)
12570         (hexl-mode-ruler): Fix typos in docstrings.
12572         * strokes.el (strokes-xpm-header, strokes-rate-stroke): Fix typos.
12573         (strokes-character, strokes-get-grid-position, strokes-list-strokes):
12574         Remove superfluous backslashes.
12575         (strokes-last-stroke, strokes-global-map, strokes-mode):
12576         Reflow docstrings.
12577         (strokes-xpm-for-stroke, strokes-xpm-to-compressed-string)
12578         (strokes-xpm-for-compressed-string): Use quotes with buffer name.
12579         (strokes-distance-squared, strokes-global-set-stroke)
12580         (strokes-global-set-stroke-string): Doc fixes.
12581         (strokes-help): Fix typos; reflow docstring.
12583 2014-03-04  Martin Rudalics  <rudalics@gmx.at>
12585         * window.el (window-in-direction): Fix doc-string.
12587 2014-03-04  Glenn Morris  <rgm@gnu.org>
12589         * emacs-lisp/smie.el (smie-config-guess): Doc fix.
12590         Explicit error if no grammar.
12591         (smie-config-save): Doc fix.  Fix quote typo.
12593 2014-03-04  Stefan Monnier  <monnier@iro.umontreal.ca>
12595         * progmodes/cc-mode.el (c-initialize-cc-mode): Only hook into
12596         electric-indent-mode-hook if we obey electric-indent-mode.
12597         (c-basic-common-init): Use (fboundp 'electric-indent-local-mode) to
12598         decide whether we obey electric-indent-mode.
12599         (c-change-set-fl-decl-start, c-extend-after-change-region):
12600         Silence warnings.
12601         (c-electric-indent-mode-hook): Assume we do want to obey
12602         electric-indent-mode.
12604         * electric.el (electric-indent-mode-has-been-called): Remove.
12605         (electric-indent-mode): Fix accordingly.
12607         * files.el (hack-local-variables): Mention file name in warning.
12609         * htmlfontify.el (hfy-fontify-buffer): Drop `invis-range' message.
12611 2014-03-04  Michal Nazarewicz  <mina86@mina86.com>
12613         * bindings.el: Add comment describing why C-d binds to `delete-char'.
12614         * simple.el (delete-forward-char): Mark as interactive-only.
12616 2014-03-03  Juanma Barranquero  <lekktu@gmail.com>
12618         * icomplete.el (icomplete-completions):
12619         Follow-up to 2014-03-01 change.
12621         * icomplete.el: Miscellaneous doc fixes.
12622         Use Icomplete everywhere instead of icomplete for consistency.
12623         (icomplete-max-delay-chars): Fix typo.
12624         (icomplete-mode): Use \[].
12625         (icomplete-tidy, icomplete-exhibit): Reflow.
12626         (icomplete-minibuffer-setup-hook, icomplete-completions):
12627         Remove superfluous backlashes.
12629         * ido.el: Miscellaneous doc fixes.
12630         Use Ido everywhere instead of ido or `ido' for consistency.
12631         (ido-record-ftp-work-directories, ido-merge-ftp-work-directories)
12632         (ido-cache-ftp-work-directory-time, ido-slow-ftp-hosts)
12633         (ido-slow-ftp-host-regexps, ido-reread-directory): Upcase "ftp".
12634         (ido-separator): Extract obsolescence info from docstring and declare
12635         with make-obsolete-variable.
12636         (ido-minibuffer-setup-hook): Simplify example.
12637         (ido-text, ido-text-init, ido-input-stack, ido-report-no-match)
12638         (ido-wide-find-file, ido-wide-find-dir, ido-wide-find-dir-or-delete-dir)
12639         (ido-completion-help, ido-completing-read): Fix typos in docstrings.
12640         (ido-everywhere): Reflow docstring.
12641         (ido-toggle-vc): Doc fix.
12642         (ido-switch-buffer, ido-find-file): Use tabs to improve legibility
12643         of long list of keybindings.
12645 2014-03-03  Glenn Morris  <rgm@gnu.org>
12647         * frame.el (display-pixel-height, display-pixel-width)
12648         (display-mm-dimensions-alist, display-mm-height)
12649         (display-mm-width): Doc tweaks.
12651 2014-03-02  Barry O'Reilly  <gundaetiapo@gmail.com>
12653         * simple.el (undo-elt-in-region): Fix buffer corruption for edge
12654         case of undo in region.
12656 2014-03-02  Martin Rudalics  <rudalics@gmx.at>
12658         * window.el (fit-window-to-buffer): Fix argument in window-size
12659         call when window is horizontally combined.
12661 2014-03-02  Juanma Barranquero  <lekktu@gmail.com>
12663         * icomplete.el (icomplete-completions): Use string-width.
12664         Suggested by Stefan Monnier <monnier@iro.umontreal.ca>.
12666 2014-03-01  Dmitry Gutov  <dgutov@yandex.ru>
12668         * progmodes/ruby-mode.el (ruby-font-lock-keywords):
12669         Highlight regexp options.  (Bug#16914)
12671 2014-03-01  Martin Rudalics  <rudalics@gmx.at>
12673         * window.el (window--max-delta-1): Round down when calculating
12674         how many lines/columns we can get from a window.
12676 2014-03-01  Glenn Morris  <rgm@gnu.org>
12678         * isearch.el (search-invisible): Doc fix.
12680         * minibuffer.el (completion-hilit-commonality):
12681         Make `base-size' argument optional.  Short-cut if `prefix-len' is 0.
12682         * comint.el (comint-dynamic-list-completions): Doc fix.
12683         * comint.el (comint-dynamic-list-completions):
12684         * filecache.el (file-cache-minibuffer-complete):
12685         * tempo.el (tempo-display-completions):
12686         * eshell/em-hist.el (eshell-list-history):
12687         Replace use of obsolete argument of display-completion-list.
12689 2014-03-01  Juanma Barranquero  <lekktu@gmail.com>
12691         * icomplete.el (icomplete-completions):
12692         Revert back to using "..." when ?… cannot be displayed.
12694 2014-02-28  Juanma Barranquero  <lekktu@gmail.com>
12696         * finder.el (finder-unload-function): New function.
12698 2014-02-28  Juanma Barranquero  <lekktu@gmail.com>
12700         * dframe.el (dframe-detach):
12701         * find-dired.el (find-dired, find-name-dired):
12702         * finder.el (finder-mode-map, finder-mode-syntax-table)
12703         (finder-headmark, finder-select, finder-mouse-select):
12704         Fix docstring typos.
12706 2014-02-28  Martin Rudalics  <rudalics@gmx.at>
12708         Revert recent with-temp-buffer-window change (Bug#16816, Bug#16882).
12709         * window.el (with-temp-buffer-window): Revert change from 2014-02-21.
12710         Suggested by Thierry Volpiatto <thierry.volpiatto@gmail.com>.
12711         Fix doc-string based on a suggestion by Nicolas Richard
12712         <theonewiththeevillook@yahoo.fr>.
12713         * help.el (with-help-window): Fix doc-string.
12715 2014-02-28  Ivan Kanis  <ivan@kanis.fr>
12717         * net/shr.el (shr-image-animate): New option.
12718         (shr-put-image): Respect shr-image-animate.
12720 2014-02-28  Michael Albinus  <michael.albinus@gmx.de>
12722         * net/tramp-adb.el (tramp-adb-parse-device-names):
12723         Use `accept-process-output'.
12724         (tramp-adb-handle-file-truename): Cache the localname only.
12725         (tramp-adb-handle-make-directory)
12726         (tramp-adb-handle-delete-directory): Flush file properties correctly.
12727         (tramp-adb-handle-set-file-modes): Do not raise an error when file
12728         modes cannot be changed.
12730         * net/tramp-cache.el (tramp-flush-directory-property): Remove also
12731         file properties of symlinks.
12733 2014-02-28  Per Starbäck  <starback@stp.lingfil.uu.se>
12735         * textmodes/bibtex.el (bibtex-biblatex-entry-alist): Update
12736         required/optional fields to match development biblatex.  (Bug#16781)
12738 2014-02-28  Andy Sawyer  <andy.sawyer@gmail.com>  (tiny change)
12740         * saveplace.el (toggle-save-place):
12741         Fix argument handling.  (Bug#16673)
12743 2014-02-28  Glenn Morris  <rgm@gnu.org>
12745         * minibuffer.el (completions-first-difference)
12746         (completions-common-part, completion-hilit-commonality): Doc fixes.
12748 2014-02-28  Karl Berry  <karl@gnu.org>
12750         * info.el (Info-mode-map): Add H for describe-mode,
12751         to synchronize with standalone Info.
12753 2014-02-28  Emilio C. Lopes  <eclig@gmx.net>
12755         * progmodes/sql.el (sql-interactive-mode):
12756         Avoid setting global comint-input-ring-separator.  (Bug#16814)
12758 2014-02-27  Michael Albinus  <michael.albinus@gmx.de>
12760         * net/dbus.el (dbus--init-bus): Declare function.
12761         (dbus-path-local, dbus-interface-local): New defconst.
12762         (dbus-init-bus): Use them.
12763         (dbus-return-values-table): Extend doc.
12764         (dbus-handle-bus-disconnect): Extend error message.
12766 2014-02-27  Juanma Barranquero  <lekktu@gmail.com>
12768         * subr.el (y-or-n-p): Fix double space issue in message.
12770 2014-02-27  Michael Albinus  <michael.albinus@gmx.de>
12772         * net/tramp.el (tramp-call-process): Improve trace message.
12773         (tramp-handle-insert-file-contents): Trace error case.
12775         * net/tramp-adb.el (tramp-adb-file-name-handler-alist)
12776         <insert-directory>: Use `tramp-handle-insert-directory'.
12777         (tramp-adb-handle-insert-directory): Remove function.
12778         (tramp-adb-send-command-and-check): New defun, replacing
12779         `tramp-adb-command-exit-status'.  Change all callees.
12780         (tramp-adb-handle-file-attributes)
12781         (tramp-adb-handle-directory-files-and-attributes): Use it.
12782         (tramp-adb-ls-output-name-less-p):
12783         Use `directory-listing-before-filename-regexp'.
12784         (tramp-adb-handle-delete-directory): Flush also file properties of
12785         the truename of directory.
12786         (tramp-adb-handle-file-name-all-completions): Add "./" and "../".
12787         (tramp-adb-handle-file-local-copy): Make the local copy readable.
12788         (tramp-adb-handle-write-region): Implement APPEND.
12789         (tramp-adb-handle-rename-file): Make it more robust.  Flush file
12790         properties correctly.
12791         (tramp-adb-maybe-open-connection): Set `tramp-current-*'
12792         variables.  Check for connected devices only when needed.
12794 2014-02-27  Glenn Morris  <rgm@gnu.org>
12796         * minibuffer.el (completion-table-dynamic)
12797         (completion-table-with-cache): Doc fixes.
12799         * emacs-lisp/crm.el (crm-default-separator, crm-separator)
12800         (completing-read-multiple): Doc fixes.
12802 2014-02-27  Daniel Colascione  <dancol@dancol.org>
12804         * minibuffer.el (completion--nth-completion): Fix indentation.
12806         * net/tramp-sh.el (tramp-get-remote-path): Don't signal error when
12807         explicit tramp path is empty.
12809 2014-02-27  Glenn Morris  <rgm@gnu.org>
12811         * emacs-lisp/crm.el (completing-read-multiple):
12812         Empower help-enable-auto-load.
12814 2014-02-26  Glenn Morris  <rgm@gnu.org>
12816         * startup.el (command-line): Don't init the tty in daemon mode.
12818         Avoid calling tty-setup-hook twice, eg if a term file
12819         explicitly calls tty-run-terminal-initialization.  (Bug#16859)
12820         * faces.el (tty-run-terminal-initialization): Add run-hook argument.
12821         (tty-create-frame-with-faces): Use it.
12822         * startup.el (command-line): Pass run-hook argument
12823         to tty-run-terminal-initialization.
12825         * dired.el (dired-restore-desktop-buffer): Demote errors;
12826         eg in case a glob match fails.  (Bug#16884)
12828 2014-02-26  Dmitry Gutov  <dgutov@yandex.ru>
12830         * emacs-lisp/lisp.el (lisp--local-variables): Catch `end-of-file'
12831         error from `read-from-string'.  (Bug#16850)
12833         * emacs-lisp/ert.el (ert-run-tests-interactively): `read' the
12834         result of `completing-read' in the interactive form.  (Bug#16854)
12836 2014-02-25  Glenn Morris  <rgm@gnu.org>
12838         * image.el (image-animate, image-animate-timeout):
12839         Stop animating images in dead buffers.  (Bug#16878)
12841         * emacs-lisp/edebug.el (defmacro): Fix debug spec.  (Bug#16868)
12843         * faces.el (tty-setup-hook, tty-run-terminal-initialization):
12844         Doc fixes.
12845         * startup.el (term-setup-hook): Doc fix.  Make obsolete.
12846         * term/sun.el (sun-raw-prefix-hooks):
12847         Use tty-setup-hook instead of term-setup-hook.
12848         (terminal-init-sun): Construct message from bytecomp plist.
12849         * term/wyse50.el (enable-arrow-keys): Doc fix.
12851 2014-02-24  Juanma Barranquero  <lekktu@gmail.com>
12853         * term/sun.el (kill-region-and-unmark, sun-raw-prefix-hooks):
12854         Fix docstring typos.
12856 2014-02-24  Michael Albinus  <michael.albinus@gmx.de>
12858         * net/tramp-sh.el (tramp-sh-handle-file-truename): Improve last fix.
12860 2014-02-24  Nicolas Richard  <theonewiththeevillook@yahoo.fr>
12862         * minibuffer.el (completion--try-word-completion):
12863         Fix error when completing M-x commands (bug#16808).
12865 2014-02-24  Leo Liu  <sdl.web@gmail.com>
12867         * emacs-lisp/easy-mmode.el (define-minor-mode): Fix debug spec.
12869 2014-02-24  Juanma Barranquero  <lekktu@gmail.com>
12871         * apropos.el (apropos-print): Avoid formatting error when
12872         apropos-do-all and apropos-compact-layout are both t.
12874 2014-02-23  Juanma Barranquero  <lekktu@gmail.com>
12876         * apropos.el (apropos-property, apropos-all-words-regexp)
12877         (apropos-true-hit, apropos-variable, apropos-print):
12878         Fix docstring typos, and remove obsolete comment.
12880 2014-02-23  Michael Albinus  <michael.albinus@gmx.de>
12882         * net/tramp-sh.el (tramp-sh-handle-file-truename):
12883         Preserve trailing "/".  (Bug#16851)
12885 2014-02-23  Dmitry Gutov  <dgutov@yandex.ru>
12887         * progmodes/ruby-mode.el (ruby-smie-rules): Don't indent specially
12888         after `=>' (bug#16811).
12889         (ruby-smie-rules): Handle the inconsistent second element of the
12890         list returned by `smie-indent--parent'.
12891         (ruby-font-lock-keywords): Disqualify any identifier before `=' as
12892         method call.
12894 2014-02-23  Juanma Barranquero  <lekktu@gmail.com>
12896         * elec-pair.el (electric-pair-text-syntax-table)
12897         (electric-pair-syntax-info, electric-pair--syntax-ppss)
12898         (electric-pair--balance-info, electric-pair-mode): Fix docstring typos.
12899         (electric-pair--looking-at-unterminated-string-p): Doc fix.
12900         (electric-pair--inside-string-p): Doc fix.  Use `let', not `let*'.
12902 2014-02-22  Glenn Morris  <rgm@gnu.org>
12904         * imenu.el (imenu--generic-function): Doc fix.
12906         * register.el (frame-configuration-to-register): Make obsolete.
12908 2014-02-22  Juanma Barranquero  <lekktu@gmail.com>
12910         * desktop.el (desktop-save-buffer-p): Do not fail when
12911         desktop-files-not-to-save is nil.  Return t for true result
12912         as the doc says.
12914 2014-02-22  Daniel Colascione  <dancol@dancol.org>
12916         * net/secrets.el (secrets-create-item, secrets-search-items):
12917         Check that attribute values are strings, avoiding the construction
12918         of invalid dbus messages.
12920 2014-02-21  Juanma Barranquero  <lekktu@gmail.com>
12922         * emacs-lisp/gv.el: Avoid duplicating gv-expander and gv-setter in
12923         defun-declarations-alist.
12925 2014-02-21  Stefan Monnier  <monnier@iro.umontreal.ca>
12927         * emacs-lisp/cl-macs.el (cl-define-compiler-macro): Add indent rule
12928         (bug#16829).
12930 2014-02-21  Juanma Barranquero  <lekktu@gmail.com>
12932         * whitespace.el (whitespace-space, whitespace-hspace, whitespace-tab)
12933         (whitespace-newline, whitespace-trailing, whitespace-line)
12934         (whitespace-space-before-tab, whitespace-indentation, whitespace-empty)
12935         (whitespace-space-after-tab): Fix typo in docstrings.
12937 2014-02-21  Dmitry Gutov  <dgutov@yandex.ru>
12939         * progmodes/ruby-mode.el (auto-mode-alist): Add missing "or".
12941         * electric.el (electric-indent-functions-without-reindent):
12942         Add `yaml-indent-line'.
12944 2014-02-21  Juanma Barranquero  <lekktu@gmail.com>
12946         * w32-vars.el (w32-enable-synthesized-fonts): Mark as obsolete.
12947         It has done nothing for years; should be removed after the release.
12949         * simple.el (choose-completion): Fix docstring typo.
12950         (read-quoted-char-radix): Remove unneeded * in docstring.
12951         (process-file, kill-whole-line, pop-to-mark-command, set-mark-command):
12952         Don't escape parentheses unnecessarily in docstrings.
12954 2014-02-21  Martin Rudalics  <rudalics@gmx.at>
12956         Fix handling of window-min-height/-width (Bug#16738).
12957         * window.el (window--dump-window, window--dump-frame):
12958         New functions.
12959         (window--min-size-1): Account for window dividers.
12960         When window-resize-pixelwise is nil, delay rounding till after the
12961         sum of the window components has been calculated.
12962         (window--min-delta-1, window--max-delta-1): When PIXELWISE is
12963         nil make sure at least one text line and two text columns remain
12964         fully visible.
12965         (window-resize): Signal an error when window-resize-apply fails.
12966         (window--resize-child-windows): Fix calculation of by how many
12967         pixels a window can still be shrunk via window-new-normal.
12968         (adjust-window-trailing-edge): Call window--resizable with
12969         correct TRAIL argument.
12971         (with-temp-buffer-window): Don't evaluate BODY within
12972         with-current-buffer (Bug#16816).
12974 2014-02-21  Michael Albinus  <michael.albinus@gmx.de>
12976         * net/tramp.el (tramp-check-cached-permissions):
12977         Call `file-attributes' with `suffix' being a symbol but a string.
12979 2014-02-21  Daniel Colascione  <dancol@dancol.org>
12981         * net/dbus.el (dbus-init-bus-1): Declare new subr.
12982         (dbus-init-bus): New function: call into dbus-init-bus-1
12983         and installs a handler for the disconnect signal.
12984         (dbus-call-method): Rewrite to look for result in cons.
12985         (dbus-call-method-handler): Store result in cons.
12986         (dbus-check-event): Recognize events with nil sender as valid.
12987         (dbus-handle-bus-disconnect): New function.  React to bus
12988         disconnection signal by synthesizing dbus error for each
12989         pending synchronous or asynchronous call.
12990         (dbus-notice-synchronous-call-errors): New function.
12991         (dbus-handle-event): Raise errors directly only when `dbus-debug'
12992         is true, not all the time.
12994 2014-02-21  Juanma Barranquero  <lekktu@gmail.com>
12996         * w32-fns.el (w32-enable-italics, w32-charset-to-codepage-alist):
12997         Remove obsolescence declarations, these variables do not exist anymore.
12999         * savehist.el (savehist-save-minibuffer-history)
13000         (savehist-additional-variables, savehist-file, savehist-mode-hook)
13001         (savehist-save-hook, savehist-coding-system, savehist-loaded)
13002         (savehist-load, savehist-install, savehist-autosave): Fix typos;
13003         mostly, refer to "Savehist mode" when talking about the mode,
13004         and not the function.
13006         * saveplace.el (save-place): Remove redundant info in docstring.
13007         (save-place-forget-unreadable-files, toggle-save-place)
13008         (save-place-forget-unreadable-files, save-place-dired-hook):
13009         Fix typos and remove unneeded backslashes.
13011 2014-02-20  Michael Albinus  <michael.albinus@gmx.de>
13013         * net/tramp.el (ls-lisp-use-insert-directory-program): Declare.
13014         (tramp-handle-insert-directory): New defun, taken from tramp-gvfs.el.
13016         * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
13017         <insert-directory>: Use `tramp-handle-insert-directory'.
13018         (tramp-gvfs-handle-insert-directory): Remove function.
13020         * net/tramp-sh.el (tramp-sh-handle-insert-directory):
13021         Call `tramp-handle-insert-directory'.
13023 2014-02-20  Juanma Barranquero  <lekktu@gmail.com>
13025         * elec-pair.el (electric-pair-syntax-info): Do not check syntax
13026         before the start of buffer/region (bug#16799).
13028 2014-02-20  Glenn Morris  <rgm@gnu.org>
13030         * isearch.el (search-invisible): Doc fix.
13032 2014-02-20  W. Trevor King  <wking@tremily.us>  (tiny change)
13034         * term/xterm.el (xterm--version-handler): Adapt to xterm-280's output
13035         (bug#16657).
13037 2014-02-19  Juanma Barranquero  <lekktu@gmail.com>
13039         * frameset.el (frameset-restore): Delay removing an old frame's
13040         duplicate id until the new frame has been correctly created.
13042 2014-02-19  Michael Albinus  <michael.albinus@gmx.de>
13044         * net/tramp.el (tramp-handle-make-symbolic-link): New defun.
13045         (tramp-check-cached-permissions): Call `file-attributes' if the
13046         cache is empty.
13048         * net/tramp-adb.el (tramp-adb-file-name-handler-alist)
13049         <make-symbolic-link>: Use `tramp-handle-make-symbolic-link'.
13051         * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
13052         <make-symbolic-link>: Use `tramp-handle-make-symbolic-link'.
13053         (tramp-gvfs-maybe-open-connection): Set always connection
13054         properties, even if target is mounted already.
13056         * net/tramp-sh.el (tramp-color-escape-sequence-regexp):
13057         Set tramp-autoload cookie.
13058         (tramp-get-remote-touch): New defun.
13059         (tramp-sh-handle-set-file-times): Use it.
13060         (tramp-sh-handle-directory-files-and-attributes):
13061         Use `tramp-handle-directory-files-and-attributes' if neither stat
13062         nor perl are available on the remote host.
13064         * net/tramp-smb.el (tramp-smb-handle-insert-directory): Mark trailing
13065         "/".  Write long listing only when "l" belongs to the switches.
13067         * net/trampver.el: Update release number.
13069 2014-02-19  Juanma Barranquero  <lekktu@gmail.com>
13071         * frameset.el (frameset--reuse-frame): Remove workaround for bug#16793.
13073 2014-02-19  Martin Rudalics  <rudalics@gmx.at>
13075         * window.el (window-state-put): Allow WINDOW to refer to an
13076         internal window (Bug#16793).
13078 2014-02-19  Glenn Morris  <rgm@gnu.org>
13080         * textmodes/remember.el: Move provide statement to end.
13081         (remember-mode-map, remember-notes-mode-map, remember-notes-mode)
13082         (remember-notes): Doc fixes.
13084 2014-02-18  Stefan Monnier  <monnier@iro.umontreal.ca>
13086         * delsel.el (delete-char): Restore incorrectly erased property
13087         (bug#16795).
13089 2014-02-18  Juanma Barranquero  <lekktu@gmail.com>
13091         * frameset.el (frameset--restore-frame): When a frame is being reused
13092         and its root window is not alive, delete all the frame's windows before
13093         restoring the window state.  This works around the issue in bug#16793.
13095 2014-02-18  Glenn Morris  <rgm@gnu.org>
13097         * textmodes/remember.el (remember-data-directory)
13098         (remember-directory-file-name-format, remember-store-in-files)
13099         (remember-notes-initial-major-mode, remember-notes-bury-on-kill)
13100         (remember-notes-save-and-bury-buffer)
13101         (remember-notes--kill-buffer-query): Doc fixes.
13103         * desktop.el (desktop-save-mode, desktop-auto-save-timeout): Doc fixes.
13105 2014-02-17  Alan Mackenzie  <acm@muc.de>
13107         Connect electric-indent-mode up with CC Mode.  Bug #15478.
13108         * progmodes/cc-mode.el (c-initialize-cc-mode): Add CC Mode hooks
13109         to electric-indent-{,local-}-mode.
13110         (c-basic-common-init): Set electric-indent-inhibit.
13111         Initialize c-electric-flag from electric-indent-mode.
13112         (c-electric-indent-mode-hook, c-electric-indent-local-mode-hook):
13113         New hook functions which propagate electric-indent-mode to CC mode.
13115         * progmodes/cc-cmds.el (c-toggle-electric-state): When C-c C-l is
13116         hit, toggle electric-indent-local-mode.
13118         * electric.el (electric-indent-mode-has-been-called):
13119         New variable.
13121 2014-02-17  Juanma Barranquero  <lekktu@gmail.com>
13123         * frameset.el (frameset-cfg-id): New function.
13124         (frameset--reuse-frame, frameset-restore): Use it.
13125         (frameset--jump-to-register): Try harder to reuse frames (bug#16748).
13127 2014-02-17  Stefan Monnier  <monnier@iro.umontreal.ca>
13129         * ido.el (ido-file-internal): Remove unused var `d'.
13130         Use \` for to match BoS.  Fit within 80n columns.
13132 2014-02-17  Daniel Colascione  <dancol@dancol.org>
13134         * net/dbus.el (dbus-call-method): Work around bug#16775 by having
13135         dbus-call-method check for completion using a busy-wait loop with
13136         gradual backoff.
13138 2014-02-16  Michael Albinus  <michael.albinus@gmx.de>
13140         Sync with Tramp 2.2.9.
13142         * net/trampver.el: Update release number.
13144 2014-02-16  Dmitry Gutov  <dgutov@yandex.ru>
13146         * ido.el (ido-file-internal): Don't add the name of an existing
13147         directory twice.  (Bug#16747)
13149 2014-02-16  Glenn Morris  <rgm@gnu.org>
13151         * vc/ediff-init.el (ediff-use-faces, ediff-highlight-all-diffs):
13152         Do not use ediff-defvar-local on pre-defined variables.  (Bug#16744)
13154 2014-02-15  Michael R. Mauger  <michael@mauger.com>
13156         * progmodes/sql.el: Version 3.4
13157         (sql-oracle-options): New default value ("-L").
13158         (sql-mode-oracle-font-lock-keywords): Add placeholder highlighting.
13159         (sql-placeholders-filter): Correct placeholder pattern.
13160         (sql-read-table-name): Bug fix.  Detect absence of SQLi process.
13161         (sql-login-delay): New variable.
13162         (sql-product-interactive): Use it.
13164 2014-02-15  Juanma Barranquero  <lekktu@gmail.com>
13166         * frameset.el (frameset--jump-to-register): Check that buffer is live
13167         (bug#16749).
13169 2014-02-15  Glenn Morris  <rgm@gnu.org>
13171         * info.el (info-initialize): Revert 2014-01-10 change.
13173 2014-02-14  Glenn Morris  <rgm@gnu.org>
13175         * replace.el (map-query-replace-regexp)
13176         (read-regexp-defaults-function, read-regexp): Doc fixes.
13178         * dired.el (dired-read-regexp):
13179         * faces.el (list-faces-display):
13180         * misearch.el (multi-isearch-read-matching-buffers)
13181         (multi-isearch-read-matching-files):
13182         * play/cookie1.el (cookie-apropos):
13183         * progmodes/grep.el (grep-read-regexp): Doc fixes.
13185         * textmodes/remember.el (remember): Use frameset-to-register
13186         rather than frame-configuration-to-register.
13188 2014-02-14  Jay Belanger  <jay.p.belanger@gmail.com>
13190         * calc/calc-menu.el (calc-vectors-menu): Remove menu item for
13191         incorrect keybinding.
13193 2014-02-13  Daniel Colascione  <dancol@dancol.org>
13195         * progmodes/flymake.el (flymake-post-syntax-check): Widen buffer
13196         when adding overlays so that line numbers from compiler match line
13197         numbers we use.
13199 2014-02-13  Glenn Morris  <rgm@gnu.org>
13201         * mail/rmail.el (rmail-probe): Be less strict.  (Bug#16743)
13203         * jit-lock.el (jit-lock-mode): Doc fix.
13205 2014-02-13  Juanma Barranquero  <lekktu@gmail.com>
13207         * apropos.el (apropos-read-pattern): When the user passes an empty
13208         string, give a more helpful error message than "Wrong type
13209         argument: stringp, nil".
13211 2014-02-13  Stefan Monnier  <monnier@iro.umontreal.ca>
13213         * jit-lock.el (jit-lock-mode): Keep it disabled in indirect buffers.
13215 2014-02-13  Glenn Morris  <rgm@gnu.org>
13217         * finder.el (finder-known-keywords, finder-mode-map): Doc fixes.
13219 2014-02-12  Stefan Monnier  <monnier@iro.umontreal.ca>
13221         * emulation/cua-base.el (cua-scroll-up, cua-scroll-down): Mark them as
13222         shift-select commands.
13224 2014-02-12  Dmitry Gutov  <dgutov@yandex.ru>
13226         * progmodes/js.el (js-indent-line): Don't widen.
13227         http://lists.gnu.org/archive/html/emacs-devel/2012-06/msg00276.html
13229 2014-02-12  Glenn Morris  <rgm@gnu.org>
13231         * icomplete.el (icomplete): Add info-link to defgroup.
13232         (icomplete-with-completion-tables, icomplete-minibuffer-setup-hook)
13233         (icomplete-minibuffer-map, icomplete-mode)
13234         (icomplete-simple-completing-p, icomplete-completions): Doc fixes.
13236         * emacs-lisp/package.el (package-menu-mode-map): Tweak menu.
13237         (package-menu-filter): Rename from package-menu-filter-interactive.
13238         Doc fix.
13240 2014-02-11  Juanma Barranquero  <lekktu@gmail.com>
13242         * frameset.el (frameset--jump-to-register): Select the required
13243         window and buffer before restoring position (bug#16696).
13245 2014-02-11  Lars Ingebrigtsen  <larsi@gnus.org>
13247         * dired.el (dired-get-marked-files): Clarify doc (bug#11534).
13249 2014-02-10  Glenn Morris  <rgm@gnu.org>
13251         * jit-lock.el (jit-lock-force-redisplay): Doc fix.  (Bug#14394)
13253 2014-02-10  Eli Zaretskii  <eliz@gnu.org>
13255         * w32-common-fns.el (x-get-selection): Doc fix.
13256         * select.el (x-get-selection): Doc fix.  (Bug#15109)
13258         * face-remap.el (face-remap-add-relative)
13259         (face-remap-remove-relative, face-remap-reset-base)
13260         (face-remap-set-base): Call force-mode-line-update to redisplay
13261         the current buffer due to potential change in faces.  (Bug#16709)
13263 2014-02-10  Michael Albinus  <michael.albinus@gmx.de>
13265         * net/tramp-sh.el (tramp-sh-handle-vc-registered): Apply heredoc
13266         script more robustly.
13268 2014-02-10  Lars Ingebrigtsen  <larsi@gnus.org>
13270         * dired.el (dired-get-marked-files): Doc fix (bug#11534).
13272         * simple.el (choose-completion): Doc fix (bug#14160).
13274         * subr.el (event-start): Say what a nil EVENT value means.
13276         * kmacro.el (kmacro-bind-to-key): Say that the parameter is unused
13277         (bug#14197).
13279         * progmodes/grep.el (find-program): Doc fix (bug#14289).
13281         * files.el (confirm-kill-emacs): Clarify doc (bug#15455).
13283         * emacs-lisp/lisp.el (up-list): Doc fix (bug#15832).
13285         * files.el (confirm-kill-emacs): Allow specifying an arbitrary
13286         predicate function (bug#15455).
13288 2014-02-10  Dmitry Gutov  <dgutov@yandex.ru>
13290         * ielm.el (inferior-emacs-lisp-mode): Instead of
13291         `comment-use-global-state', set `comment-use-syntax'.
13293 2014-02-10  Glenn Morris  <rgm@gnu.org>
13295         * emacs-lisp/gulp.el (gulp-discard): Add emacs-devel.
13297 2014-02-09  Alan Mackenzie  <acm@muc.de>
13299         Fix c-invalidate-state-cache on narrowed buffers.
13300         * progmodes/cc-defs.el (c-with-all-but-one-cpps-commented-out):
13301         Widen when setting and clearing the CPP delimiter properties.
13303 2014-02-09  Lars Ingebrigtsen  <larsi@gnus.org>
13305         * help.el (describe-bindings): Doc fix (bug#9888).
13307         * files.el (save-buffer): Use ARG as the parameter name for
13308         consistency (bug#10346).
13309         (save-buffer): Clarify the 0 argument (bug#10346).
13311         * cus-edit.el (customize-apropos): Fix error string.
13312         (custom-buffer-create): Doc fix (bug#11122).
13313         (custom-sort-items): Doc fix (bug#11121).
13315         * repeat.el (repeat-message-function): Reword doc slightly (bug#11619).
13317         * icomplete.el (icomplete-with-completion-tables): Doc fix (bug#11654).
13318         (icomplete-simple-completing-p): Mention the previous variable.
13320         * font-lock.el (font-lock-value-in-major-mode): Clarify the
13321         meaning of the parameter (bug#12282).
13323         * files.el (find-file-noselect): Clarify prompt when changing
13324         readedness (bug#13261).
13325         (locate-file): Suffixes aren't returned, so don't say that they
13326         are (bug#12674).
13327         (backup-inhibited): Doc clarification (bug#12525).
13329         * dired.el (dired-internal-do-deletions): Don't say "Deleting..."
13330         before we actually start to delete things (bug#16331).
13332         * subr.el (event-start): Doc fix (bug#14228).
13333         (event-end): Ditto.
13335 2014-02-09  Glenn Morris  <rgm@gnu.org>
13337         * emacs-lisp/warnings.el (lwarn):
13338         Empower help-enable-auto-load.  (Bug#15940)
13340 2014-02-08  Andreas Schwab  <schwab@linux-m68k.org>
13342         * vc/log-edit.el (log-edit-comment-to-change-log): Doc fix.
13343         (Bug#16690)
13345 2014-02-08  Michael Albinus  <michael.albinus@gmx.de>
13347         * net/tramp-sh.el (tramp-sh-handle-start-file-process):
13348         Insert output at end of buffer.  (Bug#16120)
13350 2014-02-08  Lars Ingebrigtsen  <larsi@gnus.org>
13352         * simple.el (choose-completion-string-functions): Document new
13353         calling convention (bug#14153).
13354         (execute-extended-command): Clarify doc string (bug#13373).
13356         * kmacro.el (kmacro-exec-ring-item): Doc fix (bug#14198).
13358         * find-dired.el (find-name-dired): Doc fix (bug#14290).
13359         (find-grep-dired): Doc fix (bug#14288).
13361 2014-02-08  Juri Linkov  <juri@jurta.org>
13363         * isearch.el (isearch-quote-char): Check character validity
13364         like in `quoted-insert' (bug#16677).
13366 2014-02-08  Lars Ingebrigtsen  <larsi@gnus.org>
13368         * files.el (find-file-visit-truename): Doc clarification (bug#14697).
13370         * isearch.el (isearch-hide-immediately): Doc clarification
13371         (bug#14723).
13373         * simple.el (line-move): Document utility function used many
13374         places in the Emacs sources (bug#14843).
13376         * dired.el (dired-mode-map): Make :help text more accurate (bug#14893).
13377         (dired-prev-marked-file): Doc fix (bug#14855).
13378         (dired-up-directory): Doc fix (bug#14848).
13380         * minibuffer.el (read-file-name): Doc clarification (bug#15096).
13382         * files.el (file-relative-name): Doc fix (bug#15159).
13384         * fringe.el (fringe-styles): Doc fix (bug#15239).
13386         * isearch.el (isearch-filter-predicate): Documentation typo fix
13387         (bug#15474).
13389         * info-look.el (info-lookup-symbol): Document MODE (bug#15498).
13391         * isearch.el (isearch-cmds): Doc clarification (bug#15547).
13393         * replace.el (replace-match-maybe-edit): Doc clarification
13394         (bug#15632).
13396         * subr.el (add-to-list): Refill the paragraphs (bug#15791).
13398         * macros.el (insert-kbd-macro): Doc fix (bug#16025).
13400 2014-02-08  Glenn Morris  <rgm@gnu.org>
13402         * help-fns.el (describe-variable):
13403         Check {file,dir}-local-variables-alist, and buffer-file-name,
13404         in the correct buffer.
13406 2014-02-08  Ingo Lohmar  <i.lohmar@gmail.com>
13408         * help-fns.el (describe-variable): Fix the case where
13409         a value is directory-local with no dir-locals file.  (Bug#16635)
13411 2014-02-08  Glenn Morris  <rgm@gnu.org>
13413         * abbrev.el (edit-abbrevs-mode):
13414         Derive from fundamental-mode.  (Bug#16682)
13416 2014-02-07  Juanma Barranquero  <lekktu@gmail.com>
13418         * simple.el (quoted-insert): Check character validity (bug#16677).
13420 2014-02-07  Juri Linkov  <juri@jurta.org>
13422         * desktop.el (desktop-read): Claim the lock when the owner is not
13423         the current process.  (Bug#16157)
13425 2014-02-07  Juri Linkov  <juri@jurta.org>
13427         * desktop.el (desktop-buffers-not-to-save): Change default from nil
13428         to "\\` ".  (Bug#16651)
13430 2014-02-07  Juri Linkov  <juri@jurta.org>
13432         * desktop.el (desktop-save-mode): Call `desktop-auto-save-set-timer'
13433         when enabling, and `desktop-auto-save-cancel-timer' when disabling.
13434         (desktop-auto-save-cancel-timer): New function with some code from
13435         `desktop-auto-save-set-timer'.
13436         (after-init-hook): Don't call `desktop-auto-save-set-timer'.
13437         Instead of setting `desktop-save-mode' to nil, call
13438         `desktop-save-mode' with arg 0.  (Bug#16630)
13440 2014-02-07  Glenn Morris  <rgm@gnu.org>
13442         * hi-lock.el (hi-lock-auto-select-face, hi-lock-line-face-buffer)
13443         (hi-lock-face-buffer, hi-lock-face-phrase-buffer)
13444         (hi-lock-face-symbol-at-point, hi-lock-read-face-name): Doc tweaks.
13446         * obsolete/iswitchb.el: Move to obsolete/.
13447         * simple.el (iswitchb-mode): Add manual autoloads to ease transition,
13448         since obsolete/ is not scanned for autoloads.
13449         * emacs-lisp/authors.el (authors-valid-file-names):
13450         Add iswitchb.el.
13452         * obsolete/meese.el: Restore as obsolete (deleted 2014-01-11).
13453         Disable now non-functional find-file-hook.
13455 2014-02-06  Michael Albinus  <michael.albinus@gmx.de>
13457         * net/tramp-sh.el (tramp-sh-handle-start-file-process): Use "&&"
13458         instead of ";" in order to avoid additional prompts.  Let heredoc
13459         scripts read from tty.  (Bug#16582)
13460         (tramp-send-command): No special handling of heredocs, it isn't
13461         necessary anymore.
13463 2014-02-06  Stefan Monnier  <monnier@iro.umontreal.ca>
13465         * emacs-lisp/lisp.el (lisp-completion-at-point): Symbols don't start
13466         with a space (bug#16664).  Limit the symbols considered to the ones
13467         that are bound or fbound (bug#16646).
13469 2014-02-06  Glenn Morris  <rgm@gnu.org>
13471         * epa.el (epa-mail-aliases): Doc fix.
13473 2014-02-06  Dmitry Gutov  <dgutov@yandex.ru>
13475         * emacs-lisp/lisp.el (lisp-completion-at-point):
13476         Use `completion-table-merge' instead of `completion-table-in-turn'
13477         (bug#16604).
13479         * minibuffer.el (completion-table-merge): New function.
13481 2014-02-05  Michael Albinus  <michael.albinus@gmx.de>
13483         * net/tramp-sh.el (tramp-end-of-heredoc): New defconst.
13484         (tramp-sh-handle-set-file-acl)
13485         (tramp-sh-handle-start-file-process)
13486         (tramp-sh-handle-write-region, tramp-sh-handle-vc-registered)
13487         (tramp-find-executable, tramp-send-command): Use it.
13489 2014-02-05  Glenn Morris  <rgm@gnu.org>
13491         * epa.el (epa-mail-aliases): Fix custom type.  Doc tweak.
13493 2014-02-04  Fabián Ezequiel Gallina  <fgallina@gnu.org>
13495         * progmodes/python.el (python-shell-send-string)
13496         (python-shell-send-string-no-output): Fix docstring (Bug#16547).
13498 2014-02-04  Anders Lindgren  <andlind@gmail.com>
13500         * emacs-lisp/ert.el (ert--activate-font-lock-keywords): Allow dashes in
13501         the names (bug#16620).
13503 2014-02-03  Martin Rudalics  <rudalics@gmx.at>
13505         * faces.el (window-divider): New default value.  Rewrite doc-string.
13506         (window-divider-first-pixel, window-divider-last-pixel): New faces.
13508 2014-02-03  Dmitry Gutov  <dgutov@yandex.ru>
13510         * progmodes/ruby-mode.el (ruby-font-lock-keywords): `private',
13511         `protected' and `public' can also be called without arguments.
13513 2014-02-03  Glenn Morris  <rgm@gnu.org>
13515         * register.el (window-configuration-to-register)
13516         (frame-configuration-to-register): Unadvertise unused argument.
13517         * frameset.el (frameset-to-register): Remove unused argument.
13519         * frameset.el (frameset-to-register):
13520         * kmacro.el (kmacro-to-register):
13521         * register.el (increment-register):
13522         * calc/calc-yank.el (calc-copy-to-register, calc-insert-register)
13523         (calc-append-to-register, calc-prepend-to-register):
13524         * play/gametree.el (gametree-layout-to-register)
13525         (gametree-apply-register-layout):
13526         * textmodes/picture.el (picture-clear-rectangle-to-register)
13527         (picture-yank-rectangle-from-register):
13528         * vc/emerge.el (emerge-combine-versions-register):
13529         Use register-read-with-preview to read registers.
13531 2014-02-03  João Távora  <joaotavora@gmail.com>
13533         * elec-pair.el (electric-pair-backward-delete-char): Don't error
13534         when at beginning of (possibly narrowed) buffer.
13536 2014-02-02  Daniel Colascione  <dancol@dancol.org>
13538         * help-at-pt.el (help-at-pt-string, help-at-pt-maybe-display):
13539         Also try to display local help from just before point.
13541 2014-02-02  Alan Mackenzie  <acm@muc.de>
13543         c-parse-state.  Don't "append-lower-brace-pair" in certain
13544         circumstances.  Also fix an obscure bug where "\\s!" shouldn't be
13545         recognized as a comment.
13547         * progmodes/cc-engine.el (c-state-pp-to-literal): Check for "\\s!"
13548         as well as normal comment starter.
13549         (c-parse-state-get-strategy): Extra return possibility
13550         'back-and-forward.
13551         (c-remove-stale-state-cache): Extra element CONS-SEPARATED in
13552         return value list to indicate replacement of a brace-pair cons
13553         with its car.
13554         (c-parse-state-1): With 'back-and-forward, only call
13555         c-append-lower-brace-pair-to state-cache when cons-separated.
13557 2014-02-02  Jan Djärv  <jan.h.d@swipnet.se>
13559         * term/ns-win.el (ns-suspend-error): New function.
13560         (ns-initialize-window-system): Add ns-suspend-error to
13561         suspend-hook (Bug#16612).
13563 2014-02-02  Daniel Colascione  <dancol@dancol.org>
13565         * progmodes/cc-defs.el (c-find-assignment-for-mode):
13566         Make loading cc-mode silent.
13568 2014-02-02  Daniel Colascione  <dancol@dancol.org>
13570         * comint.el (comint-prompt-read-only): Change doc to suggest
13571         remap keybinding.
13573 2014-02-02  Glenn Morris  <rgm@gnu.org>
13575         * register.el (register-read-with-preview, point-to-register)
13576         (window-configuration-to-register, frame-configuration-to-register)
13577         (jump-to-register, number-to-register, view-register, insert-register)
13578         (copy-to-register, append-to-register, prepend-to-register)
13579         (copy-rectangle-to-register): Doc fixes.
13581 2014-02-02  Stefan Monnier  <monnier@iro.umontreal.ca>
13583         * help-fns.el (help-C-file-name): Handle advised functions (bug#16478).
13584         * emacs-lisp/find-func.el (find-function-C-source): Idem.
13585         * emacs-lisp/nadvice.el (advice--cd*r): New function.
13586         * help-fns.el (describe-function-1): Use it.
13588 2014-02-02  Glenn Morris  <rgm@gnu.org>
13590         * register.el (register-preview-default): New function,
13591         split from register-preview.
13592         (register-preview-function): Rename from register-preview-functions,
13593         make it not a hook.
13594         (register-preview): Use register-preview-function.
13595         (register-read-with-preview): Error on non-character event.  (Bug#16595)
13597 2014-02-01  Dmitry Gutov  <dgutov@yandex.ru>
13599         * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Check for
13600         `:' before binary operators (bug#16609).  Don't check for `:'
13601         before `[' and `(', or their syntax status.  A percent literal
13602         can't end with either.
13603         (ruby-font-lock-keywords): For built-ins that require arguments,
13604         check that they're followed by something that looks like argument
13605         (bug#16610).
13607 2014-02-01  Lars Ingebrigtsen  <larsi@gnus.org>
13609         * subr.el (butlast): Document what an omitted N means (bug#13437).
13610         (nbutlast): Ditto.
13612 2014-01-31  Lars Ingebrigtsen  <larsi@gnus.org>
13614         * net/shr.el (shr-generic): Make into a defsubst to make the stack
13615         depth shallower (bug#16587).
13616         (shr-tag-svg): Respect `shr-inhibit-images'.
13617         (shr-dom-to-xml): Respect `shr-blocked-images' (bug#15882).
13619 2014-01-31  Dmitry Gutov  <dgutov@yandex.ru>
13621         * progmodes/ruby-mode.el (ruby-align-chained-calls): New option.
13622         (ruby-smie-grammar): Make "." right-associative.  Make its priority
13623         lower than the ternary and all binary operators.
13624         (ruby-smie-rules): Indent "(" relative to the first non-"."
13625         parent, or the first "." parent at indentation.
13626         Use `ruby-align-chained-calls' for indentation of "." tokens.
13627         (Bug#16593)
13629 2014-01-31  Juri Linkov  <juri@jurta.org>
13631         * sort.el (delete-duplicate-lines): Remove `:weakness 'key'
13632         from `make-hash-table'.
13634         * textmodes/ispell.el (ispell-init-process): Change message format
13635         to be consistent with other messages.
13637 2014-01-31  Glenn Morris  <rgm@gnu.org>
13639         * delsel.el (delete-selection-mode): Doc fix.
13641         * emacs-lisp/trace.el (trace--read-args, trace-function-foreground)
13642         (trace-function-background): Doc fixes.
13644         * ido.el (ido-use-virtual-buffers): Doc fix.
13645         Reset :version, since the default value has not changed.
13647         * register.el (register-preview-delay, register-read-with-preview):
13648         Doc fixes.
13650         * mail/reporter.el (reporter-dump-variable): In case of void-variable,
13651         do not mess with mail-buffer position (fixes 2009-11-03 change).
13652         * progmodes/cc-mode.el (c-submit-bug-report):
13653         Check auto-fill-mode is bound.  (Bug#16592)
13655 2014-01-31  Darren Hoo  <darren.hoo@gmail.com>
13657         * startup.el (fancy-splash-image-file): New function,
13658         split from fancy-splash-head.
13659         (fancy-splash-head, use-fancy-splash-screens-p): Use it,
13660         so that we are both using the same image.  (Bug#16574)
13662 2014-01-30  Glenn Morris  <rgm@gnu.org>
13664         * simple.el (eval-expression): Doc fix.
13666         * hexl.el (hexl-mode-hook):
13667         * ielm.el (ielm-mode-hook):
13668         * emacs-lisp/lisp-mode.el (emacs-lisp-mode-hook)
13669         (lisp-interaction-mode-hook):
13670         * progmodes/cfengine.el (cfengine3-documentation-function):
13671         Replace obsolete alias `turn-on-eldoc-mode' with `eldoc-mode'.
13673 2014-01-30  Stefan Monnier  <monnier@iro.umontreal.ca>
13675         * emacs-lisp/eieio-opt.el (eieio-help-generic): Don't assume `generic'
13676         is a symbol (bug#16584).
13678 2014-01-30  Glenn Morris  <rgm@gnu.org>
13680         * help.el (help-for-help-internal): Add "P" to text.
13682 2014-01-29  Glenn Morris  <rgm@gnu.org>
13684         * simple.el (just-one-space, cycle-spacing): Doc fixes.
13686 2014-01-28  Martin Rudalics  <rudalics@gmx.at>
13688         * window.el (fit-frame-to-buffer): Fix calculations for margins and
13689         height constraints.
13691 2014-01-28  Luke Lee  <luke.yx.lee@gmail.com>
13693         * progmodes/hideif.el: Extend to full CPP expression syntax.
13694         (hif-token-alist): Add missing tokens.
13695         (hif-token-regexp): Add support for float/octal/hex immediates.
13696         (hif-string-literal-regexp): New const.
13697         (hif-tokenize): Recognize strings and float/octal/hex immediates.
13698         (hif-exprlist): New function.
13699         (hif-parse-if-exp): Use it.
13700         (hif-logior-expr, hif-logxor-expr, hif-logand-expr, hif-comp-expr)
13701         (hif-logshift-expr, hif-muldiv-expr, hif-lognot, hif-shiftleft)
13702         (hif-shiftright, hif-multiply, hif-divide, hif-modulo, hif-equal)
13703         (hif-logxor, hif-comma): New functions.
13705 2014-01-28  Glenn Morris  <rgm@gnu.org>
13707         * textmodes/fill.el (fill-single-char-nobreak-p): Doc tweak.
13709         * indent.el (tab-stop-list): Doc fix.  Add :version.
13711         * vc/pcvs.el (vc-editable-p, vc-checkout): Remove unused declarations.
13712         (cvs-append-to-ignore): Add compatibility alias.
13714 2014-01-27  Glenn Morris  <rgm@gnu.org>
13716         * dired.el (dired-hide-details-mode): Don't autoload it,
13717         since it cannot be used outside Dired buffers anyway.
13719         * emulation/cua-base.el (cua-mode): Doc fix.
13721         * dired.el (dired-hide-details-hide-symlink-targets)
13722         (dired-hide-details-hide-information-lines)
13723         (dired-hide-details-mode): Doc fixes.
13725         * shadowfile.el (shadow-info-file, shadow-todo-file): Doc fix.
13726         * strokes.el (strokes-file): Doc fix.  Bump :version.
13727         (strokes-help): Doc fix.
13728         * emulation/viper-init.el (viper-vi-style-in-minibuffer): Doc fix.
13729         * emulation/viper.el (viper): Doc fix for custom group.
13730         (top-level): Remove oh-so-no-longer-relevant text about vip.
13731         * obsolete/otodo-mode.el (todo-prefix): Doc fix.
13733         * ido.el (ido-save-directory-list-file):
13734         * saveplace.el (save-place-file):
13735         * calendar/timeclock.el (timeclock-file):
13736         * net/quickurl.el (quickurl-url-file):
13737         * obsolete/otodo-mode.el (todo-file-do, todo-file-done, todo-file-top):
13738         * progmodes/idlwave.el (idlwave-config-directory):
13739         * textmodes/remember.el (remember-data-file):
13740         Bump :version.
13742 2014-01-26  Glenn Morris  <rgm@gnu.org>
13744         * progmodes/opascal.el (opascal-tab-always-indents, opascal-tab):
13745         Doc fix.  Make obsolete.
13746         (opascal-mode): No longer mention opascal-tab-always-indents in doc.
13748         * sort.el (delete-duplicate-lines): Doc fix.
13750 2014-01-25  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
13752         * progmodes/ada-mode.el (ada):
13753         * woman.el (woman): Link to info manual and Commentary section.
13755         * progmodes/flymake.el (flymake):
13756         * nxml/nxml-mode.el (nxml):
13757         * net/eww.el (eww):
13758         * speedbar.el (speedbar, speedbar-faces, speedbar-vc):
13759         * htmlfontify.el (htmlfontify):
13760         * ses.el (ses):
13761         * epa.el (epa):
13762         * ido.el (ido): Link to info manual.
13764 2014-01-25  Leo Liu  <sdl.web@gmail.com>
13766         * progmodes/flymake.el (flymake-make-overlay): No rear advance.
13768 2014-01-25  Adam Sjøgren  <asjo@koldfront.dk>
13770         * net/shr.el (shr-tag-img): Prefer the title over the alt text
13771         (bug#16537).
13773 2014-01-24  Juanma Barranquero  <lekktu@gmail.com>
13775         * net/eww.el (eww-download-callback):
13776         Fix reference to eww-download-directory.
13778         * emacs-lisp/bytecomp.el (byte-compile-file):
13779         Remove unused local variable `file-name'.
13781 2014-01-24  Glenn Morris  <rgm@gnu.org>
13783         * woman.el (woman-default-faces, woman-monochrome-faces):
13784         Fix obsolescence specification.
13786         * subr.el (with-demoted-errors): Doc fix.
13788 2014-01-23  Stefan Monnier  <monnier@iro.umontreal.ca>
13790         * emacs-lisp/cl-macs.el: Improve type->predicate mapping (bug#16520).
13791         (cl--macroexp-fboundp): New function.
13792         (cl--make-type-test): Use it.
13794 2014-01-23  Glenn Morris  <rgm@gnu.org>
13796         * emacs-lisp/lisp-mode.el (eval-print-last-sexp, eval-last-sexp):
13797         * simple.el (eval-expression): Doc fixes.
13799 2014-01-22  Glenn Morris  <rgm@gnu.org>
13801         * emacs-lisp/authors.el (authors-fixed-entries): Addition.
13803 2014-01-22  Stefan Monnier  <monnier@iro.umontreal.ca>
13805         * emacs-lisp/package.el: Write files silently.
13806         (package-autoload-ensure-default-file, package--write-file-no-coding)
13807         (package-generate-description-file, package--download-one-archive)
13808         (package-install-from-archive): Tell `write-region' to stay quiet.
13809         (package-menu-mode, package-menu--print-info): Omit the Archive column
13810         if there's only one archive.
13811         (package-all-keywords, package--has-keyword-p): Remove dead code.
13813 2014-01-22  Glenn Morris  <rgm@gnu.org>
13815         * version.el (emacs-bzr-version-bzr): Fix typo.
13817         * version.el (emacs-repository-get-version):
13818         Check either .bzr or .git, but not both.
13819         Make the git case actually use the DIR argument, and return nil
13820         rather than the empty string.
13821         Avoid error if .git exists but the git executable is not found.
13823 2014-01-22  Martin Rudalics  <rudalics@gmx.at>
13825         Fixes in window size functions around Bug#16430 and Bug#16470.
13826         * window.el (window-total-size, window-size): New argument ROUND.
13827         (window--min-delta-1, window-min-delta, window--max-delta-1):
13828         Be more conservative when calculating the numbers of lines or
13829         columns a window can shrink (Bug#16430).
13830         (fit-window-to-buffer): Simplify code.
13831         * term.el (term-window-width): Call window-body-width again.
13833 2014-01-22  Glenn Morris  <rgm@gnu.org>
13835         * image.el (image-format-suffixes): Doc fix.
13837         * international/quail.el (quail-define-package): Doc fix.
13839         * emacs-lisp/authors.el (authors-valid-file-names)
13840         (authors-renamed-files-alist): Additions.
13842         * vc/vc-git.el (vc-git-print-log): Remove --follow;
13843         reverts 2014-01-09 change.  (Bug#16422)
13845         * calc/calc-embed.el (thing-at-point-looking-at):
13846         * emacs-lisp/map-ynp.el (x-popup-dialog):
13847         * obsolete/lmenu.el (x-popup-dialog):
13848         * emacs-lisp/package.el (url-recreate-url):
13849         * mail/mailclient.el (clipboard-kill-ring-save):
13850         * subr.el (x-popup-dialog): Update declaration.
13851         * mail/rmail.el (rmail-mime-message-p):
13852         * window.el (tool-bar-lines-needed): Remove unnecessary declaration.
13854 2014-01-21  Daniel Colascione  <dancol@dancol.org>
13856         * progmodes/sh-script.el (sh--inside-noncommand-expression):
13857         Correctly detect when we're inside an arithmetic expansion form
13858         containing nested parenthesis.
13859         (sh--maybe-here-document): Use `sh--inside-noncommand-expression'
13860         to detect cases where we shouldn't expand "<<" to a heredoc
13861         skeleton.
13863 2014-01-21  Stefan Monnier  <monnier@iro.umontreal.ca>
13865         * emacs-lisp/eldoc.el: Properly remove message in minibuffer case.
13866         (eldoc--message-command-p): New function.
13867         (eldoc-display-message-p): Use it.
13868         (eldoc-pre-command-refresh-echo-area): In the minibuffer case, the
13869         message is not automatically erased for us.
13870         (eldoc-print-current-symbol-info): Erase previous message, if any.
13872 2014-01-21  Tassilo Horn  <tsdh@gnu.org>
13874         * textmodes/reftex.el (reftex-create-bibtex-file): Fix autoload to
13875         specify it's an interactive function.
13877         * textmodes/reftex-cite.el (reftex-all-used-citation-keys):
13878         Fix regex used for scanning for citation keys which failed for
13879         citations with optional arguments.
13881 2014-01-21  Leo Liu  <sdl.web@gmail.com>
13883         * simple.el (read--expression): Don't enable eldoc-mode.
13885 2014-01-20  Stefan Monnier  <monnier@iro.umontreal.ca>
13887         * simple.el (move-beginning-of-line): Make sure we don't move forward
13888         (bug#16497).
13890 2014-01-20  Juri Linkov  <juri@jurta.org>
13892         * saveplace.el (toggle-save-place, save-place-to-alist)
13893         (save-places-to-alist, save-place-dired-hook): Add (derived-mode-p
13894         'dired-mode) before checking for dired-directory.  (Bug#16477)
13896 2014-01-20  Juri Linkov  <juri@jurta.org>
13898         * indent.el (indent-line-to): Use backward-to-indentation
13899         instead of back-to-indentation.  (Bug#16461)
13901 2014-01-20  Paul Eggert  <eggert@cs.ucla.edu>
13903         Revert some of the CANNOT_DUMP fix (Bug#16494).
13904         Because of this, "make bootstrap" won't work if CANNOT_DUMP=yes,
13905         but fixing this can wait until after the next release.
13906         * Makefile.in (emacs): Keep EMACSLOADPATH empty.
13908 2014-01-19  Michael Albinus  <michael.albinus@gmx.de>
13910         * eshell/esh-mode.el (eshell-password-prompt-regexp):
13911         Use `password-word-equivalents'.
13912         (eshell-watch-for-password-prompt): Let-bind `case-fold-search'
13913         to t.  (Bug#5664, Bug#13124)
13915 2014-01-19  Alan Mackenzie  <acm@muc.de>
13917         Bind open-paren-in-column-0-is-defun-start to nil at some entry
13918         points.
13919         * progmodes/cc-engine.el (c-invalidate-state-cache-1)
13920         (c-parse-state-1, c-guess-basic-syntax): Bind it here.
13921         * progmodes/cc-mode.el (c-before-change, c-after-change)
13922         (c-font-lock-fontify-region): Bind it here.
13924 2014-01-19  Martin Rudalics  <rudalics@gmx.at>
13926         * term.el (term-window-width): Call window-text-width instead of
13927         window-width (Bug#16470).
13929 2014-01-18  Paul Eggert  <eggert@cs.ucla.edu>
13931         * simple.el (password-word-equivalents): Remove duplicates.
13932         Sort, to make this easier next time.
13933         Downcase.  Omit ": " after "jelszó".
13935 2014-01-18  Jan Djärv  <jan.h.d@swipnet.se>
13937         * term/common-win.el (saved-region-selection): Defvar it.
13938         (x-select-text): Set saved-region-selection (Bug#16382).
13940 2014-01-18  Glenn Morris  <rgm@gnu.org>
13942         * emacs-lisp/authors.el (authors-aliases)
13943         (authors-renamed-files-alist): Add some entries.
13945 2014-01-17  Michael Albinus  <michael.albinus@gmx.de>
13947         * net/tramp.el (tramp-password-prompt-regexp):
13948         Use `password-word-equivalents' if available.
13949         (tramp-action-password, tramp-process-one-action)
13950         (tramp-read-passwd): Let-bind `case-fold-search' to t.  (Bug#13124)
13952 2014-01-17  Chong Yidong  <cyd@gnu.org>
13954         * simple.el (password-word-equivalents): New defcustom.
13955         * comint.el (comint-password-prompt-regexp): Use it.  Bump version
13956         to 24.4.
13957         (comint-watch-for-password-prompt): Let-bind `case-fold-search'
13958         to t.  (Bug#13124)
13960 2014-01-17  Dmitry Gutov  <dgutov@yandex.ru>
13962         * progmodes/ruby-mode.el (ruby-alignable-keywords): New constant.
13963         (ruby-align-to-stmt-keywords): Change the default value.
13964         Use `ruby-alignable-keywords' to generate the possible customization
13965         choices.
13966         (ruby-smie-rules): Instead of using a hardcoded list of alignable
13967         keywords, check against the value of `ruby-alignable-keywords'
13968         (http://lists.gnu.org/archive/html/emacs-devel/2014-01/msg01439.html).
13970 2014-01-17  Glenn Morris  <rgm@gnu.org>
13972         * emacs-lisp/authors.el (authors-aliases): Remove unnecessary entries.
13974         Make M-x authors return zero *Authors Errors* from current logs.
13975         * emacs-lisp/authors.el (authors-obsolete-files-regexps)
13976         (authors-ignored-files): Add some entries, remove others.
13977         (authors-ambiguous-files, authors-valid-file-names):
13978         Add some entries.
13979         (authors-renamed-files-alist): Add, remove, and adjust entries.
13980         (authors-renamed-files-regexps): Add some entries.
13981         Remove some very broad ones.  Make some entries `lax'.
13982         (authors-lax-changelogs): New constant.
13983         (authors-disambiguate-file-name): Treat top-level specially.
13984         (authors-lax-changelog-p): New function.
13985         (authors-canonical-file-name): Check file as written against
13986         authors-valid-file-names.  Do not special-case etc/.
13987         Handle `lax' logs and authors-renamed-files-regexps elements.
13989 2014-01-16  Dmitry Gutov  <dgutov@yandex.ru>
13991         * emacs-lisp/package.el (package-desc--keywords): Use `cdr' with
13992         `assoc'.  Use `nth' instead of `cdr'.  Make private.  Update all
13993         callers.
13995 2014-01-16  Stefan Monnier  <monnier@iro.umontreal.ca>
13997         * follow.el (follow-adjust-window): Remove `dest' argument (bug#16426).
13998         Assume we're already in the proper buffer.
13999         Inspired by Anders Lindgren <andlind@gmail.com>.
14000         (follow-post-command-hook): Call it from the right buffer.
14001         (follow-comint-scroll-to-bottom): Adjust call.
14002         (follow-all-followers): Use get-buffer-window-list.
14004 2014-01-15  Daniel Colascione  <dancol@dancol.org>
14006         * emacs-lisp/bytecomp.el (byte-compile-file): Use whole
14007         `buffer-file-name' in interactive-form so that we don't leave
14008         pathless file names in `file-name-history'.
14010 2014-01-15  Juri Linkov  <juri@jurta.org>
14012         * indent.el (indent-rigidly): Set deactivate-mark to nil
14013         in transient indentation mode.  (Bug#16438)
14015 2014-01-15  Dmitry Gutov  <dgutov@yandex.ru>
14017         * emacs-lisp/package.el (package-desc-keywords): New function
14018         (Bug#16222).
14019         (describe-package-1, package-all-keywords)
14020         (package--has-keyword-p): Use it.
14022 2014-01-14  Nicolas Richard  <theonewiththeevillook@yahoo.fr>
14024         * simple.el (define-alternatives): When creating the
14025         COMMAND-alternatives variable, assign COMMAND as its definition
14026         name so that `describe-variable' can relocate it.
14028 2014-01-14  Matthew Leach  <matthew@mattleach.net>
14030         * font-lock.el (font-lock-keywords): Fix typo in docstring
14031         (bug#16307).
14033 2014-01-14  Agustín Martín Domingo  <agustin.martin@hispalinux.es>
14035         * textmodes/ispell.el (ispell-region): Reset `in-comment' for new
14036         line instead of wrongly reset `add-coment' (bug#13577).
14038 2014-01-14  Daiki Ueno  <ueno@gnu.org>
14040         * epa-file.el (epa-file-write-region): Encode the region according
14041         to `buffer-file-format'.  Problem reported at:
14042         <http://sourceforge.jp/ticket/browse.php?group_id=2267&tid=32917>.
14044 2014-01-14  Stefan Monnier  <monnier@iro.umontreal.ca>
14046         * emacs-lisp/edebug.el (edebug--display): Move protective let-binding
14047         so it applies in the right buffer (bug#16410).
14049 2014-01-13  Daniel Colascione  <dancol@dancol.org>
14051         * textmodes/rst.el (rst-define-key): Provide deprecated
14052         keybindings through named functions instead of anonymous ones so
14053         that "??" doesn't appear in describe-mode output.
14055 2014-01-13  Bastien Guerry  <bzg@gnu.org>
14057         * simple.el (define-alternatives): Call the selected command
14058         interactively.  When setting `COMMAND--implementation' for the
14059         first time, tell the user how to chose another implementation.
14060         Enhance the docstring.
14062 2014-01-13  Stefan Monnier  <monnier@iro.umontreal.ca>
14064         * vc/log-edit.el: Fix highlighting of summary when it's the first line.
14065         (log-edit--match-first-line): New function.
14066         (log-edit-font-lock-keywords): Use it.
14067         (log-edit-mode): Make jit-lock-defer-multiline work.
14069 2014-01-13  Bastien Guerry  <bzg@gnu.org>
14071         * rect.el (rectangle-mark-mode): When the region is not active,
14072         display a message saying that the mark as been set and that
14073         rectangle mode is in use.
14074         (rectangle--highlight-for-redisplay): Only put an overlay with a
14075         visible vertical bar when (display-graphic-p) is non-nil.
14076         This partially fixes Bug#16403.
14078 2014-01-13  Juri Linkov  <juri@jurta.org>
14080         * info.el (Info-find-file): Go to DIR before displaying the error
14081         about a nonexistent file if no previous Info file is visited.
14082         Use `user-error' instead of `error' for "Info file %s does not exist".
14083         (Info-find-node-2): In case of a nonexistent node in unwind forms
14084         go to the Top node if there is no previous node to revert to.
14085         (Bug#16405)
14087 2014-01-13  Martin Rudalics  <rudalics@gmx.at>
14089         fit-frame/window-to-buffer code fixes including one for Bug#14096.
14090         * window.el (fit-frame-to-buffer): Fix doc-string.
14091         Respect window-min-height/-width.  Fit pixelwise when
14092         frame-resize-pixelwise is non-nil.  Adjust right/bottom edge
14093         when avoiding that frame goes partially off-screen.
14094         (fit-window-to-buffer): Respect window-min-height/-width
14095         (Bug#14096).
14097 2014-01-13  Stefan Monnier  <monnier@iro.umontreal.ca>
14099         * indent.el (indent-according-to-mode): Flush to column 0 in text-mode
14100         after an empty line.
14102 2014-01-12  Stefan Monnier  <monnier@iro.umontreal.ca>
14104         * net/shr.el (shr-render-region): Autoload.
14106 2014-01-12  Xue Fuqiao  <xfq.free@gmail.com>
14108         * net/eww.el (eww-download-directory): Rename from
14109         `eww-download-path' (Bug#16419).
14111 2014-01-12  Leo Liu  <sdl.web@gmail.com>
14113         * dired-x.el (dired-mode-map): Fix last change.
14115         * emacs-lisp/eldoc.el (eldoc-mode): Add hook locally.
14117 2014-01-12  Paul Eggert  <eggert@cs.ucla.edu>
14119         Spelling fixes.
14120         * emacs-lisp/generic.el (generic--normalize-comments):
14121         Rename from generic--normalise-comments.  All uses changed.
14122         * play/bubbles.el (bubbles--neighborhood-score)
14123         (bubbles--mark-direct-neighbors, bubbles--mark-neighborhood)
14124         (bubbles--neighborhood-available)
14125         (bubbles--update-neighborhood-score):
14126         Rename from names with 'neighbourhood'.  All uses changed.
14128 2014-01-12  Leo Liu  <sdl.web@gmail.com>
14130         Re-implement the feature of showing eldoc info after editing.
14131         * emacs-lisp/eldoc.el (eldoc-post-insert-mode): Remove.
14132         (eldoc-edit-message-commands): New function.
14133         (eldoc-print-after-edit): New variable.
14134         (eldoc-pre-command-refresh-echo-area): Emit message only by
14135         eldoc-message-commands.
14136         (eldoc-mode): Restrict eldoc-message-commands to editing commands
14137         if eldoc-print-after-edit is set.  (Bug#16346)
14138         * simple.el (read--expression): Enable eldoc-mode.
14139         * progmodes/octave.el (octave-mode-menu): Adapt to change in eldoc.
14141 2014-01-11  Dani Moncayo  <dmoncayo@gmail.com>
14142             Eric S. Raymond  <esr@thyrsus.com>
14144         * version.el (emacs-repository-get-version): Enhance so the
14145         function works correctly in either a Bazaar or Git repo.
14147 2014-01-11  Eric S. Raymond  <esr@thyrsus.com>
14149         * play/meese.el: It's 2014 and Ed Meese is justly forgotten.
14150         Goes with removal of the joke manpages from /etc.
14152 2014-01-10  Kenichi Handa  <handa@gnu.org>
14154         * mail/rmail.el (rmail-get-coding-system):
14155         Check rmail-get-coding-function before "funcall"ing it.
14157 2014-01-10  Glenn Morris  <rgm@gnu.org>
14159         * emacs-lisp/authors.el (authors-fixed-entries):
14160         Update for files that no longer exist.
14162 2014-01-10  Eric S. Raymond  <esr@thyrsus.com>
14164         * version.el (emacs-bzr-get-version): Restore compatibilty with
14165         24.3 (Tested).
14167 2014-01-10  Bozhidar Batsov  <bozhidar@batsov.com>
14169         * progmodes/ruby-mode.el (auto-mode-alist): Add .podspec
14170         and Podfile.
14172 2014-01-10  Eli Zaretskii  <eliz@gnu.org>
14174         * emacs-lisp/authors.el (authors-fixed-entries): Update my entry.
14176 2014-01-10  Chong Yidong  <cyd@gnu.org>
14178         * progmodes/octave.el (octave-mode-menu): Don't assume eldoc is loaded.
14180 2014-01-10  Anders Lindgren  <andlind@gmail.com>
14182         * follow.el (follow-cache-command-list): Include right-char and
14183         left-char.
14185 2014-01-10  Paul Eggert  <eggert@cs.ucla.edu>
14187         Spelling fixes.
14188         * mail/unrmail.el (unrmail-mbox-format): Choice is mboxo, not mboxro.
14189         * woman.el (woman-mark-horizontal-position):
14190         Rename from woman-mark-horizonal-position.  Use changed.
14192 2014-01-10  Glenn Morris  <rgm@gnu.org>
14194         * info.el (info-initialize): If running uninstalled, ensure our
14195         own info files are always found first, even if INFOPATH is set.
14197         * help.el (view-order-manuals): Open emacs.info rather than ORDERS.
14199 2014-01-09  David Engster  <deng@randomsample.de>
14201         * emacs-lisp/eieio-custom.el:
14202         * emacs-lisp/eieio-opt.el: Set generated autoload file to
14203         'eieio.el'.  This was accidentally removed in 2012-10-01T18:10:29Z!cyd@gnu.org.
14204         * emacs-lisp/eieio.el: Regenerate autoloads.
14206 2014-01-09  Eric S. Raymond  <esr@thyrsus.com>
14208         * vc/vc-git.el (vc-git-print-log): Add --follow option to command,
14209         following renames.  (Bug#8756)
14211 2014-01-09  Stefan Monnier  <monnier@iro.umontreal.ca>
14213         * simple.el (deactivate-mark, activate-mark): Force-mode-line-update
14214         (bug#16382).
14215         (activate-mark): Add `no-tmm' argument.
14216         (set-mark, push-mark-command): Use it instead of running
14217         activate-mark-hook by hand.
14219 2014-01-08  Eric S. Raymond  <esr@thyrsus.com>
14221         In preparation for the move to git, sanitize out some
14222         Bazaar-specific names.
14224         * emacs-lisp/authors.el: INSTALL.BZR renamed to INSTALL.REPO.
14226         * version.el (emacs-bzr-version): Name changed to
14227         emacs-repository-version.  Obsolete-variable alias made.
14228         * loadup.el: Follow through on this name change.
14229         * mail/emacsbug.el (report-emacs-bug): Factor out any
14230         assumption about the version control system in use.
14232 2014-01-08  David Engster  <deng@randomsample.de>
14234         * help-fns.el (help-fns-describe-function-functions):
14235         New variable to call functions for augmenting help buffers.
14236         (describe-function-1): Remove explicit calls to
14237         `help-fns--compiler-macro', `help-fns--parent-mode' and
14238         `help-fns--obsolete'.  Put them in above new variable instead, and
14239         call them through `run-hook-with-args'.
14240         * emacs-lisp/eieio-opt.el (eieio-help-class): Rename from
14241         `eieio-describe-class'.  Not meant for interactive use anymore,
14242         but to augment existing help buffers.  Remove optional second
14243         argument.  Create proper button for file location.
14244         Rewrite function to use `insert' instead of `princ' and `prin1' where
14245         possible.
14246         (eieio-help-class-slots): Rename from `eieio-describe-class-slots'.
14247         (eieio-method-def, eieio-class-def): Move further up.
14248         (describe-method, describe-generic, eieio-describe-method):
14249         Remove aliases.
14250         (eieio-help-constructor, eieio-help-generic): Rename from
14251         `eieio-describe-constructor' and `eieio-describe-generic', resp.
14252         Rewrite to use `insert' in the current buffer and use proper help
14253         buttons.
14254         (eieio-help-find-method-definition)
14255         (eieio-help-find-class-definition): Also accept symbols as
14256         arguments.
14257         (eieio-help-mode-augmentation-maybee): Remove.
14258         (eieio-describe-class-sb): Use `describe-function'.
14259         * emacs-lisp/eieio.el (help-fns-describe-function-functions):
14260         Add `eieio-help-generic' and `eieio-help-constructor'.
14262 2014-01-08  Paul Eggert  <eggert@cs.ucla.edu>
14264         Spelling fixes.
14265         * language/china-util.el (hz-ascii-designation):
14266         Rename from hz-ascii-designnation.
14267         (hz-ascii-designation): Rename from hz-ascii-designnation.
14268         All uses changed.
14270 2014-01-08  Stefan Monnier  <monnier@iro.umontreal.ca>
14272         * emacs-lisp/package.el (package-delete): Only remove pkg-desc from
14273         package-alist.
14275 2014-01-08  Bastien Guerry  <bzg@gnu.org>
14277         * emacs-lisp/package.el (package-delete):
14278         Correctly delete the package from package-alist.
14280 2014-01-08  Daiki Ueno  <ueno@gnu.org>
14282         * emacs-lisp/package.el (url-recreate-url): Declare.
14283         (url-http-target-url): Declare.
14284         (package-handle-response): Include requested URL in the error message.
14285         (package--check-signature): Don't re-signal errors from
14286         package--with-work-buffer.  Suggested by Stefan Monnier.
14288 2014-01-07  Bastien Guerry  <bzg@gnu.org>
14290         * minibuffer.el (completion--try-word-completion): When both a
14291         hyphen and a space are possible candidates for the character
14292         following a word, display both candidates.  (Bug#15980)
14294 2014-01-07  Martin Rudalics  <rudalics@gmx.at>
14296         * window.el (balance-windows-2): While rounding don't give a
14297         window more than the remainder.  Bug#16351, bug#16383.
14299 2014-01-07  Glenn Morris  <rgm@gnu.org>
14301         * menu-bar.el (menu-bar-help-extra-packages): Remove.
14302         (menu-bar-help-menu): Use view-external-packages instead.
14304 2014-01-07  Bastien Guerry  <bzg@gnu.org>
14306         * emacs-lisp/package.el (package-delete): Also delete the package
14307         name from `package-alist', not its description only.
14309 2014-01-07  Glenn Morris  <rgm@gnu.org>
14311         * help.el (view-external-packages):
14312         * menu-bar.el (menu-bar-help-extra-packages):
14313         Visit efaq.info rather than etc/MORE.STUFF.
14315 2014-01-07  Juri Linkov  <juri@jurta.org>
14317         * isearch.el (isearch-mode-map): Bind [return] and [backspace] to
14318         isearch-exit and isearch-delete-char resp.  (Bug#16342, bug#16035)
14320         * progmodes/ps-mode.el (ps-mode-map): Remove [return] key binding
14321         that shadows RET.  (Bug#16342)
14323 2014-01-07  Chong Yidong  <cyd@gnu.org>
14325         * isearch.el (isearch-yank-char, isearch-yank-word)
14326         (isearch-yank-line): Doc fix.
14328 2014-01-06  Stefan Monnier  <monnier@iro.umontreal.ca>
14330         * abbrev.el (define-abbrev): Beware new meaning of fboundp.
14331         * emacs-lisp/elint.el (elint-find-builtins):
14332         * emacs-lisp/eldoc.el (eldoc-symbol-function):
14333         * emacs-lisp/bytecomp.el (byte-compile-callargs-warn)
14334         (byte-compile-file-form-defmumble, byte-compile, byte-compile-form):
14335         * emacs-lisp/byte-opt.el (byte-compile-inline-expand):
14336         * apropos.el (apropos-safe-documentation):
14337         * subr.el (symbol-file): Remove redundant fboundp.
14338         * progmodes/idlw-shell.el (idlwave-shell-comint-filter): Use defalias.
14340 2014-01-06  Bastien Guerry  <bzg@gnu.org>
14342         * hl-line.el (global-hl-line-overlay): Make a local variable.
14343         (global-hl-line-overlays): New variable to store all overlays.
14344         (global-hl-line-mode): Don't delete overlays from the current
14345         buffer when `global-hl-line-sticky-flag' is non-nil.
14346         (global-hl-line-highlight): Add new overlays to
14347         `global-hl-line-overlays'.
14348         (global-hl-line-unhighlight-all): New function to delete all
14349         overlays when turning off `global-hl-line-mode'.
14350         This fixes Bug#16183.
14352 2014-01-06  Stefan Monnier  <monnier@iro.umontreal.ca>
14354         * subr.el (set-transient-map): Fix nested case and docstring.
14356 2014-01-06  Tassilo Horn  <tsdh@gnu.org>
14358         * textmodes/reftex-vars.el (reftex-label-alist-builtin): Add a
14359         `Texinfo' entry.
14361 2014-01-06  Daniel Colascione  <dancol@dancol.org>
14363         Fix defun navigation in vc log view.
14365         * vc/log-view.el (log-view-beginning-of-defun): Rewrite to behave
14366         like `beginning-of-defun'.
14367         (log-view-end-of-defun, log-view-end-of-defun-1): Rename old
14368         log-view-end-of-defun to log-view-end-of-defun-1.  Replace
14369         log-view-end-of-defun with wrapper that behaves like `end-of-defun'.
14370         (log-view-extract-comment): Call `log-view-current-entry' directly
14371         instead of relying on broken `log-view-beginning-of-defun' behavior.
14373 2014-01-06  Paul Eggert  <eggert@cs.ucla.edu>
14375         Spelling fixes.
14376         * calc/calc-yank.el (calc-edit-mode, calc-edit-cancel):
14377         * emacs-lisp/debug.el (cancel-debug-on-entry):
14378         * epg.el (epg-error-to-string):
14379         * files.el (recover-file):
14380         * lpr.el (lpr-buffer, print-buffer, lpr-region, print-region):
14381         * mail/emacsbug.el (report-emacs-bug-hook):
14382         * mail/sendmail.el (mail-recover):
14383         * ses.el (ses-yank-resize):
14384         * term/ns-win.el (ns-print-buffer):
14385         Spelling fixes in diagnostics, mostly for "canceled" with one L.
14386         * epg.el (epg-key-capability-alist): Rename from misspelled version.
14387         All uses changed.
14388         * obsolete/xesam.el (xesam-all-fields): Fix misspelled field name.
14390 2014-01-06  Leo Liu  <sdl.web@gmail.com>
14392         * dired-x.el (dired-mode-map): Rebind dired-omit-mode to C-x M-o
14393         to avoid shadowing global key.  (Bug#16354)
14395 2014-01-06  Daniel Colascione  <dancol@dancol.org>
14397         * textmodes/rst.el (rst-mode): Set electric-indent-inhibit for
14398         rst-mode.
14400 2014-01-05  Martin Rudalics  <rudalics@gmx.at>
14402         * window.el (balance-windows): Add mising t to fix Bug#16351.
14404 2014-01-05  Lars Magne Ingebrigtsen  <larsi@gnus.org>
14406         * net/shr.el (shr-descend): Don't bug out if the anchor is empty
14407         (bug#16285).
14408         (shr-insert): If we have a word that's longer than `shr-width',
14409         break after it anyway.  Otherwise we'll do no breaking once we get
14410         such a long word.
14412 2014-01-05  Kenjiro NAKAYAMA  <nakayamakenjiro@gmail.com>
14414         * net/eww.el (eww): Support single/double quote for search.
14415         * net/eww.el (eww-list-histories, eww-history-browse): Fixup.
14416         (eww-history-quit): Delete and use quit-window.
14417         (eww-history-kill): Delete, because it doesn't work well and
14418         not necessary.
14419         (eww-history-mode-map): Delete some keys and add easy-menu.
14421 2014-01-05  Paul Eggert  <eggert@cs.ucla.edu>
14423         Fix misspelling of 'chinese' in rx (Bug#16237).
14424         * emacs-lisp/rx.el (rx-categories): Correct spelling of
14425         chinese-two-byte.
14427         Change subword regexps back to vars (Bug#16296).
14428         * progmodes/subword.el (subword-forward-regexp)
14429         (subword-backward-regexp): Change these back to variables.
14431 2014-01-03  Stefan Monnier  <monnier@iro.umontreal.ca>
14433         * emacs-lisp/lisp-mode.el (lisp-mode-variables): Don't bother with
14434         syntax-begin-function (bug#16247).
14436 2014-01-03  Chong Yidong  <cyd@gnu.org>
14438         * emacs-lisp/nadvice.el (advice--make-docstring): Change args.
14439         (advice--docstring): Delete variable.
14440         (advice--make-1): Leave the docstring empty.
14441         (advice-add): Use function-documentation for advised docstring.
14443         * emacs-lisp/advice.el (ad--make-advised-docstring): Change args.
14444         Ignore function-documentation property when getting documentation.
14445         (ad-activate-advised-definition): Use function-documentation
14446         generate the docstring.
14447         (ad-make-advised-definition): Don't call
14448         ad-make-advised-definition-docstring.
14449         (ad-make-advised-definition-docstring, ad-advised-definition-p):
14450         Delete functions.
14452         * progmodes/sql.el (sql-help): Use function-documentation instead
14453         of dynamic-docstring-function property.  No need to autoload now.
14454         (sql--help-docstring): New variable.
14455         (sql--make-help-docstring): Use it.
14457 2014-01-03  Stefan Monnier  <monnier@iro.umontreal.ca>
14459         * ielm.el (ielm-tab): Retarget.
14460         (ielm-map): Use ielm-tab for tab.
14461         (ielm-complete-filename): Use comint-filename-completion.
14462         (ielm-complete-symbol): Remove.
14463         (inferior-emacs-lisp-mode): Use lisp-completion-at-point instead and
14464         remove ielm-tab from completion-at-point-functions (bug#16224).
14466         * emacs-lisp/pcase.el (pcase--split-equal, pcase--split-member):
14467         Beware signals raised by predicates (bug#16201).
14469 2014-01-02  Richard Stallman  <rms@gnu.org>
14471         * dired-aux.el (dired-do-print): Handle printer-name.
14473         * mail/rmailmm.el (rmail-mime-message-p): Move to rmail.el.
14474         * mail/rmail.el (rmail-mime-message-p): Move from rmailmm.el.
14475         (rmail-epa-decrypt): Turn off mime processing.
14477         * mail/rmail.el (rmail-make-in-reply-to-field):
14478         Add parens in message-id.
14480         * mail/rmail.el (rmail-get-coding-function): Variable.
14481         (rmail-get-coding-system): Use it.
14483 2013-12-31  Eli Zaretskii  <eliz@gnu.org>
14485         * international/mule-conf.el: Unify the charset indian-is13194.
14486         (indian-is13194): Specify unify-map.
14488 2013-12-31  Leo Liu  <sdl.web@gmail.com>
14490         * subr.el (set-temporary-overlay-map): Obsolete alias.  (Bug#16305)
14492 2013-12-30  Daniel Colascione  <dancol@dancol.org>
14494         * term/x-win.el ([XF86WakeUp]): Ignore the XF86WakeUp key instead
14495         of printing a useless when we resume from sleep.
14497         * progmodes/sh-script.el
14498         (sh-smie-sh-forward-token, sh-smie-rc-forward-token): Fix infloop
14499         in indentation code.  (Bug#16233)
14501 2013-12-28  João Távora  <joaotavora@gmail.com>
14503         * elec-pair.el (electric-pair-post-self-insert-function):
14504         Don't open extra newlines at beginning of buffer.  (Bug#16272)
14506 2013-12-28  Eli Zaretskii  <eliz@gnu.org>
14508         * frame.el (window-system-for-display): Don't allow to create a
14509         GUI frame from a -nw session on MS-Windows.  (Bug#14739)
14511 2013-12-28  Glenn Morris  <rgm@gnu.org>
14513         * mail/hashcash.el (hashcash-program): Rename from hashcash-path.
14514         Update callers.
14516         * apropos.el (apropos-match-face):
14517         * calculator.el (calculator-displayer):
14518         * dabbrev.el (dabbrev-search-these-buffers-only):
14519         * face-remap.el (buffer-face-mode-face):
14520         * simple.el (yank-handled-properties):
14521         * emacs-lisp/testcover.el (testcover-potentially-1value-functions):
14522         * mail/footnote.el (footnote-mode-line-string, footnote-prefix):
14523         * mail/hashcash.el (hashcash-accept-resources, hashcash-program)
14524         (hashcash-double-spend-database):
14525         * progmodes/ruby-mode.el (ruby-deep-indent-paren)
14526         (ruby-deep-indent-paren-style):
14527         * textmodes/flyspell.el (flyspell-auto-correct-binding):
14528         * textmodes/rst.el (rst-toc-indent, rst-toc-insert-style)
14529         (rst-toc-insert-number-separator, rst-toc-insert-max-level):
14530         * vc/pcvs-defs.el (cvs-minor-mode-prefix):
14531         Specify custom types.
14533         * emacs-lisp/smie.el (smie-config): Add type, version, initialize.
14534         * bookmark.el (bookmark-bmenu-use-header-line):
14535         * doc-view.el (doc-view-scale-internally):
14536         * pcmpl-x.el (pcmpl-x-tlmgr-program, pcmpl-x-ack-program):
14537         * register.el (register-preview-delay):
14538         * net/shr.el (shr-bullet):
14539         * progmodes/cfengine.el (cfengine-cf-promises)
14540         (cfengine-parameters-indent):
14541         * progmodes/octave.el (inferior-octave-error-regexp-alist):
14542         * textmodes/reftex-vars.el (reftex-label-regexps):
14543         * vc/log-edit.el (log-edit-setup-add-author): Add version.
14545         * net/tls.el (tls-certtool-program): Fix default value.
14547         * desktop.el (desktop-restore-in-current-display):
14548         * newcomment.el (comment-empty-lines):
14549         * progmodes/idlwave.el (idlwave-scan-all-buffers-for-routine-info)
14550         (idlwave-pad-keyword):
14551         * progmodes/tcl.el (tcl-tab-always-indent):
14552         * textmodes/reftex-vars.el (reftex-index-default-tag):
14553         * elec-pair.el (electric-pair-skip-whitespace):
14554         * progmodes/cfengine.el (cfengine-cf-promises): Fix custom types.
14556         * emacs-lisp/authors.el (authors-ignored-files)
14557         (authors-valid-file-names, authors-renamed-files-alist): Additions.
14559 2013-12-27  Jarek Czekalski  <jarekczek@poczta.onet.pl>
14561         * shell.el (shell-dynamic-complete-command): Doc fix.
14562         (shell--command-completion-data): Shell completion now matches
14563         executable filenames from the current buffer's directory, on
14564         systems in which this behavior is the default (windows-nt, ms-dos).
14566 2013-12-27  Lars Ingebrigtsen  <larsi@gnus.org>
14568         * net/shr.el (shr-insert): Don't infloop if the width is zero.
14570 2013-12-27  Stefan Monnier  <monnier@iro.umontreal.ca>
14572         * icomplete.el (icomplete-show-matches-on-no-input): Default to nil
14573         (bug#16251).
14575         * electric.el: Move all electric-pair-* to elec-pair.el.
14576         * elec-pair.el: New file, split from electric.el.
14578 2013-12-27  Lars Ingebrigtsen  <larsi@gnus.org>
14580         * net/shr.el (shr-find-fill-point): Don't try to fill if the
14581         indentation level is larger than the width, because that will
14582         infloop.
14583         (shr-insert): Fill repeatedly long texts, so that Japanese is
14584         formatted correctly (bug#16263).
14585         (shr-find-fill-point): Off by one error in comparison with the
14586         indentation.
14588 2013-12-26  João Távora  <joaotavora@gmail.com>
14590         * electric.el (electric-pair-mode): More flexible engine for skip-
14591         and inhibit predicates, new options for pairing-related functionality.
14592         (electric-pair-preserve-balance): Pair/skip parentheses and quotes
14593         if that keeps or improves their balance in buffers.
14594         (electric-pair-delete-adjacent-pairs): Delete the pair when
14595         backspacing over adjacent matched delimiters.
14596         (electric-pair-open-extra-newline): Open extra newline when
14597         inserting newlines between adjacent matched delimiters.
14598         (electric--sort-post-self-insertion-hook):
14599         Sort post-self-insert-hook according to priority values when
14600         minor-modes are activated.
14601         * simple.el (newline-and-indent): Call newline with interactive
14602         set to t.
14603         (blink-paren-post-self-insert-function): Set priority to 100.
14604         * emacs-lisp/lisp-mode.el (lisp-mode-variables):
14605         Use electric-pair-text-pairs to pair backtick-and-quote in strings and
14606         comments.  Locally set electric-pair-skip-whitespace to 'chomp and
14607         electric-pair-open-newline-between-pairs to nil.
14609 2013-12-26  Fabián Ezequiel Gallina  <fgallina@gnu.org>
14611         * progmodes/python.el: Use lexical-binding.
14612         (python-nav-beginning-of-defun): Stop searching ASAP.
14614 2013-12-25  Xue Fuqiao  <xfq.free@gmail.com>
14616         * vc/vc.el (vc-ignore): Use `vc-responsible-backend'.
14617         Fix interactive spec.  Doc fix.  (Bug#15754)
14619 2013-12-25  Katsumi Yamaoka  <yamaoka@jpl.org>
14621         * emacs-lisp/byte-run.el (eval-when-compile):
14622         * progmodes/cc-defs.el (cc-eval-when-compile):
14623         Fix edebug spec (bug#16184).
14625 2013-12-25  Lars Ingebrigtsen  <larsi@gnus.org>
14627         * net/shr.el (shr-visit-file): Remove debugging function.
14628         (shr-insert): Don't infloop if we can't find a good place to break
14629         the line (bug#16256).
14631 2013-12-25  Fabián Ezequiel Gallina  <fgallina@gnu.org>
14633         * progmodes/python.el (python-nav--lisp-forward-sexp): New function.
14634         (python-nav--lisp-forward-sexp-safe): Use it.  Rename from
14635         python-nav-lisp-forward-sexp-safe.
14636         (python-nav--forward-sexp): New argument SAFE allows switching
14637         forward sexp movement behavior for parens.
14638         (python-nav-forward-sexp): Throw errors on unterminated parens
14639         (Bug#16191).
14640         (python-nav-backward-sexp, python-nav-forward-sexp-safe)
14641         (python-nav-backward-sexp-safe): New functions.
14642         (python-shell-buffer-substring):
14643         Use `python-nav-forward-sexp-safe'.
14645 2013-12-25  Lars Ingebrigtsen  <larsi@gnus.org>
14647         * net/shr.el (shr-find-fill-point): Don't break lines before a
14648         quotation mark.
14649         (shr-char-kinsoku-bol-p): The quotation mark isn't a kinsoky BOL char.
14650         (shr-find-fill-point): Remove the special checks for the quotation
14651         mark, since `shr-char-kinsoku-bol-p' should now return the right thing.
14653 2013-12-25  Kenjiro NAKAYAMA  <nakayamakenjiro@gmail.com>
14655         * net/eww.el (eww-form-textarea): Use a different face for
14656         textareas than text input since they have different keymaps
14657         (bug#16142).
14659 2013-12-24  Fabián Ezequiel Gallina  <fgallina@gnu.org>
14661         * progmodes/python.el (python-nav-beginning-of-statement):
14662         Speed up (Bug#15295).
14664 2013-12-24  Lars Ingebrigtsen  <larsi@gnus.org>
14666         * net/eww.el (eww-bookmark-browse): Use `quit-window' to restore
14667         the window configuration.
14669 2013-12-24  Eli Zaretskii  <eliz@gnu.org>
14671         * net/eww.el (eww-open-file): Ensure 3 slashes after "file:" when
14672         we run on MS-Windows or MS-DOS.
14674 2013-12-24  Martin Rudalics  <rudalics@gmx.at>
14676         * window.el (balance-windows-area): Call window-size instead of
14677         window-height and window-width.  Bug#16241.
14679 2013-12-24  Lars Ingebrigtsen  <larsi@gnus.org>
14681         * net/eww.el (eww-bookmark-quit): Remove.
14682         (eww-bookmark-browse): Restore the window configuration when you
14683         choose a bookmark (bug#16144).
14685 2013-12-24  Daniel Colascione  <dancol@dancol.org>
14687         * icomplete.el: Remove redundant :group arguments to `defcustom'
14688         throughout.
14689         (icomplete-show-matches-on-no-input): New customizable variable.
14690         (icomplete-minibuffer-setup): Call `icomplete-exhibit' on setup if
14691         we have something to show.
14692         (icomplete-exhibit): Compute completions even if we have no user input.
14694 2013-12-23  Daniel Colascione  <dancol@dancol.org>
14696         * icomplete.el: Move `provide' to end of file.
14698 2013-12-23  Teodor Zlatanov  <tzz@lifelogs.com>
14700         * net/gnutls.el (gnutls-verify-error): Add version tag.
14702 2013-12-23  Chong Yidong  <cyd@gnu.org>
14704         * subr.el (set-transient-map): Rename from
14705         set-temporary-overlay-map.  Doc fix.
14707         * face-remap.el (text-scale-adjust):
14708         * indent.el (indent-rigidly):
14709         * kmacro.el (kmacro-call-macro):
14710         * minibuffer.el (minibuffer-force-complete):
14711         * repeat.el (repeat):
14712         * simple.el (universal-argument--mode):
14713         * calendar/todo-mode.el (todo-insert-item--next-param):
14714         * progmodes/f90.el (f90-abbrev-start): Callers changed.
14716         * indent.el (indent-rigidly): Use substitute-command-keys.
14718 2013-12-22  Kenjiro NAKAYAMA  <nakayamakenjiro@gmail.com>
14720         * net/eww.el (eww-tag-select): Add text-property to jump to next
14721         select field.
14722         (eww): Add non-supported ftp error.
14724 2013-12-22  Dmitry Gutov  <dgutov@yandex.ru>
14726         * progmodes/ruby-mode.el (ruby--electric-indent-p): Improve the
14727         comments.  Handle electric indent after typing `?' and `!'.
14729 2013-12-22  Chong Yidong  <cyd@gnu.org>
14731         * faces.el (face-spec-recalc): If the theme specs are not
14732         applicable to a frame, fall back on the defface spec.
14733         This prevents themes from obliterating faces on low-color terminals.
14735 2013-12-22  Dmitry Gutov  <dgutov@yandex.ru>
14737         * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Return t
14738         after `{'.  We need it after block openers, and it doesn't seem
14739         to hurt after hash openers.
14741 2013-12-22  Dmitry Gutov  <dgutov@yandex.ru>
14743         * progmodes/ruby-mode.el (ruby--at-indentation-p): New function,
14744         extracted from `ruby-smie-rules'.
14745         (ruby--electric-indent-chars): New variable.
14746         (ruby--electric-indent-p): New function.
14747         (ruby-mode): Use `electric-indent-functions' instead of
14748         `electric-indent-chars'.
14750 2013-12-22  Dmitry Gutov  <dgutov@yandex.ru>
14752         * progmodes/ruby-mode.el (ruby-align-to-stmt-keywords): Tweak the
14753         docstring.
14754         (ruby-smie-rules): Indent plus one level after `=>'.
14756 2013-12-21  Richard Stallman  <rms@gnu.org>
14758         * simple.el (newline): Doc fix.
14760 2013-12-21  Kenjiro NAKAYAMA  <nakayamakenjiro@gmail.com>
14762         * net/eww.el (eww-list-histories, eww-list-histories)
14763         (eww-history-browse, eww-history-quit, eww-history-kill)
14764         (eww-history-mode-map, eww-history-mode): New command and
14765         functions to list browser histories.
14766         (eww-form-text): Support text form with disabled
14767         and readonly attributes.
14768         (eww-checkbox-map): Fix wrong key bind to `eww-toggle-checkbox'.
14770 2013-12-21  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
14772         * net/eww.el (eww-back-url, eww-forward-url, eww-next-url)
14773         (eww-previous-url, eww-up-url, eww-top-url, eww-add-bookmark)
14774         (eww-bookmark-prepare, eww-bookmark-kill, eww-bookmark-yank)
14775         (eww-bookmark-browse, eww-next-bookmark, eww-previous-bookmark):
14776         Use `user-error'.
14777         (eww-bookmark-mode-map): Add menu.
14778         (eww-render, eww-mode): Use `setq-local'.
14779         (eww-tool-bar-map): New variable.
14780         (eww-mode): Set `tool-bar-map'.
14781         (eww-view-source): Check for `html-mode' with `fboundp'.
14783 2013-12-21  Lars Magne Ingebrigtsen  <larsi@gnus.org>
14785         * net/shr.el (shr--extract-best-source): Don't bug out on audio
14786         elements with text inside.  Also remove debugging.
14788 2013-12-21  Jan Djärv  <jan.h.d@swipnet.se>
14790         * cus-start.el (all): Add ns-use-srgb-colorspace.
14792 2013-12-21  Chong Yidong  <cyd@gnu.org>
14794         * custom.el (custom-theme-recalc-face): Do nothing if the face is
14795         undefined.  Thus, theme settings for undefined faces do not take
14796         effect until the faces are defined with defface, the same as with
14797         theme variables.
14799         * faces.el (face-spec-set): Use face-spec-recalc in all cases.
14800         (face-spec-reset-face): Don't assign extra properties in temacs.
14801         (face-spec-recalc): Apply X resources too.
14803 2013-12-21  Chong Yidong  <cyd@gnu.org>
14805         * faces.el (face-spec-set):
14806         * cus-face.el (custom-theme-set-faces, custom-set-faces):
14807         * custom.el (defface): Doc fixes (Bug#16203).
14809         * indent.el (indent-rigidly-map): Add docstring, and move commands
14810         into named functions.
14811         (indent-rigidly-left, indent-rigidly-right)
14812         (indent-rigidly-left-to-tab-stop)
14813         (indent-rigidly-right-to-tab-stop): New functions.  Decide on
14814         indentation direction based on bidi direction, and accumulate
14815         sequential commands in a single undo boundary.
14816         (indent-rigidly--pop-undo): New utility function.
14818 2013-12-20  Juanma Barranquero  <lekktu@gmail.com>
14820         * faces.el (read-face-name): Require crm.el when using crm-separator.
14822 2013-12-20  Daniel Colascione  <dancol@dancol.org>
14824         * progmodes/sh-script.el (sh-mode): Tweak paragraph-separate
14825         so that we don't reflow comments into the shebang line.
14827 2013-12-20  Juri Linkov  <juri@jurta.org>
14829         * saveplace.el (save-place-to-alist): Add `dired-filename' as
14830         a position when `dired-directory' is non-nil.  Check integer
14831         positions with `integerp'.
14832         (toggle-save-place, save-places-to-alist): Add check for
14833         `dired-directory'.
14834         (save-place-find-file-hook): Check integer positions with
14835         `integerp'.
14836         (save-place-dired-hook): Use `dired-goto-file' when
14837         `dired-filename' is found in the assoc list.  Check integer
14838         positions with `integerp'.
14839         (dired-initial-position-hook): Rename from `dired-initial-point-hook'.
14841         * dired.el (dired-initial-position-hook): Rename back from
14842         `dired-initial-point-hook'.
14843         (dired-initial-position): Rename `dired-initial-point-hook' to
14844         `dired-initial-position-hook'.
14845         (dired-file-name-at-point): Doc fix.  (Bug#15329)
14847 2013-12-20  Juri Linkov  <juri@jurta.org>
14849         * replace.el (read-regexp-defaults-function): New defcustom (bug#14405).
14850         (read-regexp-suggestions): New function.
14851         (read-regexp): Use `read-regexp-defaults-function' to get default values.
14852         Use `read-regexp-suggestions'.  Add non-empty default to history
14853         for empty input.
14854         (occur-read-regexp-defaults-function): Remove function.
14855         (occur-read-primary-args): Use `regexp-history-last' instead of
14856         `occur-read-regexp-defaults-function'.
14858         * hi-lock.el (hi-lock-read-regexp-defaults-function): Remove function.
14859         (hi-lock-line-face-buffer, hi-lock-face-buffer)
14860         (hi-lock-face-phrase-buffer): Use `regexp-history-last' instead of
14861         `hi-lock-read-regexp-defaults-function'.  Doc fix.
14862         (hi-lock-face-symbol-at-point): Replace `find-tag-default-as-regexp'
14863         with `find-tag-default-as-symbol-regexp'.  Doc fix.
14864         (hi-lock-read-regexp-defaults): Remove function.
14865         (hi-lock-regexp-okay): Add check for null.
14867         * progmodes/grep.el (grep-read-regexp): Use `grep-tag-default' for
14868         the arg DEFAULTS.  Move formatting of the prompt to `read-regexp'.
14870         * subr.el (find-tag-default-as-symbol-regexp): New function.
14871         (find-tag-default-as-regexp): Move symbol regexp formatting to
14872         `find-tag-default-as-symbol-regexp'.
14874 2013-12-20  E Sabof  <esabof@gmail.com>  (tiny change)
14876         * hi-lock.el (hi-lock-set-pattern): Check for `font-lock-specified-p'.
14877         (Bug#14179)
14879 2013-12-20  Stephen Berman  <stephen.berman@gmx.net>
14881         * calendar/todo-mode.el: New implementation of item insertion
14882         commands and key bindings.
14883         (todo-key-prompt): New face.
14884         (todo-insert-item): New command.
14885         (todo-insert-item--parameters): New defconst, replacing defvar
14886         todo-insertion-commands-args-genlist.
14887         (todo-insert-item--param-key-alist): New defconst, replacing
14888         defvar todo-insertion-commands-arg-key-list.
14889         (todo-insert-item--keyof, todo-insert-item--this-key): New defsubsts.
14890         (todo-insert-item--argsleft, todo-insert-item--apply-args)
14891         (todo-insert-item--next-param): New functions.
14892         (todo-insert-item--args, todo-insert-item--argleft)
14893         (todo-insert-item--argsleft, todo-insert-item--newargsleft):
14894         New variables.
14895         (todo-key-bindings-t): Change binding of "i" from
14896         todo-insertion-map to todo-insert-item.
14897         (todo-powerset, todo-gen-arglists, todo-insertion-commands-args)
14898         (todo-insertion-command-name, todo-insertion-commands-names)
14899         (todo-define-insertion-command, todo-insertion-commands)
14900         (todo-insertion-key-bindings, todo-insertion-map): Remove.
14902 2013-12-20  Stephen Berman  <stephen.berman@gmx.net>
14904         * calendar/todo-mode.el: Bug fixes and new features (bug#15225).
14905         (todo-toggle-item-highlighting): Use eval-and-compile instead of
14906         eval-when-compile.
14907         (todo-move-category): Allow choosing a non-existing todo file to
14908         move the category to, and create that file.
14909         (todo-default-priority): New user option.
14910         (todo-set-item-priority): Use it.
14911         (todo-desktop-save-buffer, todo-restore-desktop-buffer): New functions.
14912         (desktop-restore-file-buffer): Declare.
14913         (desktop-buffer-mode-handlers): Add todo-restore-desktop-buffer.
14914         (todo-modes-set-2): Locally set desktop-save-buffer to
14915         todo-desktop-save-buffer.
14916         (todo-mode, todo-archive-mode, todo-filtered-items-mode)
14917         (auto-mode-alist): Add autoload cookie.
14919 2013-12-20  Bozhidar Batsov  <bozhidar@batsov.com>
14921         * emacs-lisp/subr-x.el: Renamed from helpers.el.
14922         helpers.el was a poor choice of name.
14923         (string-remove-prefix): New function.
14924         (string-remove-suffix): New function.
14926 2013-12-20  Martin Rudalics  <rudalics@gmx.at>
14928         Fix assignment for new window total sizes.
14929         * window.el (window--pixel-to-size): Remove function.
14930         (window--pixel-to-total-1, window--pixel-to-total):
14931         Fix calculation of new total sizes.
14933 2013-12-20  Vitalie Spinu  <spinuvit@gmail.com>
14935         * comint.el (comint-output-filter): Fix rear-nonsticky property
14936         placement (Bug#16010).
14938 2013-12-20  Chong Yidong  <cyd@gnu.org>
14940         * faces.el (read-color): Minor fix for completion function.
14942 2013-12-20  Dmitry Gutov  <dgutov@yandex.ru>
14944         * progmodes/ruby-mode.el (ruby-align-to-stmt-keywords):
14945         New option.  (Bug#16182)
14946         (ruby-smie--indent-to-stmt-p): Use it.
14947         (ruby-smie-rules): Revert the logic in the handling of `when'.
14948         Expand the begin clause to handle `ruby-align-to-stmt-keywords'.
14949         (ruby-deep-arglist, ruby-deep-indent-paren)
14950         (ruby-deep-indent-paren-style): Update docstrings to note that the
14951         vars don't have any effect with SMIE.
14953 2013-12-20  Jay Belanger  <jay.p.belanger@gmail.com>
14955         * calc/calc.el (calc-enter, calc-pop): Use the variable
14956         `calc-context-sensitive-enter'.
14958 2013-12-20  Lars Magne Ingebrigtsen  <larsi@gnus.org>
14960         * net/shr.el (shr-insert): Protect against infloops in degenerate
14961         tables.
14963 2013-12-20  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
14965         * progmodes/octave.el (octave): Add link to manual and octave
14966         homepage.
14967         (octave-mode-menu): Link to octave-mode manual.
14969 2013-12-20  Leo Liu  <sdl.web@gmail.com>
14971         * skeleton.el (skeleton-pair-insert-maybe): Disable newline
14972         insertion using skeleton-end-newline.  (Bug#16138)
14974 2013-12-20  Juri Linkov  <juri@jurta.org>
14976         * replace.el (occur-engine): Use `add-face-text-property'
14977         to add the face property to matches and titles.  (Bug#14645)
14979         * hi-lock.el (hi-green): Use lighter color "light green" closer to
14980         the palette of other hi-lock colors.
14981         (hi-lock-set-pattern): Prepend hi-lock face to the existing face.
14983 2013-12-19  Juri Linkov  <juri@jurta.org>
14985         * isearch.el (isearch-mode-map): Bind `M-s e' to `isearch-edit-string'.
14986         Put :advertised-binding on `M-s c', `M-s r', `M-s e'.  (Bug#16035)
14987         (minibuffer-history-symbol): Move variable declaration closer to
14988         its usage.
14990         * isearchb.el (isearchb): Add `event-basic-type' on `last-command-event'.
14991         (Bug#14785)
14993 2013-12-19  Juri Linkov  <juri@jurta.org>
14995         * vc/log-edit.el (log-edit-insert-filenames-without-changelog):
14996         New function.
14997         (log-edit-hook): Add it to :options.  (Bug#16170)
14999 2013-12-19  Juri Linkov  <juri@jurta.org>
15001         * simple.el (eval-expression-print-format): Don't check for
15002         command names and the last command.  Always display additional
15003         formats of the integer result in the echo area, and insert them
15004         to the current buffer only with a zero prefix arg.
15005         Display character when char-displayable-p is non-nil.
15006         (eval-expression): With a zero prefix arg, set `print-length' and
15007         `print-level' to nil, and insert the integer values from
15008         `eval-expression-print-format' at the end.  Doc fix.  (Bug#12985)
15010         * emacs-lisp/lisp-mode.el (eval-print-last-sexp): Add arg
15011         `eval-last-sexp-arg-internal'.  Doc fix.
15012         (eval-last-sexp-1): Pass arg `eval-last-sexp-arg-internal' to
15013         `eval-last-sexp-print-value'.  Doc fix.
15014         (eval-last-sexp-print-value): Add arg `eval-last-sexp-arg-internal'.
15015         Set `print-length' and `print-level' to nil when arg is zero.
15016         (eval-last-sexp): Doc fix.
15017         (eval-defun-2): Print the integer values from
15018         `eval-expression-print-format' at the end.
15020         * emacs-lisp/edebug.el (edebug-eval-defun): Print the integer
15021         values from `eval-expression-print-format' at the end.
15023         * ielm.el (ielm-eval-input): Print the integer
15024         values from `eval-expression-print-format' at the end.
15026 2013-12-19  Teodor Zlatanov  <tzz@lifelogs.com>
15028         * net/eww.el (eww-exit, eww-close, eww-mode-map): Revert change of
15029         2013-12-11T19:01:44Z!tzz@lifelogs.com.
15031 2013-12-19  Stefan Monnier  <monnier@iro.umontreal.ca>
15033         * hl-line.el (hl-line-make-overlay): New fun.  Set priority (bug#16192).
15034         (hl-line-highlight, global-hl-line-highlight): Use it.
15035         (hl-line-overlay): Use defvar-local.
15037 2013-12-19  Jan Djärv  <jan.h.d@swipnet.se>
15039         * term/ns-win.el: Require dnd.
15040         (global-map): Remove drag items.
15041         (ns-insert-text, ns-set-foreground-at-mouse)
15042         (ns-set-background-at-mouse):
15043         Remove (ns-drag-n-drop, ns-drag-n-drop-other-frame)
15044         (ns-drag-n-drop-as-text, ns-drag-n-drop-as-text-other-frame):
15045         New functions.
15047 2013-12-19  Glenn Morris  <rgm@gnu.org>
15049         * emacs-lisp/ert.el (ert-select-tests):
15050         Fix string/symbol mixup.  (Bug#16121)
15052 2013-12-19  Dmitry Gutov  <dgutov@yandex.ru>
15054         * progmodes/ruby-mode.el (ruby-smie-rules): Indent middle-of-block
15055         keywords to their parent.
15057 2013-12-19  Dmitry Gutov  <dgutov@yandex.ru>
15059         * progmodes/ruby-mode.el (ruby-smie--args-separator-p): Allow the
15060         first arg to be a string (fixed dead code), or an operator symbol.
15061         (ruby-smie--forward-token): Tokenize ` @ ' before strings and
15062         operator symbols.
15063         (ruby-smie-rules): Remove parent token check in the `.' clause, it
15064         did nothing.  Don't respond to `(:after ".")', it will be called
15065         with :before anyway.  Remove the ` @ ' rule, it didn't seem to
15066         change anything.  Only return indentation for binary operators
15067         when they are hanging.  De-dent opening paren when its parent is
15068         `.', otherwise it looks bad when the dot is not at bol or eol
15069         (bug#16182).
15071 2013-12-19  Juri Linkov  <juri@jurta.org>
15073         * replace.el (query-replace-read-args): Split a non-negative arg
15074         and a negative arg into separate elements.
15075         (query-replace, query-replace-regexp, replace-string)
15076         (replace-regexp): Add arg `backward'.  Doc fix.
15077         (replace-match-maybe-edit): When new arg `backward' is non-nil,
15078         move point to the beginning of the match.
15079         (replace-search, replace-highlight): Use new arg `backward'
15080         to set the value of `isearch-forward'.
15081         (perform-replace): Add arg `backward' and use it to perform
15082         replacement backward.  (Bug#14979)
15084         * isearch.el (isearch-query-replace): Use a negative prefix arg
15085         to call `perform-replace' with a non-nil arg `backward'.
15087 2013-12-18  Juri Linkov  <juri@jurta.org>
15089         * vc/log-edit.el (log-edit-hook): Add `log-edit-insert-message-template'
15090         to the default list.  Move `log-edit-show-files' to the end.
15091         Add more available functions to options.
15092         (log-edit): Move default specific settings to
15093         `log-edit-insert-message-template'.  Don't move point.
15094         (log-edit-insert-message-template): New function.
15095         (log-edit-insert-changelog): Add `save-excursion' and don't move point.
15096         (Bug#16170)
15098 2013-12-18  Juri Linkov  <juri@jurta.org>
15100         * help-mode.el (help-mode-map): Bind "l" to help-go-back,
15101         and "r" to help-go-forward for compatibity with Info.  (Bug#16178)
15103 2013-12-18  Leo Liu  <sdl.web@gmail.com>
15105         * eshell/em-prompt.el (eshell-emit-prompt): Fix last change.
15106         (Bug#16186)
15108 2013-12-18  Eli Zaretskii  <eliz@gnu.org>
15110         * ls-lisp.el (ls-lisp-insert-directory): Don't modify %d and %f
15111         formats for displaying file sizes when the -s switch is given.
15112         Instead, compute a separate format for displaying the size in
15113         blocks, which is displayed in addition to the "regular" size.
15114         When -h is given in addition to -s, produce size in blocks in
15115         human-readable form as well.  (Bug#16179)
15117 2013-12-18  Tassilo Horn  <tsdh@gnu.org>
15119         * textmodes/reftex-vars.el (reftex-label-alist-builtin):
15120         Reference tables with ~\ref{...} instead of only \ref{...}.
15122 2013-12-18  Chong Yidong  <cyd@gnu.org>
15124         * cus-edit.el (custom-magic-alist): Fix "themed" description
15125         (Bug#14348).
15127         * custom.el (custom-push-theme): If custom--inhibit-theme-enable
15128         is non-nil, do not create a new entry in the symbol's theme-value
15129         or theme-face property; update theme-settings only (Bug#14664).
15130         (custom-available-themes): Doc fix.
15132         * cus-theme.el (custom-new-theme-mode-map): Add bindings
15133         (Bug#15674).
15135         * replace.el (occur-engine): Avoid infloop (Bug#7593).
15137 2013-12-18  Kazuhiro Ito  <kzhr@d1.dion.ne.jp>  (tiny change)
15139         * progmodes/make-mode.el (makefile-fill-paragraph): Fix infloop
15140         (Bug#13914).
15142 2013-12-18  Shigeru Fukaya  <shigeru.fukaya@gmail.com>
15144         * apropos.el (apropos-words-to-regexp): Fix algorithm (Bug#13946).
15146 2013-12-18  Glenn Morris  <rgm@gnu.org>
15148         * Makefile.in (BYTE_COMPILE_FLAGS): Set load-prefer-newer to t.
15149         * cus-start.el (load-prefer-newer): New option.
15151 2013-12-18  Le Wang  <l26wang@gmail.com>
15153         * comint.el (comint-previous-matching-input-from-input):
15154         Retain point (Bug#13404).
15156 2013-12-18  Chong Yidong  <cyd@gnu.org>
15158         * simple.el (append-next-kill): Doc fix (Bug#15995, Bug#16016).
15160 2013-12-18  Glenn Morris  <rgm@gnu.org>
15162         * mail/emacsbug.el (report-emacs-bug):
15163         Only mention enable-multibyte-characters if non-standard.
15165 2013-12-17  Juri Linkov  <juri@jurta.org>
15167         * arc-mode.el (archive-extract-by-file): Check if directory exists
15168         before deletion to not show irrelevant errors if it doesn't exist.
15170 2013-12-17  Juri Linkov  <juri@jurta.org>
15172         * menu-bar.el (menu-bar-tools-menu): Add `browse-web'.
15173         (Bug#14751)
15175         * net/eww.el (browse-web): Add alias to `eww'.
15176         (eww-mode-map): Bind "r" to `eww-forward-url' like in Info.
15177         Bind "S-SPC" to `scroll-down-command'.  (Bug#16178)
15179         * net/browse-url.el (browse-url-browser-function): Move `eww'
15180         closer to similar functions.
15182         * startup.el (fancy-startup-screen, fancy-about-screen):
15183         Set browse-url-browser-function to eww-browse-url locally.
15184         (Bug#14751)
15186 2013-12-17  Stefan Monnier  <monnier@iro.umontreal.ca>
15188         * window.el (window--pixel-to-total): Remove unused `mini' var.
15189         (maximize-window, minimize-window): Remove unused `pixelwise' arg.
15190         (split-window): Remove unused `new' var.
15191         (window--display-buffer): Remove unused `frame' and `delta' vars.
15192         (fit-window-to-buffer): Remove unused vars `frame', `display-height',
15193         and display-width'.
15195 2013-12-17  Martin Rudalics  <rudalics@gmx.at>
15197         * dired.el (dired-mark-pop-up):
15198         * register.el (register-preview): Don't bind
15199         split-height-threshold here since it's now done in
15200         display-buffer-below-selected.
15202 2013-12-17  oblique  <psyberbits@gmail.com>  (tiny change)
15204         * term/rxvt.el (rxvt-rgb-convert-to-16bit): Standardize with
15205         xterm-rgb-convert-to-16bit.
15206         (rxvt-register-default-colors): Standardize with
15207         xterm-register-default-colors (Bug#14078).
15209 2013-12-17  Dima Kogan  <dima@secretsauce.net>  (tiny change)
15211         * simple.el (kill-region): Pass mark first, then point, so that
15212         kill-append works right (Bug#12819).
15213         (copy-region-as-kill, kill-ring-save): Likewise.
15215 2013-12-17  Leo Liu  <sdl.web@gmail.com>
15217         * net/rcirc.el (rcirc-add-face):
15218         * eshell/em-prompt.el (eshell-emit-prompt):
15219         * eshell/em-ls.el (eshell-ls-decorated-name): Use font-lock-face.
15220         (Bug#16167)
15222 2013-12-17  Chong Yidong  <cyd@gnu.org>
15224         * files.el (break-hardlink-on-save): Doc fix (Bug#13801).
15225         Suggested by Xue Fuqiao.
15227 2013-12-17  Dmitry Gutov  <dgutov@yandex.ru>
15229         * progmodes/ruby-mode.el (ruby-smie-rules): Indent ternary if.
15231 2013-12-17  Stefan Monnier  <monnier@iro.umontreal.ca>
15233         * net/shr.el (shr-insert-document): Remove unused var
15234         `shr-preliminary-table-render'.
15235         (shr-rescale-image): Remove unused arg `force'.
15236         (shr-put-image): Update calls accordingly.
15237         (shr-tag-a): Use `cont' rather than dyn-bound `dom'.
15239 2013-12-17  Dmitry Gutov  <dgutov@yandex.ru>
15241         * emacs-lisp/smie.el (smie-indent--rule): Extract `smie-indent--rule-1'.
15242         (smie-indent-close): Call `smie-indent--rule-1' with METHOD
15243         :close-all, to see which indentation method to use (Bug#16116).
15244         (smie-rules-function): Document the method :close-all.
15246 2013-12-16  Lars Magne Ingebrigtsen  <larsi@gnus.org>
15248         * net/shr.el (shr-tag-a): Support zero-length <a name="foo"> elements.
15250         * net/eww.el (eww-display-html): If we can't find the anchor we're
15251         looking for, then go to point-min.
15253 2013-12-16  Paul Eggert  <eggert@cs.ucla.edu>
15255         Fix problems with CANNOT_DUMP and EMACSLOADPATH.
15256         * Makefile.in (emacs): Add lisp src to EMACSLOADPATH.
15257         * loadup.el: Check for src/bootstrap-emacs only when Emacs can dump.
15258         Expand dir too, in case it's relative.
15260 2013-12-16  Juri Linkov  <juri@jurta.org>
15262         * desktop.el (desktop-auto-save-timeout): Change default to
15263         `auto-save-timeout'.  Doc fix.
15264         (desktop-save): Skip the timestamp in desktop-saved-frameset
15265         when checking for auto-save changes.
15266         (desktop-auto-save): Don't call desktop-auto-save-set-timer since
15267         `desktop-auto-save' is called repeatedly by the idle timer.
15268         (desktop-auto-save-set-timer): Replace `run-with-timer' with
15269         `run-with-idle-timer' and a non-nil arg REPEAT.  Doc fix.
15270         (Bug#15331)
15272 2013-12-16  Juri Linkov  <juri@jurta.org>
15274         * isearch.el (isearch-mode-map): Remove [escape] key bindinds.
15275         (Bug#16035)
15276         (isearch-pre-command-hook): Check `this-command' for symbolp.
15278 2013-12-16  Stefan Monnier  <monnier@iro.umontreal.ca>
15280         * emacs-lisp/gv.el (gv-ref): Mention lexbind restriction (bug#16153).
15282 2013-12-16  Teodor Zlatanov  <tzz@lifelogs.com>
15284         * progmodes/cfengine.el (cfengine3--current-word): Remove.
15285         (cfengine3--current-function): Bring in the current-function
15286         functionality from `cfengine3--current-word'.
15287         (cfengine3-completion-function): Bring in the
15288         bounds-of-current-word functionality from
15289         `cfengine3--current-word'.
15291 2013-12-16  Martin Rudalics  <rudalics@gmx.at>
15293         * window.el (display-buffer-below-selected):
15294         Bind split-height-threshold to 0 as suggested by Juri Linkov.
15296 2013-12-16  Leo Liu  <sdl.web@gmail.com>
15298         * progmodes/compile.el (compile-goto-error): Do not push-mark.
15299         Remove NOMSG arg and all uses changed.
15301 2013-12-16  Stefan Monnier  <monnier@iro.umontreal.ca>
15303         * emulation/cua-rect.el (cua-rectangle-mark-mode): New minor mode.
15304         (cua--deactivate-rectangle): Don't deactivate the mark.
15305         (cua-set-rectangle-mark): Don't set mark-active since
15306         cua--activate-rectangle already does it for us.
15307         (cua--rectangle-highlight-for-redisplay): Unhighlight a previous
15308         non-rectangular region.
15310         * emulation/cua-base.el (cua-repeat-replace-region):
15311         Use with-current-buffer.
15313         * net/gnutls.el: Use cl-lib.
15314         (gnutls-negotiate): `mapcan' -> cl-mapcan.
15316 2013-12-14  Teodor Zlatanov  <tzz@lifelogs.com>
15318         * emacs-lisp/package.el (package-built-in-p): Support both
15319         built-in and the package.el converted package descriptions.
15320         (package-show-package-list): Allow keywords.
15321         (package-keyword-button-action): Use it instead of
15322         `finder-list-matches'.
15323         (package-menu-filter-interactive): Interactive filtering (by
15324         keyword) function.
15325         (package-menu--generate): Support keywords and change keymappings
15326         and headers when they are given.
15327         (package--has-keyword-p): Helper function.
15328         (package-menu--refresh): Use it.
15329         (package--mapc): Helper function.
15330         (package-all-keywords): Use it.
15331         (package-menu-mode-map): Set up menu items and keybindings to
15332         provide a filtering UI.
15334 2013-12-14  Teodor Zlatanov  <tzz@lifelogs.com>
15336         * net/gnutls.el (gnutls-verify-error): New defcustom to control
15337         the behavior when a certificate fails validation.  Defaults to
15338         old behavior: never abort, just warn.
15339         (gnutls-negotiate): Use it.
15341 2013-12-14  Martin Rudalics  <rudalics@gmx.at>
15343         * window.el (display-buffer-below-selected): Never split window
15344         horizontally.  Suggested by Juri Linkov <juri@jurta.org>.
15346 2013-12-14  Tom Willemse  <tom@ryuslash.org>  (tiny change)
15348         * emacs-lisp/package.el (package--prepare-dependencies): New function.
15349         (package-buffer-info): Use it (bug#15108).
15351 2013-12-14  Stefan Monnier  <monnier@iro.umontreal.ca>
15353         * icomplete.el (icomplete-completions): Make sure the prefix is already
15354         displayed elsewhere before hiding it (bug#16219).
15356 2013-12-14  Dmitry Gutov  <dgutov@yandex.ru>
15358         * progmodes/ruby-mode.el (ruby-smie-rules): Return nil before
15359         open-paren tokens when preceded by a open-paren, too.
15360         (ruby-smie-rules): Handle virtual indentation after open-paren
15361         tokens specially.  If there is code between it and eol, return the
15362         column where is starts (Bug#16118).
15364 2013-12-13  Teodor Zlatanov  <tzz@lifelogs.com>
15366         * progmodes/cfengine.el: Fix `add-hook' doc.
15367         (cfengine-mode-syntax-functions-regex): Initialize sensibly.
15368         (cfengine3--current-word): Fix parameters.
15369         (cfengine3-make-syntax-cache): Simplify further.
15370         (cfengine3-completion-function, cfengine3--current-function):
15371         Use `assq' for symbols.
15372         (cfengine3--current-function): Fix `cfengine3--current-word' call.
15374 2013-12-13  Glenn Morris  <rgm@gnu.org>
15376         * loadup.el (load-path): Warn if site-load or site-init changes it.
15377         No more need to reset it when bootstrapping.
15379 2013-12-13  Teodor Zlatanov  <tzz@lifelogs.com>
15381         * progmodes/cfengine.el (cfengine-cf-promises): Add more default
15382         locations for cf-promises.
15383         (cfengine-mode-syntax-functions-regex): New caching variable.
15384         (cfengine3-fallback-syntax): Fallback syntax for cases where
15385         cf-promises doesn't run.
15386         (cfengine3--current-word): Reimplement using
15387         `cfengine-mode-syntax-functions-regex'.
15388         (cfengine3-completion-function, cfengine3--current-function):
15389         Use `cfengine3-make-syntax-cache' directly.
15390         (cfengine3-clear-syntax-cache): New function.
15391         (cfengine3-make-syntax-cache): Simplify and create
15392         `cfengine-mode-syntax-functions-regex' on demand.
15393         (cfengine3-format-function-docstring): Don't call
15394         `cfengine3-make-syntax-cache' explicitly.
15396 2013-12-13  Martin Rudalics  <rudalics@gmx.at>
15398         Fix windmove-find-other-window broken after pixelwise resizing
15399         (Bug#16017).
15400         * windmove.el (windmove-other-window-loc): Revert change from
15401         2013-12-04.
15402         (windmove-find-other-window): Call window-in-direction.
15403         * window.el (window-in-direction): New arguments SIGN, WRAP and
15404         MINI to emulate original windmove-find-other-window behavior.
15406 2013-12-13  Dmitry Gutov  <dgutov@yandex.ru>
15408         * simple.el (blink-matching--overlay): New variable.
15409         (blink-matching-open): Instead of moving point, highlight the
15410         matching paren with an overlay
15411         (http://lists.gnu.org/archive/html/emacs-devel/2013-12/msg00333.html).
15413         * faces.el (paren-showing-faces, show-paren-match)
15414         (show-paren-mismatch): Move from paren.el.
15416 2013-12-13  Leo Liu  <sdl.web@gmail.com>
15418         * indent.el (indent-region): Disable progress reporter in
15419         minibuffer.  (Bug#16108)
15421         * bindings.el (visual-order-cursor-movement): Fix version.
15423 2013-12-13  Fabián Ezequiel Gallina  <fgallina@gnu.org>
15425         * progmodes/python.el (python-pdbtrack-stacktrace-info-regexp):
15426         Also match after beginning of line.
15427         (python-pdbtrack-set-tracked-buffer): Fix logic for remote
15428         files.  Thanks to Russell Sim.  (Bug#15378)
15430 2013-12-13  Juri Linkov  <juri@jurta.org>
15432         * simple.el <Keypad support>: Remove key bindings duplicated
15433         with bindings.el.  (Bug#14397)
15435 2013-12-13  Juri Linkov  <juri@jurta.org>
15437         * comint.el (comint-mode-map): Replace `delete-char' with
15438         `delete-forward-char'.  (Bug#16109)
15440 2013-12-12  Fabián Ezequiel Gallina  <fgallina@gnu.org>
15442         * progmodes/python.el (python-indent-calculate-indentation):
15443         Fix de-denters cornercase.  (Bug#15731)
15445 2013-12-12  Stefan Monnier  <monnier@iro.umontreal.ca>
15447         * emacs-lisp/nadvice.el: Add `depth' property to manage ordering.
15448         (advice--make): Pay attention to `depth'.
15449         (advice--make-1): Don't autoload commands eagerly.
15450         * emacs-lisp/elp.el (elp-instrument-function):
15451         * emacs-lisp/trace.el (trace-function-internal):
15452         * emacs-lisp/debug.el (debug-on-entry): Keep them "first".
15454         * iswitchb.el (iswitchb-mode): Don't belittle ido.
15456 2013-12-12  Eli Zaretskii  <eliz@gnu.org>
15458         * term/w32-win.el (w32-handle-dropped-file):
15459         * startup.el (normal-top-level):
15460         * net/browse-url.el (browse-url-file-url):
15461         * dnd.el (dnd-get-local-file-name): On MS-Windows, encode and
15462         decode file names using 'utf-8' rather than
15463         file-name-coding-system.
15465 2013-12-12  Fabián Ezequiel Gallina  <fgallina@gnu.org>
15467         * progmodes/python.el (python-indent-context)
15468         (python-indent-calculate-indentation): Fix auto-identation
15469         behavior for comment blocks.  (Bug#15916)
15471 2013-12-12  Nathan Trapuzzano  <nbtrap@nbtrap.com>  (tiny change)
15473         * progmodes/python.el (python-indent-calculate-indentation):
15474         When determining indentation, don't treat "return", "pass", etc., as
15475         operators when they are just string constituents.  (Bug#15812)
15477 2013-12-12  Juri Linkov  <juri@jurta.org>
15479         * uniquify.el (uniquify-buffer-name-style): Change default to
15480         `post-forward-angle-brackets'.
15482         * menu-bar.el (menu-bar-options-menu): Don't require preloaded
15483         `uniquify'.  Change default to `post-forward-angle-brackets'.
15485 2013-12-11  Glenn Morris  <rgm@gnu.org>
15487         * emacs-lisp/package.el (finder-list-matches):
15488         Autoload rather than falsely declaring.
15490 2013-12-11  Teodor Zlatanov  <tzz@lifelogs.com>
15492         * net/eww.el (eww-exit, eww-close): Add UI convenience wrappers.
15493         (eww-mode-map): Use them.
15495 2013-12-11  Martin Rudalics  <rudalics@gmx.at>
15497         * window.el (display-buffer-in-side-window): Fix doc-string
15498         (Bug#16115).
15500 2013-12-11  Juanma Barranquero  <lekktu@gmail.com>
15502         * vc/vc-git.el: Silence byte-compiler warnings.
15503         (vc-git-dir-extra-headers): Rename arg _dir which is no longer ignored.
15504         (log-edit-set-header): Declare.
15506 2013-12-11  Eli Zaretskii  <eliz@gnu.org>
15508         * Makefile.in (custom-deps, finder-data): Run output file names
15509         through unmsys--file-name.  (Bug#16099)
15511 2013-12-11  Stefan Monnier  <monnier@iro.umontreal.ca>
15513         * emacs-lisp/smie.el (smie-indent--hanging-p): Don't bother matching
15514         comment-start-skip, which fails when that uses submatch 1 (bug#16041).
15516         * emulation/cua-base.el (cua-paste): Add `delete-selection' property
15517         instead of deleting the selection "by hand" (bug#16098).
15518         Rely on insert-for-yank to yank rectangles.
15519         (cua-highlight-region-shift-only): Mark obsolete.
15520         (cua-mode): Don't enable/disable transient-mark-mode,
15521         shift-select-mode (cua-mode works both with and without them), and
15522         pc-selection-mode (obsolete).
15523         * emulation/cua-rect.el (cua--activate-rectangle): Activate the mark.
15524         (cua--deactivate-rectangle): Deactivate it.
15526         * delsel.el (delete-selection-mode): Don't enable transient-mark-mode.
15527         (delete-selection-helper): Make sure yank starts at the top of the
15528         deleted region.
15529         (minibuffer-keyboard-quit): Use region-active-p.
15531         * emacs-lisp/trace.el (trace-make-advice): Don't deactivate the mark.
15533         * simple.el (normal-erase-is-backspace-mode): Map kp-delete identically
15534         to `delete' (bug#16109).
15536 2013-12-11  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
15538         * progmodes/octave.el (octave-mode, inferior-octave-mode): Link to
15539         info manual and show keybindings and set `:group' keyword.
15541 2013-12-11  Juri Linkov  <juri@jurta.org>
15543         * delsel.el (delete-active-region): Let-bind `this-command'
15544         to prevent `kill-region' from changing its original value.
15545         (delete-selection-helper): Handle `overwrite-mode' for the type
15546         `kill' exactly the same way as for the type `t'.
15547         (insert-char, quoted-insert, reindent-then-newline-and-indent):
15548         Support more commands.  (Bug#13312)
15550 2013-12-11  Juri Linkov  <juri@jurta.org>
15552         * bindings.el: Map kp keys to non-kp keys systematically
15553         with basic modifiers control, meta and shift.  (Bug#14397)
15555 2013-12-11  Kenjiro NAKAYAMA  <nakayamakenjiro@gmail.com>
15557         * net/eww.el (eww-mode-map): Instead of "Quit" show "Exit" and
15558         "Close browser" menu items.  Fix wrong function of "List
15559         bookmarks".
15561 2013-12-11  Juri Linkov  <juri@jurta.org>
15563         * misearch.el (multi-isearch-buffers): Set the value of
15564         `multi-isearch-buffer-list' globally.  Set NO-RECURSIVE-EDIT
15565         arg of isearch-forward to t.
15566         (multi-isearch-buffers-regexp): Set the value of
15567         `multi-isearch-buffer-list' globally.  Set NO-RECURSIVE-EDIT
15568         arg of isearch-forward-regexp to t.
15569         (multi-isearch-files): Set the value of
15570         `multi-isearch-file-list' globally.  Set NO-RECURSIVE-EDIT
15571         arg of isearch-forward to t.
15572         (multi-isearch-files-regexp): Set the value of
15573         `multi-isearch-file-list' globally.  Set NO-RECURSIVE-EDIT
15574         arg of isearch-forward-regexp to t.  (Bug#16035)
15576         * dired-aux.el (dired-isearch-filenames): Set NO-RECURSIVE-EDIT
15577         arg of isearch-forward to t.
15578         (dired-isearch-filenames-regexp): Set NO-RECURSIVE-EDIT
15579         arg of isearch-forward-regexp to t.
15580         (dired-isearch-filter-filenames): Remove unnecessary check for
15581         `dired-isearch-filenames'.
15583         * comint.el (comint-history-isearch-backward):
15584         Set NO-RECURSIVE-EDIT arg of isearch-backward to t.
15585         (comint-history-isearch-backward-regexp):
15586         Set NO-RECURSIVE-EDIT arg of isearch-backward-regexp to t.
15588 2013-12-10  Eli Zaretskii  <eliz@gnu.org>
15590         * Makefile.in (autoloads): Run $(srcdir)/loaddefs.el through
15591         unmsys--file-name.  (Bug#16099)
15593 2013-12-10  Teodor Zlatanov  <tzz@lifelogs.com>
15595         * emacs-lisp/package.el (package-keyword-button-action):
15596         Remove finder.el require dependency.
15598 2013-12-09  Teodor Zlatanov  <tzz@lifelogs.com>
15600         * emacs-lisp/package.el: Require finder.el.
15601         (describe-package-1): Add keyword buttons.
15602         (package-make-button): New convenience function.
15603         (package-keyword-button-action): Keyword button action using
15604         `finder-list-matches'.
15606 2013-12-09  Eli Zaretskii  <eliz@gnu.org>
15608         * autorevert.el (auto-revert-notify-add-watch): Fix a thinko in
15609         last commit.
15611 2013-12-09  Michael Albinus  <michael.albinus@gmx.de>
15613         * autorevert.el (auto-revert-notify-add-watch): Do not handle
15614         symlinked files.
15616 2013-12-09  Dmitry Gutov  <dgutov@yandex.ru>
15618         * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Return t
15619         after the end of a percent literal.
15621 2013-12-09  Cameron Desautels  <camdez@gmail.com>  (tiny change)
15623         * progmodes/ruby-mode.el (ruby-forward-string): Document.
15624         Handle caret-delimited strings (Bug#16079).
15626 2013-12-09  Dmitry Gutov  <dgutov@yandex.ru>
15628         * progmodes/ruby-mode.el (ruby-accurate-end-of-block):
15629         When `ruby-use-smie' is t, use `smie-forward-sexp' instead of
15630         `ruby-parse-partial' (Bug#16078).
15632 2013-12-09  Leo Liu  <sdl.web@gmail.com>
15634         * subr.el (read-passwd): Disable show-paren-mode.  (Bug#16091)
15636 2013-12-08  Dmitry Gutov  <dgutov@yandex.ru>
15638         * progmodes/js.el (js-auto-indent-flag): Remove, was unused.
15639         (js-switch-indent-offset): New option.
15640         (js--proper-indentation): Use it.  And handle the case when
15641         "default" is actually a key in an object literal.
15642         (js--same-line): New function.
15643         (js--multi-line-declaration-indentation): Use it.
15644         (js--indent-in-array-comp, js--array-comp-indentation):
15645         New functions.
15646         (js--proper-indentation): Use them, to handle array comprehension
15647         continuations.
15649 2013-12-08  Leo Liu  <sdl.web@gmail.com>
15651         * progmodes/flymake.el (flymake-highlight-line): Re-write.
15652         (flymake-make-overlay): Remove arg MOUSE-FACE.
15653         (flymake-save-string-to-file, flymake-read-file-to-string): Remove.
15655 2013-12-08  Stefan Monnier  <monnier@iro.umontreal.ca>
15657         * emulation/cua-rect.el (cua--rectangle-highlight-for-redisplay):
15658         New function.
15659         (redisplay-highlight-region-function): Use it.
15661         * emulation/cua-base.el (cua--explicit-region-start)
15662         (cua--last-region-shifted): Remove.
15663         (cua--deactivate): Use deactivate-mark.
15664         (cua--pre-command-handler-1): Don't handle shift-selection.
15665         (cua--post-command-handler-1): Don't change transient-mark-mode.
15666         (cua--select-keymaps): Use region-active-p rather than
15667         cua--explicit-region-start or cua--last-region-shifted.
15668         (cua-mode): Enable shift-select-mode.
15670 2013-12-08  Leo Liu  <sdl.web@gmail.com>
15672         * progmodes/flymake.el (flymake-popup-current-error-menu):
15673         Rename from flymake-display-err-menu-for-current-line.  Reimplement.
15674         (flymake-posn-at-point-as-event, flymake-popup-menu)
15675         (flymake-make-emacs-menu): Remove.  (Bug#16077)
15677 2013-12-08  Stefan Monnier  <monnier@iro.umontreal.ca>
15679         * rect.el (rectangle-mark-mode): Activate mark even if
15680         transient-mark-mode is off (bug#16066).
15681         (rectangle--highlight-for-redisplay): Fix boundary condition when point
15682         is > mark and at bolp.
15684         * emulation/cua-rect.el (cua--rectangle-region-extract): New function.
15685         (region-extract-function): Use it.
15686         (cua-mouse-save-then-kill-rectangle): Use cua-copy-region.
15687         (cua-copy-rectangle, cua-cut-rectangle, cua-delete-rectangle):
15688         Delete functions.
15689         (cua--init-rectangles): Don't re-remap copy-region-as-kill,
15690         kill-ring-save, kill-region, delete-char, delete-forward-char.
15691         Ignore self-insert-iso.
15693         * emulation/cua-gmrk.el (cua--init-global-mark):
15694         Ignore `self-insert-iso'.
15696         * emulation/cua-base.el (cua--prefix-copy-handler)
15697         (cua--prefix-cut-handler): Rely on region-extract-function rather than
15698         checking cua--rectangle.
15699         (cua-delete-region): Use region-extract-function.
15700         (cua-replace-region): Delete function.
15701         (cua-copy-region, cua-cut-region): Obey region-extract-function.
15702         (cua--pre-command-handler-1): Don't do the delete-selection thing.
15703         (cua--self-insert-char-p): Ignore `self-insert-iso'.
15704         (cua--init-keymaps): Don't remap delete-selection commands.
15705         (cua-mode): Use delete-selection-mode instead of rolling our own
15706         (bug#16085).
15708         * menu-bar.el (clipboard-kill-ring-save, clipboard-kill-region):
15709         Obey region-extract-function.
15711         Make registers and delete-selection-mode work on rectangles.
15712         * register.el (describe-register-1): Don't modify the register's value.
15713         (copy-to-register): Obey region-extract-function.
15714         * delsel.el (delete-active-region): Obey region-extract-function.
15716 2013-12-08  Leo Liu  <sdl.web@gmail.com>
15718         * progmodes/flymake.el (flymake, flymake-error-bitmap)
15719         (flymake-warning-bitmap, flymake-fringe-indicator-position)
15720         (flymake-compilation-prevents-syntax-check)
15721         (flymake-start-syntax-check-on-newline)
15722         (flymake-no-changes-timeout, flymake-gui-warnings-enabled)
15723         (flymake-start-syntax-check-on-find-file, flymake-log-level)
15724         (flymake-xml-program, flymake-master-file-dirs)
15725         (flymake-master-file-count-limit)
15726         (flymake-allowed-file-name-masks): Relocate.
15727         (flymake-makehash, flymake-float-time)
15728         (flymake-replace-regexp-in-string, flymake-split-string)
15729         (flymake-get-temp-dir): Remove.
15730         (flymake-popup-menu, flymake-nop, flymake-make-xemacs-menu)
15731         (flymake-current-row, flymake-selected-frame)
15732         (flymake-get-point-pixel-pos): Remove xemacs compatibity and
15733         related functions.  (Bug#16077)
15735 2013-12-07  Bozhidar Batsov  <bozhidar@batsov.com>
15737         * emacs-lisp/helpers.el (string-blank-p): Use `string-match-p'.
15739 2013-12-07  Tassilo Horn  <tsdh@gnu.org>
15741         * help-fns.el (describe-function-1): Use new advice-* functions
15742         rather than old ad-* functions.  Fix function type description and
15743         source links for advised functions and subrs.
15745 2013-12-07  Lars Magne Ingebrigtsen  <larsi@gnus.org>
15747         * net/shr.el (shr-tag-img): Don't bug out on <img src=""> data.
15749 2013-12-06  Michael Albinus  <michael.albinus@gmx.de>
15751         * progmodes/compile.el (compilation-start):
15752         * progmodes/grep.el (rgrep): Revert change 2012-12-20T11:15:38Z!michael.albinus@gmx.de.
15754         * net/tramp-sh.el (tramp-sh-handle-start-file-process):
15755         Handle long command lines, lasting from "sh -c ...".  (Bug#16045)
15757 2013-12-06  Dmitry Gutov  <dgutov@yandex.ru>
15759         * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
15760         Touch up the last change.
15762 2013-12-06  Leo Liu  <sdl.web@gmail.com>
15764         * progmodes/octave.el (inferior-octave-prompt): Use shy groups.
15765         (inferior-octave-startup): Always use "octave> " for prompt.
15766         (octave-goto-function-definition)
15767         (octave-sync-function-file-names)
15768         (octave-find-definition-default-filename): Remove redundant backquotes.
15770 2013-12-06  Dmitry Gutov  <dgutov@yandex.ru>
15772         * progmodes/ruby-mode.el (ruby-mode-syntax-table): Don't modify
15773         syntax for `?'.
15774         (ruby-expr-beg): Expect that `!' will have syntax class "symbol"
15775         where appropriate already.
15776         (ruby-syntax-propertize-function): Propertize `?' and `!' at the
15777         end of method names (Bug#15874).
15779 2013-12-06  Juri Linkov  <juri@jurta.org>
15781         * isearch.el (isearch--saved-overriding-local-map):
15782         New internal variable.
15783         (isearch-mode): Set it to the initial value of
15784         `overriding-terminal-local-map'.
15785         (isearch-pre-command-hook): Compare `overriding-terminal-local-map'
15786         with `isearch--saved-overriding-local-map'.  (Bug#16035)
15788 2013-12-06  Dmitry Gutov  <dgutov@yandex.ru>
15790         * progmodes/octave.el (inferior-octave-completion-table):
15791         Turn back into function, use `completion-table-with-cache'
15792         (Bug#11906).  Update all references.
15794         * minibuffer.el (completion-table-with-cache): New function.
15796 2013-12-05  Cameron Desautels  <camdez@gmail.com>  (tiny change)
15798         * emacs-lisp/regexp-opt.el (regexp-opt-charset): Fix ^ (bug#16046).
15800 2013-12-05  Teodor Zlatanov  <tzz@lifelogs.com>
15802         * net/eww.el (eww-current-source): New variable to store page
15803         source.
15804         (eww-display-html, eww-mode, eww-save-history)
15805         (eww-restore-history): Use it.
15806         (eww-view-source): New command to view page source.
15807         Opportunistically uses `html-mode' to highlight the buffer.
15808         (eww-mode-map): Install it.
15810 2013-12-05  Michael Albinus  <michael.albinus@gmx.de>
15812         * net/dbus.el (dbus-unregister-service)
15813         (dbus-escape-as-identifier, dbus-unescape-from-identifier):
15814         Fix docstring.
15815         (dbus-unregister-service): Skip :serial entries in
15816         `dbus-registered-objects-table'.
15817         (dbus-byte-array-to-string): New optional arg MULTIBYTE.
15819 2013-12-04  Teodor Zlatanov  <tzz@lifelogs.com>
15821         * emacs-lisp/lisp-mnt.el (lm-keywords-list): Trim whitespace
15822         around keywords with extra `split-string' argument.
15824 2013-12-04  Martin Rudalics  <rudalics@gmx.at>
15826         * windmove.el (windmove-other-window-loc): Handle navigation
15827         between windows (excluding the minibuffer window - Bug#16017).
15829 2013-12-04  Michael Albinus  <michael.albinus@gmx.de>
15831         * net/dbus.el (dbus-byte-array-to-string): Accept also byte arrays
15832         in D-Bus type syntax.
15833         (dbus-unescape-from-identifier): Use `byte-to-string' in order to
15834         preserve unibyte strings.  (Bug#16048)
15836 2013-12-04  Stefan Monnier  <monnier@iro.umontreal.ca>
15838         * emacs-lisp/eldoc.el (eldoc-minibuffer-message):
15839         Call force-mode-line-update is the proper buffer (bug#16042).
15841 2013-12-04  Dmitry Gutov  <dgutov@yandex.ru>
15843         * vc/log-edit.el (log-edit-add-new-comment): Rename to
15844         `log-edit-remember-comment', make argument optional.  Adjust all
15845         callers.
15846         (log-edit-mode): Add `log-edit-remember-comment' to
15847         `kill-buffer-hook' locally.
15848         (log-edit-kill-buffer): Don't remember comment explicitly since
15849         the buffer is killed anyway.
15851 2013-12-04  Juri Linkov  <juri@jurta.org>
15853         * isearch.el (isearch-mode, isearch-done): Don't set arg LOCAL in
15854         add-hook and remove-hook for multi-buffer search.  (Bug#16035)
15856 2013-12-03  Tom Regner  <tom@goochesa.de>  (tiny change)
15858         * notifications.el (notifications-close-notification): Call the
15859         D-Bus method with ID being a `:uint32'.  (Bug#16030)
15861 2013-12-03  Katsumi Yamaoka  <yamaoka@jpl.org>
15863         * net/eww.el (eww-render): Don't pass arg to eww-display-image.
15865 2013-12-03  Juri Linkov  <juri@jurta.org>
15867         * progmodes/compile.el (compilation-start): Rename window alist
15868         entry `no-display-ok' to `allow-no-window'.
15870         * simple.el (shell-command): Add window alist entry
15871         `allow-no-window' to `display-buffer'.
15872         (async-shell-command): Doc fix.
15874         * window.el (display-buffer-no-window): New action function.
15875         (display-buffer-alist, display-buffer): Doc fix.  (Bug#13594)
15877 2013-12-02  Dmitry Gutov  <dgutov@yandex.ru>
15879         * vc/log-edit.el (log-edit-set-header): Extract from
15880         `log-edit-toggle-header'.
15881         (log-edit-extract-headers): Separate the summary, when extracted
15882         from header, from the rest of the message with an empty line.
15884         * vc/vc-git.el (vc-git-log-edit-toggle-amend): Move the summary
15885         line, if present, to the Summary header.
15887 2013-12-02  Stefan Monnier  <monnier@iro.umontreal.ca>
15889         * epa-file.el (epa-file-insert-file-contents): Ensure we insert text
15890         in current-buffer (bug#16029).
15892 2013-12-02  Helmut Eller  <eller.helmut@gmail.com>
15894         * emacs-lisp/debug.el (debugger-toggle-locals): New command.
15895         (debugger-mode-map): Bind it.
15896         (debugger--backtrace-base): New function.
15897         (debugger-eval-expression): Use it.
15898         (debugger-frame-number): Skip local vars when present.
15899         (debugger--locals-visible-p, debugger--insert-locals)
15900         (debugger--show-locals, debugger--hide-locals): New functions.
15902 2013-12-02  Michael Albinus  <michael.albinus@gmx.de>
15904         * net/tramp-sh.el (tramp-remote-process-environment): Do not set
15905         "LC_ALL".
15906         (tramp-get-remote-locale): New defun.
15907         (tramp-open-connection-setup-interactive-shell): Use it.
15909 2013-12-02  Leo Liu  <sdl.web@gmail.com>
15911         * subr.el (process-live-p): Return nil for non-process.  (Bug#16023)
15913         * progmodes/sh-script.el (sh-shell-process):
15914         * progmodes/octave.el (inferior-octave-process-live-p):
15915         * progmodes/gdb-mi.el (gdb-delchar-or-quit)
15916         (gdb-inferior-io-sentinel):
15917         * emacs-lock.el (emacs-lock-live-process-p): All uses changed.
15919 2013-12-02  Dmitry Gutov  <dgutov@yandex.ru>
15921         * vc/log-edit.el (log-edit-kill-buffer): Move the use of
15922         `save-selected-window' to `log-edit-hide-buf'.  This makes
15923         `log-edit-show-files' idempotent.
15924         (log-edit-show-files): Mark the new window as dedicated.
15926 2013-12-02  Dmitry Gutov  <dgutov@yandex.ru>
15928         * vc/log-edit.el (log-edit-mode-map): Add binding for
15929         `log-edit-kill-biffer'.
15930         (log-edit-hide-buf): Add a FIXME comment.
15931         (log-edit-add-new-comment): New function, extracted from
15932         `log-edit-done'.
15933         (log-edit-done, log-edit-add-to-changelog): Use it.
15934         (log-edit-kill-buffer): New command.
15936 2013-12-01  Lars Magne Ingebrigtsen  <larsi@gnus.org>
15938         * net/eww.el (eww-mode-map): Have `q' do a normal `quit-window'
15939         instead of killing the buffer.
15941 2013-12-01  Stefan Monnier  <monnier@iro.umontreal.ca>
15943         * simple.el (newline): Mention `electric-indent-mode' (bug#16015).
15945 2013-12-01  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
15947         * net/eww.el (eww-form-checkbox-selected-symbol)
15948         (eww-form-checkbox-symbol): New customizable variable.
15949         (eww-form-checkbox, eww-toggle-checkbox):
15950         Use `eww-form-checkbox-selected-symbol' and `eww-form-checkbox-symbol'.
15952         * net/shr.el (shr-prefer-media-type-alist): New customizable variable.
15953         (shr--get-media-pref, shr--extract-best-source): New function.
15954         (shr-tag-video, shr-tag-audio): Use `shr--extract-best-source' when
15955         no :src tag was specified.
15957         * net/eww.el (eww-use-external-browser-for-content-type): New variable.
15958         (eww-render): Handle `eww-use-external-browser-for-content-type'.
15959         Use \\` to match beginning of string instead of ^.
15960         (eww-browse-with-external-browser): Provide optional URL parameter.
15961         (eww-render): Set `eww-current-title' back to "".
15963         * net/shr.el (shr-tag-video): Display content for video if no
15964         poster is available.
15965         (shr-tag-audio): Add support for <audio> tag.
15967         * net/eww.el (eww-text-input-types): New const.
15968         (eww-process-text-input): Treat input types in
15969         `eww-text-input-types' as text.
15971         * net/shr.el (shr-tag-table): Fix comment typo.
15973 2013-12-01  Lars Magne Ingebrigtsen  <larsi@gnus.org>
15975         * net/eww.el (eww-follow-link): New command to avoid reloading
15976         pages when we follow #target links (bug#15243).
15977         (eww-quit): Special mode buffers shouldn't query before exiting.
15979 2013-12-01  Kenjiro NAKAYAMA  <nakayamakenjiro@gmail.com>
15981         * net/eww.el (eww-tag-select): Support <optgroup> tags in <select>
15982         forms.
15984 2013-12-01  Lars Magne Ingebrigtsen  <larsi@gnus.org>
15986         * net/eww.el (eww-restore-history): Update the window title after
15987         moving in the history.
15988         (eww-current-dom): New variable used to save the current DOM.
15990 2013-12-01  Dmitry Gutov  <dgutov@yandex.ru>
15992         * vc/log-edit.el (log-edit-mode-map): Add binding for
15993         `log-edit-beginning-of-line'.
15994         (log-edit-setup-add-author): New user option.
15995         (log-edit-beginning-of-line): New command.
15996         (log-edit): Move major mode call above the contents setup so that
15997         the local variable values are already applied.
15998         (log-edit): Only insert "Author: " when
15999         `log-edit-setup-add-author' is non-nil.
16000         (log-edit): When SETUP is non-nil, position point after ": "
16001         instead of point-min.
16003 2013-12-01  Glenn Morris  <rgm@gnu.org>
16005         * startup.el (command-line): Warn if ~/emacs.d is in load-path.
16007 2013-11-30  Eli Zaretskii  <eliz@gnu.org>
16009         * startup.el (fancy-splash-frame): On MS-Windows, trigger
16010         redisplay to make sure the initial frame gets a chance to become
16011         visible.  (Bug#16014)
16013 2013-11-30  Martin Rudalics  <rudalics@gmx.at>
16015         Support resizing frames and windows pixelwise.
16016         * cus-start.el (frame-resize-pixelwise)
16017         (window-resize-pixelwise): New entries.
16018         * emacs-lisp/debug.el (debug): Use window-total-height instead
16019         of window-total-size.
16020         * frame.el (tool-bar-lines-needed): Defalias to tool-bar-height.
16021         * help.el (describe-bindings-internal): Use help-buffer as
16022         argument for with-help-window.
16023         (temp-buffer-max-width): New option.
16024         (resize-temp-buffer-window, help-window-setup)
16025         (with-help-window): Rewrite.
16026         * mouse.el (mouse-drag-line): Rewrite.  Add key bindings for
16027         dragging dividers.
16028         * window.el (frame-char-size, window-min-pixel-height)
16029         (window-safe-min-pixel-height, window-safe-min-pixel-width)
16030         (window-min-pixel-width, window-safe-min-pixel-size)
16031         (window-combination-p, window-safe-min-size)
16032         (window-resizable-p, window--size-to-pixel)
16033         (window--pixel-to-size, window--resize-apply-p): New functions.
16034         (window-safe-min-height): Fix doc-string.
16035         (window-size, window-min-size, window--min-size-1)
16036         (window-sizable, window-sizable-p, window--min-delta-1)
16037         (window-min-delta, window--max-delta-1, window-max-delta)
16038         (window--resizable, window--resizable-p, window-resizable)
16039         (window-full-height-p, window-full-width-p, window-at-side-p)
16040         (window--in-direction-2, window-in-direction)
16041         (window--resize-reset-1, window--resize-mini-window)
16042         (window-resize, window-resize-no-error)
16043         (window--resize-child-windows-normal)
16044         (window--resize-child-windows, window--resize-siblings)
16045         (window--resize-this-window, window--resize-root-window)
16046         (window--resize-root-window-vertically)
16047         (adjust-window-trailing-edge, enlarge-window, shrink-window)
16048         (maximize-window, minimize-window, delete-window)
16049         (quit-restore-window, window-split-min-size, split-window)
16050         (balance-windows-2, balance-windows)
16051         (balance-windows-area-adjust, balance-windows-area)
16052         (window--state-get-1, window-state-get, window--state-put-1)
16053         (window--state-put-2, window-state-put)
16054         (display-buffer-record-window, window--display-buffer):
16055         Make functions handle pixelwise sizing of windows.
16056         (display-buffer--action-function-custom-type)
16057         (display-buffer-fallback-action):
16058         Add display-buffer-in-previous-window.
16059         (display-buffer-use-some-window): Resize window to height it had
16060         before.
16061         (fit-window-to-buffer-horizontally): New option.
16062         (fit-frame-to-buffer): Describe new values.
16063         (fit-frame-to-buffer-bottom-margin): Replace with
16064         fit-frame-to-buffer-margins.
16065         (window--sanitize-margin): New function.
16066         (fit-frame-to-buffer, fit-window-to-buffer): Rewrite completely
16067         using window-text-pixel-size.
16069 2013-11-30  Glenn Morris  <rgm@gnu.org>
16071         * emacs-lisp/bytecomp.el (byte-compile-form):
16072         Make the `interactive-only' warning like the `obsolete' one.
16073         * comint.el (comint-run):
16074         * files.el (insert-file-literally, insert-file):
16075         * replace.el (replace-string, replace-regexp):
16076         * simple.el (beginning-of-buffer, end-of-buffer, delete-backward-char)
16077         (goto-line, insert-buffer, next-line, previous-line):
16078         Tweak `interactive-only' spec.
16080         Stop keeping (most) generated cedet grammar files in the repository.
16081         * Makefile.in (semantic): New.
16082         (compile-main): Depend on semantic.
16084 2013-11-29  Stefan Monnier  <monnier@iro.umontreal.ca>
16086         * net/newst-reader.el (newsticker-html-renderer): Default to SHR if
16087         available.  Suggested by Clément B. <barthele1u@etu.univ-lorraine.fr>.
16089         * uniquify.el (uniquify-buffer-name-style): Change default.
16091         * loadup.el: Preload "uniquify".
16093         * time.el (display-time-update): Update all mode lines (bug#15999).
16095         * electric.el (electric-indent-mode): Enable by default.
16096         * loadup.el: Preload "electric".
16098 2013-11-29  Bozhidar Batsov  <bozhidar@batsov.com>
16100         * emacs-lisp/helpers.el (string-empty-p): New function.
16101         (string-blank-p): New function.
16103 2013-11-29  Andreas Politz  <politza@hochschule-trier.de>
16105         * imenu.el (imenu--index-alist): Add missing dot to the docstring
16106         (Bug#14029).
16108 2013-11-29  Andreas Politz  <politza@fh-trier.de>
16109         * imenu.el (imenu--subalist-p): Don't error on non-conses and
16110         allow non-lambda lists as functions.
16111         (imenu--in-alist): Don't recurse into non-subalists.
16112         (imenu): Don't pass function itself as an argument (Bug#14029).
16114 2013-11-29  Stefan Monnier  <monnier@iro.umontreal.ca>
16116         * progmodes/python.el (python-mode-map): Remove binding for ":".
16117         (python-indent-electric-colon): Remove command.
16118         (python-indent-post-self-insert-function): Integrate the previous code
16119         of python-indent-electric-colon.  Make it conditional on
16120         electric-indent-mode.
16121         (python-mode): Add ?: to electric-indent-chars.
16122         Move python-indent-post-self-insert-function to the end of
16123         post-self-insert-hook.
16125 2013-11-28  Stefan Monnier  <monnier@iro.umontreal.ca>
16127         * doc-view.el (doc-view-goto-page): Update mode-line.
16129         * vc/vc-dispatcher.el (vc-log-edit): Setup the Summary&Author headers.
16131 2013-11-27  Glenn Morris  <rgm@gnu.org>
16133         * international/charprop.el, international/uni-bidi.el:
16134         * international/uni-category.el, international/uni-combining.el:
16135         * international/uni-comment.el, international/uni-decimal.el:
16136         * international/uni-decomposition.el, international/uni-digit.el:
16137         * international/uni-lowercase.el, international/uni-mirrored.el:
16138         * international/uni-name.el, international/uni-numeric.el:
16139         * international/uni-old-name.el, international/uni-titlecase.el:
16140         * international/uni-uppercase.el:
16141         Remove generated files from VCS repository.
16143 2013-11-27  Eli Zaretskii  <eliz@gnu.org>
16145         * filenotify.el (file-notify-add-watch): Don't special-case
16146         w32notify when computing the directory to watch.
16148 2013-11-27  Glenn Morris  <rgm@gnu.org>
16150         Make bootstrap without generated uni-*.el files possible again.
16151         * loadup.el: Update command-line-args checking for unidata-gen.
16152         Add vc to load-path to allow loading vc-bzr when writing uni-*.el.
16153         * composite.el, international/characters.el:
16154         Handle unicode tables being undefined.
16156         Move ja-dic, quail, leim-list.el from ../leim to a leim subdirectory.
16157         * Makefile.in (setwins_for_subdirs): Skip leim/ directory.
16158         (compile-main): Depend on leim rule.
16159         (leim): New rule.
16160         * loadup.el: Move leim-list.el to leim/ subdirectory.
16161         * startup.el (normal-top-level): No more leim directory.
16162         * international/ja-dic-cnv.el (skkdic-convert):
16163         Disable version-control and autoloads in output files.
16164         * international/titdic-cnv.el (titdic-convert, miscdic-convert):
16165         Disable version-control and autoloads in output files.
16166         * leim/quail: Move here from ../leim.
16167         * leim/quail/hangul.el (hangul-input-method-activate):
16168         Add autoload cookie.
16169         (generated-autoload-load-name): Set file-local value.
16170         * leim/quail/uni-input.el (ucs-input-activate): Add autoload cookie.
16171         (generated-autoload-load-name): Set file-local value.
16173 2013-11-26  Kenjiro NAKAYAMA  <knakayam@redhat.com>
16175         * net/eww.el (eww-bookmark-browse): Use 'eww-browse-url'.
16176         (eww-add-bookmark): Ask confirmation when add to bookmarks.
16177         (eww-quit): Ask confirmation before quitting eww.
16179 2013-11-26  Eli Zaretskii  <eliz@gnu.org>
16181         * vc/vc.el (vc-diff-internal): Use *-dos coding-system when
16182         reading output from Diff on MS-Windows and MS-DOS.
16184 2013-11-26  Bozhidar Batsov  <bozhidar@batsov.com>
16186         * emacs-lisp/helpers.el (string-reverse): New function.
16188 2013-11-26  Michael Albinus  <michael.albinus@gmx.de>
16190         * net/tramp.el (tramp-file-name-regexp-unified): Support IPv6 host
16191         names on MS Windows, like "/[::1]:".
16193         * net/tramp-sh.el (tramp-sh-handle-insert-directory): Accept nil
16194         SWITCHES.
16196 2013-11-26  Glenn Morris  <rgm@gnu.org>
16198         * progmodes/python.el (python-indent-guess-indent-offset):
16199         Avoid corner-case error.  (Bug#15975)
16201         Preload leim-list.el.  (Bug#4789)
16202         * loadup.el: Load leim-list.el when found.
16203         * startup.el (normal-top-level): Skip re-loading leim/leim-list.el.
16205 2013-11-25  Bozhidar Batsov  <bozhidar@batsov.com>
16207         * emacs-lisp/bytecomp.el (byte-compile-form): Fix a typo.
16209         * emacs-lisp/helpers.el (string-join): New function.
16211 2013-11-25  Sebastian Wiesner  <lunaryorn@gmail.com>  (tiny change)
16213         * emacs-lisp/bytecomp.el (byte-compile-interactive-only-functions):
16214         Mark as obsolete and replace it with a symbol property.
16215         (byte-compile-form): Use new 'interactive-only property.
16216         * comint.el, files.el, replace.el, simple.el:
16217         Apply new 'interactive-only properly.
16219 2013-11-25  Martin Rudalics  <rudalics@gmx.at>
16221         * window.el (display-buffer-at-bottom): Make sure that
16222         split-window-sensibly creates the new window on bottom
16223         (Bug#15961).
16225 2013-11-23  David Kastrup  <dak@gnu.org>
16227         * vc/smerge-mode.el (smerge-ediff): Choose default buffer names based
16228         on the conflict markers when available.
16229         (smerge--get-marker): New function.
16230         (smerge-end-re, smerge-base-re): Add subgroup.
16232 2013-11-25  Stefan Monnier  <monnier@iro.umontreal.ca>
16234         * frame.el (handle-focus-in, handle-focus-out): Add missing
16235         interactive spec.
16237 2013-11-25  Michael Albinus  <michael.albinus@gmx.de>
16239         * net/tramp-cmds.el (tramp-cleanup-connection): Clean up
16240         `tramp-current-connection' only when KEEP-PASSWORD is non-nil.
16242 2013-11-25  Stefan Monnier  <monnier@iro.umontreal.ca>
16244         * play/gomoku.el: Don't use intangible property.  Use lexical-binding.
16245         (gomoku--last-pos): New var.
16246         (gomoku--intangible-chars): New const.
16247         (gomoku--intangible): New function.
16248         (gomoku-mode): Use it.  Derive from special-mode.
16249         (gomoku-move-up): Adjust line count.
16250         (gomoku-click, gomoku-point-y, gomoku-point-square, gomoku-goto-xy)
16251         (gomoku-plot-square, gomoku-init-display, gomoku-cross-qtuple):
16252         Simplify accordingly.
16254         * frame.el (handle-focus-in, handle-focus-out): Move from frame.c.
16255         Remove blink-cursor code.
16256         (blink-cursor-timer-function, blink-cursor-suspend):
16257         Don't special-case GUIs.
16258         (blink-cursor-mode): Use focus-in/out-hook.
16260 2013-11-25  Dmitry Gutov  <dgutov@yandex.ru>
16262         * vc/vc-git.el (vc-git-annotate-extract-revision-at-line): Make it
16263         work when annotation is invisible (Bug#13886).
16265 2013-11-24  Simon Schubert  <2@0x2c.org>  (tiny change)
16267         * json.el (json-alist-p): Only return non-nil if the alist has
16268         simple keys (Bug#13518).
16270 2013-11-24  Mihir Rege  <mihirrege@gmail.com>  (tiny change)
16272         * progmodes/js.el (js--ctrl-statement-indentation): Fix indent
16273         when control-statement is the first statement in a buffer (Bug#15956).
16275 2013-11-24  Dmitry Gutov  <dgutov@yandex.ru>
16277         * imenu.el (imenu-generic-skip-comments-and-strings):
16278         New option (Bug#15560).
16279         (imenu--generic-function): Use it.
16281 2013-11-24  Jorgen Schaefer  <contact@jorgenschaefer.de>
16283         * minibuffer.el (completion--in-region-1): Scroll the correct window.
16284         (Bug#13898)
16286 2013-11-24  Bozhidar Batsov  <bozhidar@batsov.com>
16288         * emacs-lisp/helpers.el: Add some string helpers.
16289         (string-trim-left): Removes leading whitespace.
16290         (string-trim-right): Removes trailing whitespace.
16291         (string-trim): Removes leading and trailing whitespace.
16293         * subr.el (string-suffix-p): New function.
16295 2013-11-23  Glenn Morris  <rgm@gnu.org>
16297         * progmodes/python.el (python-shell-send-file):
16298         Add option to delete file when done.  (Bug#15647)
16299         (python-shell-send-string, python-shell-send-region): Use it.
16301 2013-11-23  Ivan Shmakov  <ivan@siamics.net>
16303         * vc/diff-mode.el (diff-mode): Only allow diff-default-read-only
16304         to set buffer-read-only to t, never to nil.  (Bug#15938)
16306         * textmodes/tex-mode.el (latex-noindent-environments):
16307         Add safe-local-variable property.  (Bug#15936)
16309 2013-11-23  Glenn Morris  <rgm@gnu.org>
16311         * textmodes/enriched.el (enriched-mode): Doc fix.
16312         * emacs-lisp/authors.el (authors-renamed-files-alist):
16313         Add enriched.doc -> enriched.txt.
16315         * Makefile.in (emacs): Empty EMACSLOADPATH rather than unsetting.
16317 2013-11-22  Leo Liu  <sdl.web@gmail.com>
16319         * progmodes/octave.el (inferior-octave-startup): Spit out error
16320         message.
16322 2013-11-22  Bozhidar Batsov  <bozhidar@batsov.com>
16324         * progmodes/ruby-mode.el (ruby-custom-encoding-magic-comment-template):
16325         Improve docstring.
16326         Add :version.
16327         (ruby-encoding-magic-comment-style): Add :version.
16329 2013-11-22  Leo Liu  <sdl.web@gmail.com>
16331         * progmodes/octave.el (octave-operator-regexp): Exclude newline.
16332         (Bug#15076)
16333         (octave-help-mode): Adapt to change to help-mode-finish to use
16334         derived-mode-p on 2013-09-17.
16335         (inferior-octave-prompt): Also match octave-gui.
16336         (octave-kill-process): Don't ask twice.  (Bug#10564)
16338 2013-11-22  Leo Liu  <sdl.web@gmail.com>
16340         * progmodes/octave.el (inferior-octave-process-live-p): New helper.
16341         (inferior-octave-startup, inferior-octave-check-process)
16342         (inferior-octave-track-window-width-change)
16343         (octave-completion-at-point, octave-eldoc-function): Use it.
16344         (octave-kill-process): Provide confirmation.  (Bug#10564)
16346 2013-11-21  Leo Liu  <sdl.web@gmail.com>
16348         * progmodes/octave.el (octave-mode, inferior-octave-mode):
16349         Fix obsolete variable comment-use-global-state.
16351 2013-11-21  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
16353         * progmodes/octave.el (octave-mode-map, octave-mode-menu):
16354         Add `octave-source-file'.
16355         (octave-source-file): New function.  (Bug#15935)
16357 2013-11-21  Kenjiro Nakayama  <nakayamakenjiro@gmail.com>
16359         * net/eww.el (eww-local-regex): New variable.
16360         (eww): Use it to detect localhost and similar.
16362 2013-11-21  Leo Liu  <sdl.web@gmail.com>
16364         Add completion for command `ag'.
16365         * pcmpl-x.el (pcmpl-x-ag-options): New variable.
16366         (pcomplete/ag): New function.
16367         (pcmpl-x-ag-options): New function.  Handle `[no]' in long options.
16369 2013-11-21  Stefan Monnier  <monnier@iro.umontreal.ca>
16371         * emacs-lisp/byte-run.el (eval-when-compile): Fix edebug spec
16372         (bug#14646).
16373         (make-obsolete): Remove interactive spec.
16375 2013-11-21  Glenn Morris  <rgm@gnu.org>
16377         * startup.el (command-line-1): Use path-separator with -L.
16379 2013-11-20  Teodor Zlatanov  <tzz@lifelogs.com>
16381         * emacs-lisp/package.el (describe-package-1): Add package archive
16382         to shown fields.
16384 2013-11-20  Bozhidar Batsov  <bozhidar@batsov.com>
16386         * progmodes/ruby-mode.el (ruby-custom-encoding-magic-comment-template):
16387         Change default to "# encoding: %s" to differentiate it from the
16388         default Ruby encoding comment template.
16390 2013-11-20  Era Eriksson  <era+emacsbugs@iki.fi>
16392         * ses.el (ses-mode): Doc fix.  (Bug#14748)
16394 2013-11-20  Leo Liu  <sdl.web@gmail.com>
16396         * window.el (display-buffer-alist): Doc fix.  (Bug#13594)
16398 2013-11-19  Dan Nicolaescu  <dann@gnu.org>
16400         * vc/vc-git.el (vc-git-dir-extra-headers): Add headers
16401         when rebase or bisect are in progress.
16403 2013-11-19  Xue Fuqiao  <xfq.free@gmail.com>
16405         * filenotify.el (file-notify-add-watch): Doc fix.
16407 2013-11-19  Leo Liu  <sdl.web@gmail.com>
16409         * obsolete/rcompile.el: Mark obsolete.
16411         * progmodes/compile.el (compilation-start)
16412         (compilation-goto-locus, compilation-find-file):
16413         Pass no-display-ok and handle nil value from display-buffer.
16414         (Bug#13594)
16416         * window.el (display-buffer-alist, display-buffer): Document the
16417         new parameter no-display-ok.  Return either a window or nil
16418         but never a non-window value.
16420 2013-11-18  Stefan Monnier  <monnier@iro.umontreal.ca>
16422         * electric.el (electric-indent-mode-map): Remove.
16423         (electric-indent-mode): Change the global-map instead (bug#15915).
16425         * textmodes/text-mode.el (paragraph-indent-minor-mode):
16426         Use add-function.
16428 2013-11-17  Stefan Monnier  <monnier@iro.umontreal.ca>
16430         * emacs-lisp/nadvice.el (remove-function): Align with
16431         add-function's behavior.
16433         * progmodes/gdb-mi.el: Avoid backtracking in regexp matcher.
16434         (gdb--string-regexp): New constant.
16435         (gdb-tooltip-print, gdb-var-evaluate-expression-handler)
16436         (gdbmi-bnf-stream-record, gdb-jsonify-buffer): Use it.
16437         (gdb-source-file-regexp, gdb-prompt-name-regexp): Use it and change
16438         submatch 1.
16439         (gdb-get-source-file-list, gdb-get-prompt, gdb-get-source-file):
16440         Adjust use accordingly.
16441         (gdb-breakpoints-list-handler-custom): Pre-build the y/n string.
16443 2013-11-17  Adam Sokolnicki  <adam.sokolnicki@gmail.com>  (tiny change)
16445         * progmodes/ruby-mode.el (ruby-toggle-block): Don't stop at
16446         interpolation curlies (Bug#15914).
16448 2013-11-17  Jay Belanger  <jay.p.belanger@gmail.com>
16450         * calc/calc.el (calc-context-sensitive-enter): New variable.
16451         (calc-enter): Use `calc-context-sensitive-enter'.
16453 2013-11-16  Teodor Zlatanov  <tzz@lifelogs.com>
16455         * progmodes/cfengine.el: Version bump.
16456         (cfengine-cf-promises): New defcustom to locate cf-promises.
16457         (cfengine3-vartypes): Add new "data" type.
16458         (cfengine3--current-word): New function to get current name-like
16459         word or its bounds.
16460         (cfengine3--current-function): New function to look up a CFEngine
16461         function's definition.
16462         (cfengine3-format-function-docstring): New function.
16463         (cfengine3-make-syntax-cache): New function.
16464         (cfengine3-documentation-function): New function: ElDoc glue.
16465         (cfengine3-completion-function): New function: completion glue.
16466         (cfengine3-mode): Set `compile-command',
16467         `eldoc-documentation-function', and add to
16468         `completion-at-point-functions'.
16470 2013-11-16  Michael Albinus  <michael.albinus@gmx.de>
16472         * net/tramp-cmds.el (tramp-cleanup-connection): Clean up
16473         `tramp-current-connection'.
16475 2013-11-15  Dmitry Gutov  <dgutov@yandex.ru>
16477         * progmodes/ruby-mode.el (ruby-font-lock-keywords): End regexp for
16478         nil/self/true/false with "end of symbol".
16480 2013-11-15  Bozhidar Batsov  <bozhidar@batsov.com>
16482         * subr.el (version-regexp-alist): Fix a typo.
16484 2013-11-15  Michael Albinus  <michael.albinus@gmx.de>
16486         * net/tramp-sh.el (tramp-remote-process-environment): Set "LC_ALL" to
16487         "en_US.utf8" and "LC_CTYPE" to "".
16488         (tramp-maybe-open-connection): Set "LC_ALL" to "en_US.utf8".
16489         (tramp-sh-handle-insert-directory): Don't set "LC_ALL" and "LC_CTYPE".
16491 2013-11-15  Leo Liu  <sdl.web@gmail.com>
16493         * loadhist.el (read-feature): Get rid of fake feature nil.  (Bug#15889)
16495 2013-11-14  Stefan Monnier  <monnier@iro.umontreal.ca>
16497         * progmodes/gud.el (ctl-x-map):
16498         Remove C-x SPC binding.  (Bug#12342)
16499         (gud-jdb-find-source-using-classpath): Remove ((lambda (..)..)..).
16501 2013-11-14  Bozhidar Batsov  <bozhidar@batsov.com>
16503         * subr.el (version-regexp-alist):
16504         Recognize hg, svn and darcs versions as snapshot versions.
16506         * progmodes/ruby-mode.el (ruby--detect-encoding): Make aware of
16507         'always-utf8 value of `ruby-insert-encoding-magic-comment'.
16508         (ruby--encoding-comment-required-p): Extract from
16509         `ruby-mode-set-encoding'.
16510         (ruby-mode-set-encoding): Add the ability to always insert an
16511         utf-8 encoding comment.  Fix and simplify coding comment update
16512         logic.
16514 2013-11-14  Michael Albinus  <michael.albinus@gmx.de>
16516         * net/tramp-gvfs.el (top): Run init code only when
16517         `tramp-gvfs-enabled' is not nil.
16518         (tramp-gvfs-enabled): Check also :system bus.
16520 2013-11-14  Stefan Monnier  <monnier@iro.umontreal.ca>
16522         Sync with upstream verilog-mode revision 78e66ba.
16523         * progmodes/verilog-mode.el (verilog-end-of-defun)
16524         (verilog-type-completion, verilog-get-list): Remove unused funcs.
16525         (verilog-get-end-of-defun): Remove unused argument.
16526         (verilog-comment-depth): Remove unused local `e'.
16527         (verilog-read-decls, verilog-read-sub-decls, verilog-read-instants):
16528         Don't pass arg to verilog-get-end-of-defun.
16530 2013-11-14  Glenn Morris  <rgm@gnu.org>
16532         * obsolete/assoc.el (aget): Prefix dynamic variable.
16534         * allout-widgets.el (allout-widgets): No need to autoload defgroup.
16536 2013-11-14  Stefan Monnier  <monnier@iro.umontreal.ca>
16538         * widget.el, hfy-cmap.el: Remove bogus package version number.
16540 2013-11-13  Glenn Morris  <rgm@gnu.org>
16542         * replace.el (replace-eval-replacement):
16543         Try to give more helpful error message.  (Bug#15836)
16545         * arc-mode.el (archive-7z-extract, archive-7z-expunge)
16546         (archive-7z-update): Avoid custom type mismatches.
16548         * vc/vc.el (vc-diff-knows-L): Remove; unused since 2007-10-10.
16550 2013-11-13  Michael Albinus  <michael.albinus@gmx.de>
16552         * net/tramp.el (tramp-remote-file-name-spec-regexp): An IPv6
16553         address can be empty.
16555         * net/tramp-gvfs.el (tramp-gvfs-handle-insert-directory):
16556         Accept nil SWITCHES.
16557         (tramp-gvfs-handle-write-region): Implement APPEND.
16559 2013-11-12  Dmitry Gutov  <dgutov@yandex.ru>
16561         * progmodes/ruby-mode.el (ruby-smie-grammar): Disambiguate between
16562         binary "|" operator and closing block args delimiter.
16563         Remove FIXME comment referring to Ruby 1.8-only syntax.
16564         (ruby-smie--implicit-semi-p): Not after "|" operator.
16565         (ruby-smie--closing-pipe-p): New function.
16566         (ruby-smie--forward-token, ruby-smie--backward-token): Use it.
16567         (ruby-smie-rules): Indent after "|".
16569 2013-11-12  Glenn Morris  <rgm@gnu.org>
16571         * ps-print.el (ps-face-attribute-list):
16572         Handle anonymous faces.  (Bug#15827)
16574 2013-11-12  Martin Rudalics  <rudalics@gmx.at>
16576         * window.el (display-buffer-other-frame): Fix doc-string.
16577         (Bug#15868)
16579 2013-11-11  Stefan Monnier  <monnier@iro.umontreal.ca>
16581         * subr.el (force-mode-line-update): Delete, move to buffer.c.
16583 2013-11-11  Michael Albinus  <michael.albinus@gmx.de>
16585         * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer)
16586         (tramp-sh-handle-file-local-copy): Don't write a message when
16587         saving temporary files.
16589         * net/tramp-smb.el (tramp-smb-handle-copy-directory): Fix bug when
16590         both directories are remote.
16591         (tramp-smb-handle-directory-files): Do not return double entries.
16592         Do not expand full file names.
16593         (tramp-smb-handle-insert-directory): Accept nil SWITCHES.
16594         (tramp-smb-handle-write-region): Implement APPEND.
16595         (tramp-smb-get-stat-capability): Fix a stupid bug.
16597 2013-11-11  Stefan Monnier  <monnier@iro.umontreal.ca>
16599         * bindings.el (ctl-x-map): Bind C-x SPC to rectangle-mark-mode.
16601 2013-11-11  Nathan Trapuzzano  <nbtrap@nbtrap.com>  (tiny change)
16603         * emacs-lisp/cconv.el (cconv-convert): Print warning instead of
16604         throwing error over malformed let/let* (bug#15814).
16606 2013-11-11  Stefan Monnier  <monnier@iro.umontreal.ca>
16608         * iswitchb.el (iswitchb-mode): Mark obsolete.
16610 2013-11-11  Glenn Morris  <rgm@gnu.org>
16612         * international/uni-bidi.el, international/uni-category.el:
16613         * international/uni-name.el, international/uni-numeric.el:
16614         Regenerate for Unicode 6.3.0.
16616 2013-11-10  Michael Albinus  <michael.albinus@gmx.de>
16618         * net/tramp.el (tramp-methods):
16619         * net/tramp-sh.el (tramp-compute-multi-hops): Revert change of
16620         2013-10-29 (2013-10-29T02:50:24Z!dancol@dancol.org).
16622 2013-11-09  Andreas Schwab  <schwab@linux-m68k.org>
16624         * progmodes/sh-script.el (sh-font-lock-keywords-var):
16625         Force highlighting text after Summary keyword in doc face for rpm.
16627 2013-11-09  Dmitry Gutov  <dgutov@yandex.ru>
16629         * textmodes/ispell.el (ispell-lookup-words): When `look' is not
16630         available and the word has no wildcards, append one to the grep pattern.
16631         http://lists.gnu.org/archive/html/emacs-devel/2013-11/msg00258.html
16632         (ispell-complete-word): Call `ispell-lookup-words' with the value
16633         independent of `ispell-look-p'.
16635 2013-11-08  Dmitry Gutov  <dgutov@yandex.ru>
16637         * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p):
16638         Not after "||".
16639         (ruby-smie-rules): Indent non-hanging "begin" blocks as part of
16640         their parent.
16642 2013-11-08  Stefan Monnier  <monnier@iro.umontreal.ca>
16644         * progmodes/ruby-mode.el: Don't require cl any more.  Use pcase instead.
16645         (ruby-font-lock-keywords): Use backquote.
16647 2013-11-08  Dmitry Gutov  <dgutov@yandex.ru>
16649         * progmodes/ruby-mode.el (ruby-smie--forward-token)
16650         (ruby-smie--backward-token): Only consider full-string matches.
16652 2013-11-08  Jan Djärv  <jan.h.d@swipnet.se>
16654         * faces.el (describe-face): Add distant-foreground.
16656 2013-11-08  Bozhidar Batsov  <bozhidar@batsov.com>
16658         * progmodes/ruby-mode.el: Improve encoding comment handling.
16659         (ruby-encoding-magic-comment-style): New option.
16660         (ruby-custom-encoding-magic-comment-template): New option.
16661         (ruby--insert-coding-comment, ruby--detect-encoding):
16662         New functions extracted from `ruby-mode-set-encoding'.
16663         (ruby-mode-set-encoding): Use `ruby-encoding-magic-comment-style'
16664         to control the style of the auto-inserted encoding comment.
16666 2013-11-08  Dmitry Gutov  <dgutov@yandex.ru>
16668         * progmodes/ruby-mode.el (ruby-smie--indent-to-stmt):
16669         Use `smie-backward-sexp' with token argument.
16671 2013-11-08  Michael Albinus  <michael.albinus@gmx.de>
16673         * net/tramp-sh.el (tramp-set-remote-path, tramp-get-ls-command):
16674         Remove instrumentation code.
16676 2013-11-08  Glenn Morris  <rgm@gnu.org>
16678         * progmodes/autoconf.el (autoconf-mode):
16679         Tweak comment-start-skip.  (Bug#15822)
16681 2013-11-08  Stefan Monnier  <monnier@iro.umontreal.ca>
16683         * progmodes/sh-script.el (sh-smie--sh-keyword-in-p): Don't inf-loop
16684         at bobp (bug#15826).
16685         (sh-smie--sh-keyword-in-p): Recognize keywords at bobp.
16687 2013-11-08  Darren Hoo  <darren.hoo@gmail.com>
16689         * man.el (Man-start-calling): New macro, extracted from
16690         Man-getpage-in-background.
16691         (Man-getpage-in-background): Use it.
16692         (Man-update-manpage): New command.
16693         (Man-mode-map): Bind it.
16695 2013-11-08  Dmitry Gutov  <dgutov@yandex.ru>
16697         * progmodes/ruby-mode.el (ruby-smie-grammar): Improve precedences
16698         of "and", "or", "&&" and "||".
16699         (ruby-smie--args-separator-p): Prohibit keyword "do" as the first
16700         argument.  Prohibit opening curly brace because it could only be a
16701         block opener in that position.
16702         (ruby-smie--forward-token, ruby-smie--backward-token):
16703         Separate "|" from "&" or "*" going after it.  That can happen in block
16704         arguments.
16705         (ruby-smie--indent-to-stmt): New function, seeks the end of
16706         previous statement or beginning of buffer.
16707         (ruby-smie-rules): Use it.
16708         (ruby-smie-rules): Check if there's a ":" before a curly block
16709         opener candidate; if there is, it's a hash.
16711 2013-11-07  Stefan Monnier  <monnier@iro.umontreal.ca>
16713         * emacs-lisp/cl-macs.el (cl-symbol-macrolet): Use macroexp-progn.
16714         (cl--block-wrapper): Fix last accidental change.
16716 2013-11-07  Michael Albinus  <michael.albinus@gmx.de>
16718         * net/tramp-sh.el (tramp-set-remote-path, tramp-get-ls-command):
16719         Instrument, in order to hunt failure on hydra.
16721 2013-11-05  Nathan Trapuzzano  <nbtrap@nbtrap.com>  (tiny change)
16723         * emacs-lisp/cl-macs.el (cl-symbol-macrolet): Print warning for
16724         malformed bindings form (bug#15814).
16726 2013-11-07  Dmitry Gutov  <dgutov@yandex.ru>
16728         * progmodes/ruby-mode.el (ruby-smie-grammar): Lower priority of
16729         "." compared to " @ ".  This incidentally fixes some indentation
16730         examples with "do".
16731         (ruby-smie--implicit-semi-p): No implicit semi after "^", "and" or "or".
16732         (ruby-smie-grammar): New tokens: "and" and "or".
16733         (ruby-smie--args-separator-p): Fix the check for tokens at POS.
16734         Exclude "and" and "or".  Remove "do" in order to work around token
16735         priorities.
16736         (ruby-smie-rules): Add all infix tokens.  Handle the case of
16737         beginning-of-buffer.
16739 2013-11-06  Glenn Morris  <rgm@gnu.org>
16741         * Makefile.in (setwins_almost, setwins_for_subdirs):
16742         Avoid accidental matches.
16744 2013-11-06  Stefan Monnier  <monnier@iro.umontreal.ca>
16746         * menu-bar.el (popup-menu): Use key-binding.
16748 2013-11-06  Eli Zaretskii  <eliz@gnu.org>
16750         * menu-bar.el (popup-menu, menu-bar-open): When displaying TTY
16751         menus, support also the menus produced by minor modes.
16752         (Bug#15817)
16754 2013-11-06  Leo Liu  <sdl.web@gmail.com>
16756         * thingatpt.el (thing-at-point-looking-at): Add optional arg
16757         DISTANCE to bound the search.  All uses changed.  (Bug#15808)
16759 2013-11-06  Glenn Morris  <rgm@gnu.org>
16761         * Makefile.in (setwins, setwins_almost, setwins_for_subdirs): Simplify.
16762         (setwins_almost, setwins_for_subdirs): Don't assume called from srcdir.
16763         (custom-deps, finder-data, autoloads, update-subdirs): No need to cd.
16765 2013-11-06  Stefan Monnier  <monnier@iro.umontreal.ca>
16767         * electric.el (electric-indent-just-newline): New command.
16768         (electric-indent-mode-map): New keymap.
16769         (electric-indent-mode, electric-pair-mode, electric-layout-mode):
16770         Re-add :group which weren't redundant.
16772         * electric.el (electric-indent-local-mode): New minor mode.
16773         (electric-indent-functions-without-reindent): New var.
16774         (electric-indent-post-self-insert-function): Use it.
16775         * emacs-lisp/gv.el (buffer-local-value): Add setter.
16777 2013-11-05  Eli Zaretskii  <eliz@gnu.org>
16779         * international/quail.el (quail-help): Be more explicit about the
16780         meaning of the labels shown on the keys.  (Bug#15800)
16782         * startup.el (normal-top-level): Load the subdirs.el files before
16783         setting the locale environment.  (Bug#15805)
16785 2013-11-05  Stefan Monnier  <monnier@iro.umontreal.ca>
16787         * vc/vc-rcs.el (vc-rcs-parse): Make `gather' get e, b, and @-holes
16788         via arguments so as to get the right ones (bug#15418).
16790         * net/rcirc.el (rcirc-record-activity): Don't abuse add-to-list.
16792 2013-11-05  Michael Albinus  <michael.albinus@gmx.de>
16794         Fix problems found while writing a test suite.
16796         * net/tramp-compat.el (tramp-compat-load): New defun.
16797         * net/tramp.el (tramp-handle-load): Use it.
16799         * net/tramp-sh.el (tramp-sh-handle-add-name-to-file): Handle the case
16800         "(numberp ok-if-already-exists)" correctly.
16802 2013-11-05  Xue Fuqiao  <xfq.free@gmail.com>
16804         * international/characters.el (glyphless-char-display-control):
16805         Add usage note.
16807 2013-11-05  Bozhidar Batsov  <bozhidar@batsov.com>
16809         * progmodes/python.el (python-mode):
16810         * progmodes/scheme.el (scheme-mode):
16811         * progmodes/prolog.el (prolog-mode):
16812         * progmodes/ruby-mode.el (ruby-mode):
16813         * emacs-lisp/lisp-mode.el (lisp-mode, lisp-interaction-mode)
16814         (emacs-lisp-mode): Remove incorrect and redundant text from docstring.
16816 2013-11-04  Stefan Monnier  <monnier@iro.umontreal.ca>
16818         * rect.el (rectangle--highlight-for-redisplay):
16819         * emacs-lisp/smie.el (smie--next-indent-change):
16820         Use buffer-chars-modified-tick.
16822         * emacs-lisp/byte-run.el (defmacro, defun): Set their `indent' property.
16824         * electric.el (electric-indent-post-self-insert-function):
16825         Only delete trailing whitepsace if it is indeed trailing (bug#15767).
16827 2013-11-04  Helmut Eller  <eller.helmut@gmail.com>
16829         * emacs-lisp/cl-indent.el (with-compilation-unit): Add rule (bug#15782).
16831 2013-11-04  Nathan Trapuzzano  <nbtrap@nbtrap.com>  (tiny change)
16833         * emacs-lisp/cconv.el (cconv-convert): Check form of let binding
16834         (bug#15786).
16836 2013-11-04  Stefan Monnier  <monnier@iro.umontreal.ca>
16838         * emacs-lisp/helpers.el: Move from helpers.el.  Use lexical-binding.
16840         * progmodes/python.el: Fix up last change.
16841         (python-shell--save-temp-file): New function.
16842         (python-shell-send-string): Use it.  Remove `msg' arg.  Don't assume
16843         `string' comes from the current buffer.
16844         (python-shell-send-string-no-output): Remove `msg' arg.
16845         (python--use-fake-loc): New var.
16846         (python-shell-buffer-substring): Obey it.  Try to compensate for the
16847         extra coding line added by python-shell--save-temp-file.
16848         (python-shell-send-region): Use python-shell--save-temp-file and
16849         python-shell-send-file directly.  Add `nomain' argument.
16850         (python-shell-send-buffer): Use python-shell-send-region.
16851         (python-electric-pair-string-delimiter): New function.
16852         (python-mode): Use it.
16854 2013-11-04  Eli Zaretskii  <eliz@gnu.org>
16856         * startup.el (normal-top-level): Move setting eol-mnemonic-unix,
16857         eol-mnemonic-mac, eol-mnemonic-dos, and also setup of the locale
16858         environment and decoding all of the default-directory's to here
16859         from command-line.
16860         (command-line): Decode also argv[0].
16862         * loadup.el: Error out if default-directory is a multibyte string
16863         when we are dumping.
16865         * Makefile.in (emacs): Don't set LC_ALL=C.  (Bug#15260)
16867 2013-11-04  Teodor Zlatanov  <tzz@lifelogs.com>
16869         * emacs-lisp/package.el (package-menu-mode)
16870         (package-menu--print-info, package-menu--archive-predicate):
16871         Add Archive column to package list.
16873 2013-11-04  Michael Albinus  <michael.albinus@gmx.de>
16875         Fix problems found while writing a test suite.
16877         * net/tramp.el (tramp-file-name-regexp-unified): Simplify.
16878         (tramp-file-name-for-operation): Use `tramp-tramp-file-p'.
16879         (tramp-handle-substitute-in-file-name): Let-bind `process-environment'
16880         to nil when running original file name handler.  Otherwise,
16881         there are problems with constructs like "$$FOO".
16883         * net/tramp-sh.el (tramp-do-copy-or-rename-file): Use correct prefix
16884         for `localname'.
16886 2013-11-04  Bozhidar Batsov  <bozhidar@batsov.com>
16888         * progmodes/ruby-mode.el (ruby-mode): Clean up docstring.
16890         * subr.el (version<, version<=, version=):
16891         Update docstrings with information for snapshot versions.
16893         * helpers.el: New library for misc helper functions.
16894         (hash-table-keys): New function returning a list of hash keys.
16895         (hash-table-values): New function returning a list of hash values.
16897 2013-11-04  Dmitry Gutov  <dgutov@yandex.ru>
16899         * progmodes/ruby-mode.el (ruby-smie--forward-token)
16900         (ruby-smie--backward-token): Tokenize heredocs as semicolons.
16902 2013-11-04  Michal Nazarewicz  <mina86@mina86.com>
16904         * textmodes/fill.el (fill-single-char-nobreak-p): New function
16905         checking whether point is after a 1-letter word.
16907 2013-11-04  Nathan Trapuzzano  <nbtrap@nbtrap.com>  (tiny change)
16909         * progmodes/cperl-mode.el (cperl-font-lock-fontify-region-function):
16910         Don't infloop when expanding region over `multiline' syntax-type that
16911         begins a line (bug#15778).
16913 2013-11-04  Stefan Monnier  <monnier@iro.umontreal.ca>
16915         * rect.el (rectangle-mark-mode): Rename from rectangle-mark.
16916         Make it into a proper minor mode.
16917         (rectangle--region): (Implicitly) rename to rectangle-mark-mode.
16918         (rectangle-mark-mode-map): New keymap.
16919         (rectangle--highlight-for-redisplay): Fix some corner cases (bug#15796).
16921 2013-11-04  Glenn Morris  <rgm@gnu.org>
16923         * startup.el (command-line-1): Allow `-L :...' to append to load-path.
16925 2013-11-03  Stefan Monnier  <monnier@iro.umontreal.ca>
16927         * progmodes/ruby-mode.el (ruby-smie--rule-parent-skip-assign): Remove.
16928         (ruby-smie-rules): Use smie-rule-parent instead.
16930         * emacs-lisp/smie.el (smie-rule-parent): Always call
16931         smie-indent-virtual rather than only for hanging tokens.
16932         (smie--next-indent-change): New helper command.
16934 2013-11-03  Glenn Morris  <rgm@gnu.org>
16936         * Makefile.in (abs_srcdir): Remove.
16937         (emacs): Unset EMACSLOADPATH.
16939 2013-11-02  Glenn Morris  <rgm@gnu.org>
16941         * Makefile.in (EMACS): Use a relative filename.
16942         (abs_top_builddir): Remove.
16943         (custom-deps, finder-data, autoloads): Use --chdir.
16945         * Makefile.in (abs_lisp): Remove, replace by abs_srcdir.
16947         Use relative filenames in TAGS files.
16948         * Makefile.in (lisptagsfiles1, lisptagsfiles2, lisptagsfiles3)
16949         (lisptagsfiles4, TAGS): Use relative file names.
16950         (TAGS-LISP): Remove.
16951         (maintainer-clean): No more TAGS-LISP file.
16953         * Makefile.in (lisptagsfiles1, lisptagsfiles2, lisptagsfiles3)
16954         (lisptagsfiles4): Use absolute filenames again.
16955         (TAGS, TAGS-LISP): Not everything needs to run in one line.
16956         Remove all *loaddefs files, not just the first.  Remove esh-groups.
16957         (maintainer-clean): Delete TAGS, TAGS-LISP.
16959 2013-11-02  Bozhidar Batsov  <bozhidar@batsov.com>
16961         * emacs-lisp/package.el (package-version-join):
16962         Recognize snapshot versions.
16964 2013-11-02  Bozhidar Batsov  <bozhidar@batsov.com>
16966         * subr.el (version-regexp-alist): Add support for snapshot versions.
16968 2013-11-02  Dmitry Gutov  <dgutov@yandex.ru>
16970         * progmodes/ruby-mode.el (ruby-smie--rule-parent-skip-assign):
16971         New function, replacement for `smie-rule-parent' for when we want to
16972         skip over our direct parent if it's an assignment token..
16973         (ruby-smie-rules): Use it.
16975 2013-11-02  Dmitry Gutov  <dgutov@yandex.ru>
16977         * progmodes/ruby-mode.el: Use `syntax-propertize-function'
16978         unconditionally.  Remove now unnecessary forward declarations.
16979         Remove XEmacs-specific setup.
16980         (ruby-here-doc-end-re, ruby-here-doc-beg-match)
16981         (ruby-font-lock-syntactic-keywords)
16982         (ruby-comment-beg-syntax, ruby-in-here-doc-p)
16983         (ruby-here-doc-find-end, ruby-here-doc-beg-syntax)
16984         (ruby-here-doc-end-syntax): Remove.
16985         (ruby-mode): Don't check whether `syntax-propertize-rules' is
16986         defined as function.
16988 2013-11-02  Bozhidar Batsov  <bozhidar@batsov.com>
16990         * progmodes/ruby-mode.el (ruby-mode-variables, ruby-mode): Use `setq-local'.
16992 2013-11-01  Bozhidar Batsov  <bozhidar@batsov.com>
16994         * progmodes/ruby-mode.el (ruby-mode-variables): Don't set syntax
16995         table and abbrev table, `define-derived-mode' does that for us
16996         anyway.
16998 2013-11-01  Glenn Morris  <rgm@gnu.org>
17000         * Makefile.in: Remove manual mh-e dependencies (writing .elc
17001         files is atomic for some time, so no parallel compilation issues).
17003 2013-11-01  Jan Djärv  <jan.h.d@swipnet.se>
17005         * faces.el (face-x-resources): Add :distant-foreground.
17006         (region): Use :distant-foreground for gtk and ns.
17008 2013-11-01  Tassilo Horn  <tsdh@gnu.org>
17010         Allow multiple bibliographies when BibLaTeX is used rather than
17011         BibTeX.
17012         * textmodes/reftex-parse.el (reftex-using-biblatex-p): New function.
17013         (reftex-locate-bibliography-files): Us it.
17015 2013-11-01  Claudio Bley  <claudio.bley@googlemail.com>
17017         * image.el (image-type-header-regexps): Fix the 'pbm' part to
17018         allow comments in pbm files.
17020         * term/w32-win.el (dynamic-library-alist): Support newer versions
17021         of libjpeg starting with v7: look only for the DLL from the
17022         version against which Emacs was built.
17023         Support versions of libpng beyond 1.4.x.
17024         Support libtiff v4.x.
17026 2013-11-01  Bozhidar Batsov  <bozhidar@batsov.com>
17028         * progmodes/ruby-mode.el (ruby-indent-tabs-mode)
17029         (ruby-indent-level, ruby-comment-column, ruby-deep-arglist):
17030         Add property :safe.
17031         (ruby-deep-arglist): Add property :type.
17033 2013-10-31  Glenn Morris  <rgm@gnu.org>
17035         * Makefile.in (custom-deps, finder-data): No need to setq the target
17036         variables, we are in the right directory and the defaults work fine.
17038 2013-10-30  Glenn Morris  <rgm@gnu.org>
17040         * Makefile.in (autoloads): Do not use abs_lisp.
17042         * emacs-lisp/autoload.el (autoload-generate-file-autoloads):
17043         `newline' does not respect `standard-output', so use `princ'.
17045 2013-10-30  Alp Aker  <alp.tekin.aker@gmail.com>
17047         Ensure unmarking in buffer menu clears 'S' marks.  (Bug#15761)
17048         * buff-menu.el (Buffer-menu--unmark): New function.
17049         (Buffer-menu-unmark, Buffer-menu-backup-unmark): Use it.
17051 2013-10-30  Glenn Morris  <rgm@gnu.org>
17053         * Makefile.in (AUTOGENEL): Add org/org-loaddefs.el.
17055         * emacs-lisp/package.el (lm-homepage): Declare.
17057         * eshell/em-ls.el (eshell-ls-directory, eshell-ls-symlink):
17058         Fix doc typos.
17060         * vc/pcvs.el (cvs-status-cvstrees): Autoload to silence compiler.
17062         * Makefile.in (finder-data, autoloads, update-subdirs)
17063         (compile-main, compile-clean, compile-always, bootstrap-clean):
17064         Check return value of cd.
17065         (compile-calc): Remove.
17067 2013-10-30  Stefan Monnier  <monnier@iro.umontreal.ca>
17069         * simple.el (copy-region-as-kill): Fix call to region-extract-function.
17071         * emacs-lisp/bytecomp.el (byte-defop-compiler): Add new `2-and' handler.
17072         (byte-compile-and-folded): New function.
17073         (=, <, >, <=, >=): Use it.
17075         * dos-w32.el (minibuffer-history-case-insensitive-variables)
17076         (path-separator, null-device, buffer-file-coding-system)
17077         (lpr-headers-switches): Check system-type before modifying them.
17078         (find-buffer-file-type-coding-system): Mark obsolete.
17079         (w32-find-file-not-found-set-buffer-file-coding-system): Rename from
17080         find-file-not-found-set-buffer-file-coding-system.
17081         (w32-untranslated-filesystem-list, w32-untranslated-canonical-name)
17082         (w32-add-untranslated-filesystem, w32-remove-untranslated-filesystem)
17083         (w32-direct-print-region-use-command-dot-com, w32-untranslated-file-p)
17084         (w32-direct-print-region-helper, w32-direct-print-region-function)
17085         (w32-direct-ps-print-region-function): Rename by adding a "w32-" prefix.
17086         * startup.el (normal-top-level-add-subdirs-to-load-path):
17087         * ps-print.el (ps-print-region-function):
17088         * lpr.el (print-region-function): Use new name.
17090         * subr.el (custom-declare-variable-early): Remove function.
17091         (custom-declare-variable-list): Remove var.
17092         (error, user-error): Remove `while' loop.
17093         (read-quoted-char-radix, read-quoted-char): Move to simple.el.
17094         (user-emacs-directory-warning, locate-user-emacs-file):
17095         Move to files.el.
17096         * simple.el (read-quoted-char-radix, read-quoted-char):
17097         * files.el (user-emacs-directory-warning, locate-user-emacs-file):
17098         Move from subr.el.
17099         * custom.el (custom-declare-variable-list): Don't process
17100         custom-declare-variable-list.
17102         * progmodes/python.el (python-shell-get-buffer): New function.
17103         (python-shell-get-process): Use it.
17104         (python-shell-send-string): Always use utf-8 and add a cookie to tell
17105         Python which encoding was used.  Don't split-string since we only care
17106         about the first line.  Return the temp-file, if applicable.
17107         (python-shell-send-region): Tell compile.el how to turn locations in
17108         the temp-file into locations in the source buffer.
17110 2013-10-29  Stefan Monnier  <monnier@iro.umontreal.ca>
17112         * subr.el (undefined): Add missing behavior from the C code for
17113         unbound keys.
17115         * rect.el: Use lexical-binding.  Add new rectangular region support.
17116         (rectangle-mark): New command.
17117         (rectangle--region): New var.
17118         (deactivate-mark-hook): Reset rectangle--region.
17119         (rectangle--extract-region, rectangle--insert-for-yank)
17120         (rectangle--highlight-for-redisplay)
17121         (rectangle--unhighlight-for-redisplay): New functions.
17122         (region-extract-function, redisplay-unhighlight-region-function)
17123         (redisplay-highlight-region-function): Use them to handle
17124         rectangular region.
17125         * simple.el (region-extract-function): New var.
17126         (delete-backward-char, delete-forward-char, deactivate-mark): Use it.
17127         (kill-new, kill-append): Remove obsolete `yank-handler' argument.
17128         (kill-region): Replace obsolete `yank-handler' arg with `region'.
17129         (copy-region-as-kill, kill-ring-save): Add `region' argument.
17130         (redisplay-unhighlight-region-function)
17131         (redisplay-highlight-region-function): New vars.
17132         (redisplay--update-region-highlight): New function.
17133         (pre-redisplay-function): Use it.
17134         (exchange-point-and-mark): Don't deactivate the mark before
17135         reactivate-it anyway.
17136         * comint.el (comint-kill-region): Remove yank-handler argument.
17137         * delsel.el (delete-backward-char, backward-delete-char-untabify)
17138         (delete-char): Remove property, since it's now part of their
17139         default behavior.
17140         (self-insert-iso): Remove property since this command doesn't exist.
17142         * emacs-lisp/package.el (package--download-one-archive)
17143         (describe-package-1): Don't query the user about final newline.
17145 2013-10-29  Daniel Colascione  <dancol@dancol.org>
17147         * net/tramp.el (tramp-methods): Document new functionality.
17148         * net/tramp-sh.el (tramp-compute-multi-hops): Punt to
17149         tramp-hostname-checker if method provides one instead of scanning
17150         argument list for "%h" to decide hostname acceptability.
17152 2013-10-28  Michael Albinus  <michael.albinus@gmx.de>
17154         * net/tramp-sh.el (tramp-sh-handle-copy-directory):
17155         * net/tramp-smb.el (tramp-smb-handle-copy-directory):
17156         Handle COPY-CONTENTS.  (Bug#15737)
17158 2013-10-28  Daiki Ueno  <ueno@gnu.org>
17160         * epa-file.el (epa-file-cache-passphrase-for-symmetric-encryption):
17161         Document that this option has no effect with GnuPG 2.0 (bug#15552).
17163 2013-10-27  Xue Fuqiao  <xfq.free@gmail.com>
17165         * image.el (defimage, image-load-path): Doc fixes.
17167 2013-10-27  Alan Mackenzie  <acm@muc.de>
17169         Indent statements in macros following "##" correctly.
17170         * progmodes/cc-engine.el (c-crosses-statement-barrier-p):
17171         Modify the "#" arm of a cond form to handle "#" and "##" operators.
17173 2013-10-27  Nathan Trapuzzano  <nbtrap@nbtrap.com>  (tiny change)
17175         * linum.el (linum-update-window): Fix boundary test (bug#13446).
17177 2013-10-27  Dmitry Gutov  <dgutov@yandex.ru>
17179         * progmodes/ruby-mode.el (ruby-smie--bosp): Anything that goes
17180         after `=' is probably a new expression.
17182 2013-10-27  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
17184         * man.el (man-imenu-title): New option.
17185         (Man-mode-map): Add menu.  (Bug#15722)
17186         (Man-mode): Add imenu to menu.
17188 2013-10-26  Dmitry Gutov  <dgutov@yandex.ru>
17190         * progmodes/ruby-mode.el (ruby-smie--args-separator-p): Be more
17191         specific in what the first arg can be: a non-keyword word,
17192         string/regexp/percent literal opener, opening paren, or unary
17193         operator followed directly by word.
17195 2013-10-25  Stefan Monnier  <monnier@iro.umontreal.ca>
17197         * progmodes/prolog.el: Remove old indent; use post-self-insert-hook.
17198         (prolog-align-comments-flag, prolog-indent-mline-comments-flag)
17199         (prolog-object-end-to-0-flag, prolog-electric-newline-flag)
17200         (prolog-electric-tab-flag, prolog-use-prolog-tokenizer-flag):
17201         Remove vars, they do not apply any more.
17202         (prolog-mode-abbrev-table): Remove redundant declaration.
17203         (prolog-upper-case-string, prolog-lower-case-string): Remove.
17204         (prolog-use-smie): Remove.
17205         (prolog-smie-rules): Add indentation rule for the if-then-else layout
17206         supported by prolog-electric-if-then-else-flag.
17207         (prolog-mode-variables, prolog-menu): Use setq-local.
17208         (prolog-mode-keybindings-edit): Don't rebind M-C-p and M-C-n.
17209         Remove binding to `Backspace' since this key doesn't exist anyway.
17210         Remove bindings for electric self-inserting keys.
17211         (prog-mode): Assume it's defined.
17212         (prolog-post-self-insert): New function.
17213         (prolog-mode): Use it.
17214         (prolog-indent-line, prolog-indent-level)
17215         (prolog-find-indent-of-matching-paren)
17216         (prolog-indentation-level-of-line, prolog-goto-comment-column)
17217         (prolog-paren-is-the-first-on-line-p, prolog-region-paren-balance)
17218         (prolog-goto-next-paren, prolog-in-string-or-comment)
17219         (prolog-tokenize, prolog-inside-mline-comment)
17220         (prolog-find-start-of-mline-comment): Remove functions.
17221         (prolog-find-unmatched-paren, prolog-clause-end)
17222         (prolog-guess-fill-prefix, prolog-get-predspec): Use syntax-ppss.
17223         (prolog-electric--if-then-else): Rename from
17224         prolog-insert-spaces-after-paren; use prolog-electric-if-then-else-flag.
17225         (prolog-tokenize-searchkey): Remove const.
17226         (prolog-clause-info): Use forward-sexp.
17227         (prolog-forward-list, prolog-backward-list, prolog-electric-delete)
17228         (prolog-electric-if-then-else): Remove commands.
17229         (prolog-electric--colon): Rename from prolog-electric-colon; adapt it
17230         for use in post-self-insert-hook.
17231         (prolog-electric--dash): Rename from prolog-electric-dash; adapt it
17232         for use in post-self-insert-hook.
17233         (prolog-electric--dot): Rename from prolog-electric-dot; adapt it
17234         for use in post-self-insert-hook.
17235         (prolog-electric--underscore): Rename from prolog-electric--underscore;
17236         adapt it for use in post-self-insert-hook.
17238 2013-10-25  Michael Albinus  <michael.albinus@gmx.de>
17240         * emacs-lisp/ert.el (ert-run-tests-interactively):
17241         Use `completing-read'.  (Bug#9756)
17243 2013-10-25  Eli Zaretskii  <eliz@gnu.org>
17245         * simple.el (line-move): Call line-move-1 instead of
17246         line-move-visual when the current window hscroll is zero, but
17247         temporary-goal-column indicates we will need to hscroll as result
17248         of the movement.  (Bug#15712)
17250 2013-10-25  Dmitry Gutov  <dgutov@yandex.ru>
17252         * progmodes/ruby-mode.el (ruby-mode-menu): Use proper
17253         capitalization.  Use :visible instead of :active.
17254         Fix `ruby-indent-exp' reference.  Add menu items for the generic
17255         commands that are used with SMIE.
17256         (ruby-do-end-to-brace): Insert space after `{'.
17258 2013-10-25  John Anthony  <john@jo.hnanthony.com>
17260         * progmodes/ruby-mode.el (ruby-mode-menu): Add a menu.  (Bug#15600)
17262         * progmodes/inf-lisp.el (inferior-lisp-menu): Add a menu.  (Bug#15599)
17264 2013-10-25  Glenn Morris  <rgm@gnu.org>
17266         * vc/vc.el (vc-print-log): Don't use a working revision unless
17267         one was explicitly specified.  (Bug#15322)
17269 2013-10-25  Stefan Monnier  <monnier@iro.umontreal.ca>
17271         * subr.el (add-to-list): Preserve return value in compiler-macro
17272         (bug#15692).
17274 2013-10-25  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
17276         * progmodes/octave.el (octave-lookfor): Handle empty lookfor
17277         result.  Ask user to retry using '-all' flag.  (Bug#15701)
17279 2013-10-24  Stefan Monnier  <monnier@iro.umontreal.ca>
17281         * emacs-lisp/smie.el: New smie-config system.
17282         (smie-config): New defcustom.
17283         (smie-edebug, smie-config-show-indent, smie-config-set-indent)
17284         (smie-config-guess, smie-config-save): New commands.
17285         (smie-config--mode-local, smie-config--buffer-local)
17286         (smie-config--trace, smie-config--modefuns): New vars.
17287         (smie-config--advice, smie-config--mode-hook)
17288         (smie-config--setter, smie-config-local, smie-config--get-trace)
17289         (smie-config--guess-value, smie-config--guess): New functions.
17290         (smie-indent-forward-token, smie-indent-backward-token): Don't copy
17291         text properties.  Treat "string fence" syntax like string syntax.
17293         * progmodes/sh-script.el (sh-use-smie): Change default.
17294         (sh-smie-sh-rules, sh-smie-rc-rules): Obey legacy sh-indent-* vars.
17295         (sh-var-value): Simplify by CSE.
17296         (sh-show-indent, sh-set-indent, sh-learn-line-indent)
17297         (sh-learn-buffer-indent): Redirect to their SMIE equivalent when SMIE
17298         is used.
17299         (sh-guess-basic-offset): Use cl-incf.
17300         (sh-guess-basic-offset): Use push+nreverse to avoid O(n^2).
17302 2013-10-24  Helmut Eller  <eller.helmut@gmail.com>
17304         * emacs-lisp/lisp-mode.el (lisp-cl-font-lock-keywords-2): Fix cut&paste
17305         (bug#15699).
17307 2013-10-24  Glenn Morris  <rgm@gnu.org>
17309         * Makefile.in (abs_top_srcdir): Remove.
17310         (update-subdirs): Use relative path to update-subdirs.
17312 2013-10-24  Eli Zaretskii  <eliz@gnu.org>
17314         * Makefile.in ($(MH_E_DIR)/mh-loaddefs.el)
17315         ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
17316         ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
17317         Call unmsys--file-name before expand-file-name, not after it.
17319 2013-10-24  Michael Albinus  <michael.albinus@gmx.de>
17321         * emacs-lisp/ert.el (ert-deftest): Bind macro `skip-unless'.
17322         (ert-test-skipped): New error.
17323         (ert-skip, ert-stats-skipped): New defuns.
17324         (ert--skip-unless): New macro.
17325         (ert-test-skipped): New struct.
17326         (ert--run-test-debugger, ert-test-result-type-p)
17327         (ert-test-result-expected-p, ert--stats, ert-stats-completed)
17328         (ert--stats-set-test-and-result, ert-char-for-test-result)
17329         (ert-string-for-test-result, ert-run-tests-batch)
17330         (ert--results-update-ewoc-hf, ert-run-tests-interactively):
17331         Handle skipped tests.  (Bug#9803)
17333 2013-10-24  Glenn Morris  <rgm@gnu.org>
17335         * Makefile.in (check-declare): Remove unnecessary path in -l argument.
17337         * Makefile.in (abs_top_srcdir): New, set by configure.
17338         (update-subdirs): Correct build-aux location.
17340 2013-10-24  Dmitry Gutov  <dgutov@yandex.ru>
17342         * vc/vc.el (vc-print-root-log): Always set `default-directory'
17343         value, whether we could auto-deduce `backend', or not.
17345         * progmodes/ruby-mode.el (ruby-smie-rules): Fix the "curly block
17346         with parameters" example.  Simplify the "is it block or is it
17347         hash" check, but also make it more thorough.
17349 2013-10-23  Masashi Fujimoto  <masfj.dev@gmail.com>  (tiny change)
17351         * battery.el (battery-pmset): Handle OS X Mavericks.  (Bug#15694)
17353 2013-10-23  Stefan Monnier  <monnier@iro.umontreal.ca>
17355         * progmodes/ruby-mode.el (ruby-smie-rules): Only align with parent of
17356         { if it is hanging.
17358         * progmodes/ruby-mode.el (ruby-smie-rules): Don't return 0 for
17359         :before ";".
17361 2013-10-23  Jed Brown  <jed@59A2.org>  (tiny change)
17363         * progmodes/compile.el (compilation-directory-matcher)
17364         (compilation-page-delimiter):
17365         Support GNU Make-4.0 directory quoting.  (Bug#15678)
17367 2013-10-23  Leo Liu  <sdl.web@gmail.com>
17369         * ido.el (ido-tidy): Handle read-only text.
17371 2013-10-23  Glenn Morris  <rgm@gnu.org>
17373         * Makefile.in (abs_srcdir, abs_lisp): New, set by configure.
17374         (emacs, compile, compile-always):
17375         Quote entities that might contain whitespace.
17376         (custom-deps, finder-data, autoloads): Use abs_lisp.
17377         ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
17378         ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
17379         ($(CAL_DIR)/hol-loaddefs.el): Manually expand target file name.
17381 2013-10-23  Dmitry Gutov  <dgutov@yandex.ru>
17383         * progmodes/ruby-mode.el (ruby-smie--at-dot-call):
17384         Use `following-char'.
17386 2013-10-22  Stefan Monnier  <monnier@iro.umontreal.ca>
17388         * emacs-lisp/smie.el (smie-rule-parent): Fix opener-test.
17389         * progmodes/ruby-mode.el (ruby-smie-rules):
17390         Remove corresponding workaround.  Fix indentation rule of ";" so it
17391         also applies when ";" is the parent.
17393 2013-10-22  Xue Fuqiao  <xfq.free@gmail.com>
17395         * frame.el (display-screens, display-pixel-height)
17396         (display-pixel-width, display-mm-width, display-backing-store)
17397         (display-save-under, display-planes, display-color-cells)
17398         (display-visual-class, display-monitor-attributes-list):
17399         Mention the optional ‘display’ argument in doc strings.
17401 2013-10-22  Michael Gauland  <mikelygee@amuri.net>
17403         * progmodes/ebnf2ps.el (ebnf-prologue): Avoid PS error with some
17404         viewers such as evince when ebnf-production-name-p is nil.  (Bug#15625)
17406 2013-10-21  Dmitry Gutov  <dgutov@yandex.ru>
17408         * progmodes/ruby-mode.el (ruby-smie-grammar): Remove outdated
17409         TODO.  Add "." after " @ ".
17410         (ruby-smie--at-dot-call): New function.  Checks if point at method
17411         call with explicit target.
17412         (ruby-smie--forward-token, ruby-smie--backward-token): Prepend "."
17413         to the method name tokens when it precedes them.
17414         (ruby-smie--backward-id, ruby-smie--forward-id): Remove.
17415         (ruby-smie-rules): Add rule for indentation before and after "."
17416         token.
17418 2013-10-21  Stefan Monnier  <monnier@iro.umontreal.ca>
17420         * textmodes/remember.el (remember-diary-extract-entries):
17421         Avoid add-to-list.
17423         * progmodes/ruby-mode.el (ruby-smie-rules): Indent after + used as
17424         an instruction.
17426 2013-10-21  Dmitry Gutov  <dgutov@yandex.ru>
17428         * progmodes/ruby-mode.el (ruby-smie-grammar):
17429         Add (almost) all infix operators.
17430         (ruby-smie--implicit-semi-p): Add new operator chars.
17432         * progmodes/ruby-mode.el (ruby-mode-map): Add binding for
17433         `smie-down-list'.
17434         (ruby-smie--args-separator-p): Check that there's no newline
17435         between method call and its arguments.
17437 2013-10-20  Alan Mackenzie  <acm@muc.de>
17439         Allow comma separated lists after Java "implements".
17441         * progmodes/cc-engine.el (c-backward-over-enum-header):
17442         Parse commas.
17443         * progmodes/cc-fonts.el (c-basic-matchers-after): Remove comma
17444         from a "disallowed" list in enum fontification.
17446 2013-10-20  Johan Bockgård  <bojohan@gnu.org>
17448         * startup.el (default-frame-background-mode): Remove unused defvar.
17450         * progmodes/verilog-mode.el (verilog-mode): Don't set
17451         comment-indent-function globally.
17453 2013-10-20  Jan Djärv  <jan.h.d@swipnet.se>
17455         * menu-bar.el: Put help-menu in menu-bar-final-items unconditionally.
17456         Move Info menu item creation to ns-win.el.
17458         * term/ns-win.el (ns-initialize-window-system): Rename Help to Info
17459         in menu bar.
17461         * menu-bar.el: Move GNUstep specific menus...
17463         * term/ns-win.el (ns-initialize-window-system): ... to here.
17465 2013-10-19  Stefan Monnier  <monnier@iro.umontreal.ca>
17467         * simple.el (newline): Only run post-self-insert-hook when
17468         called interactively.
17470 2013-10-19  Johan Bockgård  <bojohan@gnu.org>
17472         * icomplete.el (icomplete-with-completion-tables): Add :version.
17474 2013-10-19  Alan Mackenzie  <acm@muc.de>
17476         Fix fontification bugs with constructors and const.
17478         * progmodes/cc-engine.el (c-forward-decl-or-cast-1): (Just after
17479         CASE 2) Remove the check for the absence of a suffix construct
17480         after a function declaration with only types (no identifiers) in
17481         the parentheses.  Also, accept a function declaration with just a
17482         type inside the parentheses, if this type can be positively
17483         recognized as such, or if a prefix keyword like "explicit" nails
17484         down the construct as a declaration.
17486 2013-10-19  Eli Zaretskii  <eliz@gnu.org>
17488         * menu-bar.el (tty-menu-navigation-map): Bind mouse-N to perform
17489         TTY menu actions and down-mouse-N to tty-menu-ignore.  This solves
17490         the problem whereby selecting a menu item that leads to a
17491         minibuffer prompt moves the cursor out of the minibuffer window,
17492         making it hard to type at the prompt.  Suggested by Stefan Monnier
17493         <monnier@iro.umontreal.ca>.
17495 2013-10-19  Jan Djärv  <jan.h.d@swipnet.se>
17497         * menu-bar.el: Don't make Services menu.
17499 2013-10-19  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
17501         * ffap.el: Handle "/usr/include/c++/<version>" directories.
17502         (ffap-alist): Use ffap-c++-mode for c++-mode.
17503         (ffap-c++-path): New variable.
17504         (ffap-c++-mode): New function.
17506 2013-10-19  Joe Vornehm Jr.  <joe.vornehm@gmail.com>  (tiny change)
17508         * ido.el (dired-other-frame): Only list directories.  (Bug#15638)
17510 2013-10-18  Michael Albinus  <michael.albinus@gmx.de>
17512         * net/tramp-smb.el (tramp-smb-maybe-open-connection): Fix an error
17513         introduced on 2013-09-08, which results in an infinite loop
17514         requesting a password.
17516 2013-10-18  Glenn Morris  <rgm@gnu.org>
17518         * progmodes/verilog-mode.el (verilog-case-fold): Add :version.
17520 2013-10-18  Wilson Snyder  <wsnyder@wsnyder.org>
17522         Sync with upstream verilog-mode revision 1a6ecec7.
17523         * progmodes/verilog-mode.el (verilog-mode-version): Update.
17524         (verilog-mode-release-date): Remove.
17525         (verilog-highlight-grouping-keywords, verilog-active-low-regexp)
17526         (verilog-auto-inst-param-value, verilog-auto-input-ignore-regexp)
17527         (verilog-auto-inout-ignore-regexp, verilog-auto-output-ignore-regexp)
17528         (verilog-auto-tieoff-ignore-regexp)
17529         (verilog-auto-unused-ignore-regexp, verilog-typedef-regexp)
17530         (verilog-scan-cache-preserving, verilog-mode, verilog-at-struct-p)
17531         (verilog-signals-with, verilog-dir-cache-preserving)
17532         (verilog-auto-inst, verilog-auto-inout-param, verilog-auto):
17533         Doc fixes.
17534         (verilog-case-fold): New option, to control case folding in
17535         regexp searches, bug597.
17536         (verilog-menu): Add verilog-sk-uvm-component, minor tweaks.
17537         (verilog-string-match-fold, verilog-in-paren-count)
17538         (verilog-in-struct-nested-p, verilog-at-struct-mv-p)
17539         (verilog-at-close-struct-p): New functions.
17540         (verilog-beg-block-re-ordered, verilog-extended-case-re)
17541         (verilog-forward-sexp, verilog-set-auto-endcomments)
17542         (verilog-leap-to-case-head): Handle "unique0" case.
17543         (verilog-in-constraint-re): New constant.
17544         (verilog-keywords, verilog-type-font-keywords):
17545         Add some SystemVerilog 1800-2012 keywords.
17546         (verilog-label-be): Remove unimplemented argument, bug669.
17547         (verilog-batch-execute-func): When batch expanding clear
17548         create-lockfiles to prevent spurious user locks when a file ends
17549         up not changing.
17550         (verilog-calculate-indent, verilog-calc-1)
17551         (verilog-at-close-constraint-p, verilog-at-constraint-p)
17552         (verilog-do-indent): Fix indentation of nested constraints
17553         and structures.
17554         (verilog-sig-tieoff, verilog-typedef-name-p, verilog-auto-inst)
17555         (verilog-auto-inst-param): Use verilog-string-match-fold.
17556         (verilog-read-inst-module-matcher):
17557         Fix AUTOINST on gate primitives with #1.
17558         (verilog-read-decls): Fix double-declaring user-defined typed signals.
17559         Reads all user-defined typed variables.
17560         (verilog-read-defines): Fix reading definitions inside comments, bug647.
17561         (verilog-signals-matching-regexp)
17562         (verilog-signals-not-matching-regexp, verilog-auto):
17563         Respect verilog-case-fold.
17564         (verilog-diff-report): Fix line count.
17565         (verilog-auto-assign-modport): Remove unused local `modi'.
17566         (verilog-auto-inst-port): Support [][] in AUTO_TEMPLATE to
17567         better handle multidimensional arrays.
17568         Fix packed array ports misadding bit index in AUTOINST, bug637.
17569         (verilog-auto-output, verilog-auto-input): Fix AUTOINPUT and AUTOOUTPUT
17570         to not double-declare existing outputs and inputs, respectively.
17571         (verilog-template-map): Bind U to verilog-sk-uvm-component.
17572         (verilog-sk-uvm-object): Rename from verilog-sk-uvm-class.
17573         (verilog-sk-uvm-component): New skeleton.
17574         (verilog-submit-bug-report): Add verilog-case-fold,
17575         remove verilog-mode-release-date.
17577 2013-10-17  Barry O'Reilly  <gundaetiapo@gmail.com>
17579         * subr.el (sit-for): Call (input-pending-p t) so as to behave
17580         as before.
17582 2013-10-18  Reuben Thomas  <rrt@sc3d.org>
17584         * textmodes/remember.el (remember): Set buffer-offer-save in
17585         remember buffers (bug#13566).
17587 2013-10-18  Daniel Colascione  <dancol@dancol.org>
17589         When evaluating forms in ielm, direct standard output to ielm
17590         buffer.  Add new ielm-return-for-effect command.  Remove trailing
17591         whitespace throughout.
17593         * ielm.el (ielm-map): Bind M-RET to ielm-return-for-effect.
17594         (ielm-return-for-effect): New command.
17595         (ielm-send-input): Accept optional `for-effect' parameter.
17596         (ielm-eval-input): Accept optional `for-effect' parameter.
17597         Bind `standard-output' to stream we create using
17598         `ielm-standard-output-impl'.  Suppress printing result when
17599         `for-effect'.
17600         (ielm-standard-output-impl): New function.
17601         (inferior-emacs-lisp-mode): Explain new features in documentation.
17603 2013-10-17  Michael Albinus  <michael.albinus@gmx.de>
17605         Code cleanup.
17607         * net/tramp.el (tramp-debug-message): Do not check for connection
17608         buffer.
17609         (tramp-message): Use "vector" connection property.
17611         * net/tramp.el (tramp-rfn-eshadow-update-overlay)
17612         (tramp-equal-remote, tramp-eshell-directory-change)
17613         * net/tramp-adb.el (tramp-adb-handle-copy-file)
17614         (tramp-adb-handle-rename-file)
17615         * net/tramp-cmds.el (tramp-list-remote-buffers)
17616         (tramp-cleanup-connection, tramp-cleanup-this-connection)
17617         * net/tramp-compat.el (tramp-compat-process-running-p)
17618         * net/tramp-ftp.el (tramp-ftp-file-name-handler)
17619         * net/tramp-gvfs.el (tramp-gvfs-handle-copy-file)
17620         (tramp-gvfs-handle-rename-file)
17621         * net/tramp-sh.el (tramp-sh-handle-set-file-times)
17622         (tramp-set-file-uid-gid)
17623         * net/tramp-smb.el (tramp-smb-handle-copy-file)
17624         (tramp-smb-handle-rename-file): Use `tramp-tramp-file-p' instead
17625         of `file-remote-p'.
17627         * net/tramp.el (tramp-connectable-p, tramp-handle-file-remote-p)
17628         * net/tramp-gw.el (tramp-gw-gw-proc-sentinel)
17629         (tramp-gw-aux-proc-sentinel, tramp-gw-process-filter)
17630         (tramp-gw-open-network-stream): Suppress unrelated traces.
17632         * net/tramp-adb.el (tramp-adb-maybe-open-connection)
17633         * net/tramp-gvfs.el (tramp-gvfs-handle-file-notify-add-watch)
17634         * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band)
17635         * net/tramp-smb.el (tramp-smb-maybe-open-connection): Set "vector"
17636         connection property.
17638         * net/tramp-cache.el (top): Suppress traces when reading
17639         persistency file.
17641         * net/tramp-sh.el (tramp-sh-handle-file-notify-add-watch):
17642         Refactor common code.  Improve debug message.
17643         (tramp-maybe-open-connection)
17644         * net/tramp-smb.el (tramp-smb-call-winexe): Do not request
17645         connection buffer too early.
17647         * net/tramp-smb.el (tramp-smb-actions-get-acl): New defconst, renamed
17648         from `tramp-smb-actions-with-acl'.
17649         (tramp-smb-actions-set-acl): New defconst.
17650         (tramp-smb-handle-copy-directory)
17651         (tramp-smb-action-get-acl): New defun, renamed from
17652         `tramp-smb-action-with-acl'.
17653         (tramp-smb-action-set-acl): New defun.
17654         (tramp-smb-handle-set-file-acl): Rewrite.
17656 2013-10-17  Glenn Morris  <rgm@gnu.org>
17658         * indent.el (indent-rigidly): Fix 2013-10-08 change.  (Bug#15635)
17660 2013-10-17  Stefan Monnier  <monnier@iro.umontreal.ca>
17662         * skeleton.el (skeleton-newline): Remove.
17663         (skeleton-internal-1): Use (insert "\n") instead.
17665         * emacs-lisp/lisp.el (lisp-completion-at-point): Complete var names for
17666         let-bindings.
17668         * progmodes/sh-script.el (sh-find-prev-matching): Disable SMIE's
17669         forward-sexp-function while we redo its job (bug#15613).
17671 2013-10-17  Jay Belanger  <jay.p.belanger@gmail.com>
17673         * calc/calc-comb.el (math-prime-test): Don't assume large integers are
17674         represented by lists.
17676 2013-10-16  Glenn Morris  <rgm@gnu.org>
17678         * tmm.el (tmm--history): New dynamic variable.
17679         (tmm-prompt): Use tmm--history in place of `history'.  (Bug#15623)
17681 2013-10-16  Michael Albinus  <michael.albinus@gmx.de>
17683         * net/tramp-smb.el (tramp-smb-acl-program): New customer option.
17684         (tramp-smb-errors): Add error messages.
17685         (tramp-smb-actions-with-acl): New defconst.
17686         (tramp-smb-file-name-handler-alist) <set-file-acl>: Add handler.
17687         (tramp-smb-action-with-acl, tramp-smb-handle-set-file-acl): New defuns.
17688         (tramp-smb-handle-file-acl): Rewrite, using "smbcacls".
17689         (tramp-smb-handle-file-attributes): Simplify test for "stat" capability.
17690         (tramp-smb-get-stat-capability): Fix tests.
17692 2013-10-16  Dima Kogan  <dima@secretsauce.net>  (tiny change)
17694         * progmodes/subword.el (subword-capitalize): Fix Stefan's mess
17695         (bug#15580).
17697 2013-10-16  Glenn Morris  <rgm@gnu.org>
17699         * ansi-color.el (ansi-color-drop-regexp):
17700         Add 1J, 1K, 2K.  (Bug#15617)
17702         * files.el (hack-local-variables--warned-lexical): New.
17703         (hack-local-variables):
17704         Warn about misplaced lexical-binding.  (Bug#15616)
17706         * net/eww.el (eww-render): Always set eww-current-url,
17707         and update header line.  (Bug#15622)
17708         (eww-display-html): ... Rather than just doing it here.
17710 2013-10-15  Eli Zaretskii  <eliz@gnu.org>
17712         * menu-bar.el (tty-menu-navigation-map): Bind mouse wheels to TTY
17713         menu navigations commands.
17715 2013-10-14  Dima Kogan  <dima@secretsauce.net>  (tiny change)
17717         * progmodes/subword.el (subword-capitalize): Be careful when
17718         the search for [[:alpha:]] fails (bug#15580).
17720 2013-10-14  Eli Zaretskii  <eliz@gnu.org>
17722         * menu-bar.el (tty-menu-navigation-map): Bind shifted mouse clicks
17723         to commands that scroll the menu.
17725 2013-10-14  Dmitry Gutov  <dgutov@yandex.ru>
17727         * progmodes/ruby-mode.el (ruby-smie--args-separator-p):
17728         Handle methods ending with `?' and `!'.
17730 2013-10-14  Akinori MUSHA  <knu@iDaemons.org>
17732         * progmodes/ruby-mode.el (ruby-encoding-map): Add a mapping from
17733         `japanese-cp932' to `cp932' to fix the problem where saving a
17734         source file written in Shift_JIS twice would end up having
17735         `coding: japanese-cp932' which Ruby could not recognize.
17736         (ruby-mode-set-encoding): Add support for encodings mapped to nil
17737         in `ruby-encoding-map'.
17738         (ruby-encoding-map): Map `us-ascii' to nil by default, meaning it
17739         doesn't need to be explicitly declared in magic comment.
17740         (ruby-encoding-map): Add type declaration for better customize UI.
17742 2013-10-13  Glenn Morris  <rgm@gnu.org>
17744         * progmodes/sh-script.el (sh-mark-line, sh-learn-buffer-indent):
17745         Occur buffers are read-only.  http://bugs.debian.org/720775
17747         * emacs-lisp/authors.el (authors-fixed-entries):
17748         Comment out old alpha stuff.
17750 2013-10-13  Dmitry Gutov  <dgutov@yandex.ru>
17752         * progmodes/ruby-mode.el (ruby-mode): Add `ruby-mode-set-encoding'
17753         to `after-save-hook' instead of `before-save-hook'.
17754         (ruby-mode-set-encoding): Use the value of coding system used to
17755         write the file.  Call `basic-save-buffer-1' after modifying the
17756         buffer.
17758 2013-10-13  Alan Mackenzie  <acm@muc.de>
17760         Fix indentation/fontification of Java enum with
17761         "implements"/generic.
17763         * progmodes/cc-engine.el (c-backward-over-enum-header):
17764         Extracted from the three other places and enhanced to handle generics.
17765         (c-inside-bracelist-p): Uses new function above.
17766         * progmodes/cc-fonts.el (c-font-lock-declarations): Uses new
17767         function above.
17768         (c-font-lock-enum-tail): Uses new function above.
17770 2013-10-13  Kenichi Handa  <handa@gnu.org>
17772         * international/mule-cmds.el (select-safe-coding-system): Remove a
17773         superfluous condition in chekcing whether a coding system is safe
17774         or not.
17776 2013-10-13  Oleh Krehel  <ohwoeowho@gmail.com>
17778         * replace.el (how-many): Fix rstart and !rend case.  (Bug#15589)
17780 2013-10-13  Andreas Politz  <politza@hochschule-trier.de>
17782         * progmodes/sql.el (sql-add-product): Fix paren typo.  (Bug#15435)
17784 2013-10-13  Glenn Morris  <rgm@gnu.org>
17786         * menu-bar.el (menu-bar-update-buffers):
17787         Unify Buffers menu prompt string.  (Bug#15576)
17789         * face-remap.el (text-scale-adjust): Doc fix.  (Bug#15434)
17791         * emacs-lisp/authors.el (authors-aliases, authors-ignored-files):
17792         Add some entries.
17793         (authors-fixed-entries): Use accented form of name.
17795 2013-10-12  Stefan Monnier  <monnier@iro.umontreal.ca>
17797         * progmodes/ruby-mode.el (ruby-smie-grammar): Add rule for paren-free
17798         method calls (bug#15594).
17799         (ruby-smie--args-separator-p): New function.
17800         (ruby-smie--forward-token, ruby-smie--backward-token): Use it to
17801         recognize paren-free method calls.
17803         * isearch.el (isearch-pre-command-hook): Don't build in knowledge about
17804         internals of universal-argument.
17806 2013-10-11  Eli Zaretskii  <eliz@gnu.org>
17808         * menu-bar.el (tty-menu-navigation-map): Remap F10 to tty-menu-exit.
17809         Bind all menu-bar sequences to tty-menu-exit -- this pops down a
17810         dropped menu on second mouse click on the menu bar.
17812 2013-10-11  Stefan Monnier  <monnier@iro.umontreal.ca>
17814         * progmodes/sh-script.el: Provide simpl(e|istic) completion.
17815         (explicit-shell-file-name): Declare.
17816         (sh--vars-before-point, sh--cmd-completion-table): New functions.
17817         (sh-completion-at-point-function): New function.
17818         (sh-mode): Use it.
17819         (sh-smie--keyword-p): Remove unused argument.
17820         (sh-smie-sh-backward-token, sh-smie-rc-backward-token): Remove unused
17821         vars.
17822         (sh-set-shell): Always setup SMIE, even if we use the
17823         old indentation code.
17825 2013-10-11  Dmitry Gutov  <dgutov@yandex.ru>
17827         * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Split the
17828         cases of ? and =.
17829         (ruby-smie-rules): Simplify the "do" rule.  The cases when the
17830         predicate would return nil are almost non-existent.
17831         (ruby-smie--redundant-do-p): Include "until" and "for" statements.
17833         * emacs-lisp/smie.el (smie--matching-block-data): Invalidate the
17834         cache also after commands that modify the buffer but don't move
17835         point.
17837 2013-10-10  Stefan Monnier  <monnier@iro.umontreal.ca>
17839         * env.el (substitute-env-in-file-name): New function.
17840         (substitute-env-vars): Extend the meaning of the optional arg.
17842 2013-10-10  Eli Zaretskii  <eliz@gnu.org>
17844         * term/w32-win.el (dynamic-library-alist): Define separate lists
17845         of GIF DLLs for versions before and after 5.0.0 of giflib.
17846         (Bug#15531)
17848 2013-10-10  João Távora  <joaotavora@gmail.com>
17850         * vc/vc.el (vc-diff-build-argument-list-internal): If the file is
17851         not locked, use last revision and current source as
17852         defaults.  (Bug#15569)
17854 2013-10-10  Masatake YAMATO  <yamato@redhat.com>
17856         * menu-bar.el (menu-bar-open): Don't use popup-menu if
17857         menu-bar is hidden.
17859 2013-10-10  Martin Rudalics  <rudalics@gmx.at>
17861         * window.el (pop-to-buffer-same-window): Fix doc-string.
17862         (Bug#15492)
17864 2013-10-10  Stefan Monnier  <monnier@iro.umontreal.ca>
17866         * menu-bar.el (tty-menu-navigation-map): Reduce redundancy.
17868 2013-10-10  Andrei Chițu  <andrei.chitu1@gmail.com>  (tiny change)
17870         * calendar/icalendar.el (icalendar-import-file):
17871         Fix interactive spec.  (Bug#15482)
17873 2013-10-10  Glenn Morris  <rgm@gnu.org>
17875         * desktop.el (desktop-save): Default to saving in .emacs.d,
17876         since PWD is no longer in desktop-path by default.  (Bug#15319)
17878         * menu-bar.el (menu-bar-options-menu): Remove text-mode auto-fill,
17879         now that text mode has a menu with the same entry.
17880         (menu-bar-text-mode-auto-fill): Remove now unused func.
17881         * textmodes/text-mode.el (text-mode-map):
17882         Use auto-fill help text from menu-bar.el.
17884 2013-10-10  John Anthony  <john@jo.hnanthony.com>
17886         * textmodes/text-mode.el (text-mode-map): Add a menu.  (Bug#15562)
17888 2013-10-09  Juri Linkov  <juri@jurta.org>
17890         * isearch.el (isearch-pre-command-hook): Use this-single-command-keys
17891         instead of this-command-keys.  Add universal-argument-more and
17892         universal-argument-minus to the list of prefix commands.  (Bug#15568)
17894 2013-10-09  Glenn Morris  <rgm@gnu.org>
17896         * vc/vc-svn.el (vc-svn-create-repo):
17897         Expand paths in file://... url.  (Bug#15446)
17899         * emacs-lisp/authors.el (authors-aliases, authors-fixed-case):
17900         Add some entries.
17901         (authors): Remove unused local variables.
17903 2013-10-09  Stefan Monnier  <monnier@iro.umontreal.ca>
17905         * profiler.el: Create a more coherent calltree from partial backtraces.
17906         (profiler-format): Hide the tail with `invisible' so that C-s can still
17907         find the hidden elements.
17908         (profiler-calltree-depth): Don't recurse so enthusiastically.
17909         (profiler-function-equal): New hash-table-test.
17910         (profiler-calltree-build-unified): New function.
17911         (profiler-calltree-build): Use it.
17912         (profiler-report-make-name-part): Indent the calltree less.
17913         (profiler-report-mode): Add visibility specs for profiler-format.
17914         (profiler-report-expand-entry, profiler-report-toggle-entry):
17915         Expand the whole subtree when provided with a prefix arg.
17917 2013-10-09  Dmitry Gutov  <dgutov@yandex.ru>
17919         * progmodes/ruby-mode.el (ruby-smie-rules): Indent after hanging
17920         iuwu-mod token.
17921         (ruby-smie--implicit-semi-p): Prohibit implicit semicolon after
17922         hanging iuwu-mod token.
17923         (ruby-smie--forward-token): Do not include a dot after a token in
17924         that token.
17925         (ruby-smie--backward-token): Likewise.
17927 2013-10-08  Juri Linkov  <juri@jurta.org>
17929         * isearch.el (isearch-help-map, isearch-mode-map): Don't bind [t]
17930         to isearch-other-control-char.
17931         (isearch-mode): Add isearch-pre-command-hook to pre-command-hook
17932         and isearch-post-command-hook to post-command-hook.
17933         (isearch-done): Remove isearch-pre-command-hook from pre-command-hook
17934         and isearch-post-command-hook from post-command-hook.
17935         (isearch-unread-key-sequence)
17936         (isearch-reread-key-sequence-naturally)
17937         (isearch-lookup-scroll-key, isearch-other-control-char)
17938         (isearch-other-meta-char): Remove functions.
17939         (isearch-pre-command-hook, isearch-post-command-hook):
17940         New functions based on isearch-other-meta-char rewritten
17941         relying on the new behavior of overriding-terminal-local-map
17942         that does not replace the local keymaps any more.  (Bug#15200)
17944 2013-10-08  Eli Zaretskii  <eliz@gnu.org>
17946         Support menus on text-mode terminals.
17947         * tmm.el (tmm-menubar): Adapt doc string to TTY menus
17948         functionality.
17950         * tooltip.el (tooltip-mode): Don't error out on TTYs.
17952         * menu-bar.el (popup-menu, popup-menu-normalize-position):
17953         Move here from mouse.el.
17954         (popup-menu): Support menu-bar navigation on TTYs using C-f/C-b
17955         and arrow keys.
17956         (tty-menu-navigation-map): New map for TTY menu navigation.
17958         * loadup.el ("tooltip"): Load even if x-show-tip is not available.
17960         * frame.el (display-mouse-p): Report text-mode mouse as available
17961         on w32.
17962         (display-popup-menus-p): Report availability if mouse is
17963         available; don't condition on window-system.
17965         * faces.el (tty-menu-enabled-face, tty-menu-disabled-face)
17966         (tty-menu-selected-face): New faces.
17968 2013-10-08  Stefan Monnier  <monnier@iro.umontreal.ca>
17970         * emacs-lisp/lisp-mode.el: Font-lock cl-lib constructs.
17971         (lisp-el-font-lock-keywords, lisp-el-font-lock-keywords-1)
17972         (lisp-el-font-lock-keywords-2, lisp-cl-font-lock-keywords)
17973         (lisp-cl-font-lock-keywords-1, lisp-cl-font-lock-keywords-2):
17974         New constants.
17975         (lisp-mode-variables): New `elisp' argument.
17976         (emacs-lisp-mode): Use it.
17977         * font-lock.el (lisp-font-lock-keywords, lisp-font-lock-keywords-1)
17978         (lisp-font-lock-keywords-2): Move to lisp-mode.el.
17980         * indent.el: Use lexical-binding.
17981         (indent-region): Add progress reporter.
17982         (tab-stop-list): Make it implicitly extend to infinity by repeating the
17983         last step.
17984         (indent--next-tab-stop): New function to implement this behavior.
17985         (tab-to-tab-stop, move-to-tab-stop): Use it.
17987 2013-10-08  Teemu Likonen  <tlikonen@iki.fi>
17989         * indent.el (indent-rigidly--current-indentation): New function.
17990         (indent-rigidly-map): New var.
17991         (indent-rigidly): Use it to provide interactive mode (bug#8196).
17993 2013-10-08  Bastien Guerry  <bzg@gnu.org>
17995         * register.el (insert-register): Fix 2013-10-07 change.
17997 2013-10-08  Stefan Monnier  <monnier@iro.umontreal.ca>
17999         * progmodes/perl-mode.el: Use lexical-binding.
18000         Remove redundant :group args.
18001         (perl-nochange): Change default to be closer to other major modes's
18002         standard behavior.
18003         (perl-indent-line): Don't consider text on current line as a
18004         valid beginning of function from which to indent.
18006         * emacs-lisp/backquote.el (backquote-process): Catch uses of , and ,@
18007         with more than one argument (bug#15538).
18009         * mpc.el (mpc-songs-jump-to): Adjust to different playlist format.
18011         * vc/pcvs.el: Use lexical-binding.
18012         (cvs-temp-buffer, cvs-make-cvs-buffer): Pass some vars in the lexical
18013         environment of `eval'.
18014         (cvs-mode-run, cvs-mode-do): Change `postproc' to be a function rather
18015         than a list of expressions.  Adjust callers.
18016         * vc/pcvs-defs.el (cvs-postprocess): Remove, unused.
18018 2013-10-07  Dmitry Gutov  <dgutov@yandex.ru>
18020         * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Handle the
18021         case of the dot in a chained method call being on the following line.
18023 2013-10-07  Stefan Monnier  <monnier@iro.umontreal.ca>
18025         * electric.el (electric-indent-inhibit): New var.
18026         (electric-indent-post-self-insert-function): Use it.
18027         * progmodes/python.el (python-mode): Set it.
18029         * progmodes/ruby-mode.el (ruby-smie-rules): Tweak handling of
18030         open braces.
18032         * emacs-lisp/smie.el (smie-next-sexp): Refine last fix.
18034         * textmodes/css-mode.el (css-smie-rules): Fix indentation (bug#15467).
18035         (css-mode): Use electric-indent-chars.
18037         * nxml/nxml-mode.el: Use lexical-binding and syntax-propertize.
18038         (font-lock-beg, font-lock-end): Move before first use.
18039         (nxml-mode): Use syntax-propertize-function.
18040         (nxml-after-change, nxml-after-change1): Adjust accordingly.
18041         (nxml-extend-after-change-region): Remove.
18042         * nxml/xmltok.el: Use lexical-binding.
18043         (xmltok-save): Use `declare'.
18044         (xmltok-unclosed-reparse-p, xmltok-semi-closed-reparse-p): Remove.
18045         * nxml/nxml-util.el: Use lexical-binding.
18046         (nxml-with-degradation-on-error, nxml-with-invisible-motion):
18047         Use `declare'.
18048         * nxml/nxml-ns.el: Use lexical-binding.
18049         (nxml-ns-save): Use `declare'.
18050         (nxml-ns-prefixes-for): Avoid add-to-list.
18051         * nxml/rng-match.el: Use lexical-binding.
18052         (rng--ipattern): Use cl-defstruct.
18053         (rng-compute-start-tag-open-deriv, rng-compute-start-attribute-deriv)
18054         (rng-cons-group-after, rng-subst-group-after)
18055         (rng-subst-interleave-after, rng-apply-after, rng-compute-data-deriv):
18056         Use closures instead of `(lambda...).
18058 2013-10-07  Michael Albinus  <michael.albinus@gmx.de>
18060         * net/tramp.el (tramp-handle-insert-file-contents): Improve handling
18061         of BEG and END.
18063         * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
18064         Use `tramp-handle-insert-file-contents'.
18065         (tramp-gvfs-handle-insert-file-contents): Remove function.
18067         * net/tramp-sh.el (tramp-sh-handle-insert-directory):
18068         Use `save-restriction' in order to keep markers.
18070         * net/trampver.el: Update release number.
18072 2013-10-07  Stefan Monnier  <monnier@iro.umontreal.ca>
18074         * progmodes/compile.el (compilation-parse-errors):
18075         Use compilation--put-prop.
18076         (compilation--ensure-parse): Check compilation-multiline.
18078         * emacs-lisp/easymenu.el (easy-menu-create-menu): Use closures.
18080         * emacs-lisp/lisp-mode.el (eval-defun-2): Simplify, using
18081         lexical-binding.
18083         * emacs-lisp/tq.el (tq-create): Use a closure instead of `(lambda...).
18085         * progmodes/ruby-mode.el: Fix recently added tests.
18086         (ruby-smie-grammar): Add - and +.
18087         (ruby-smie--redundant-do-p, ruby-smie--forward-id)
18088         (ruby-smie--backward-id): New functions.
18089         (ruby-smie--forward-token, ruby-smie--backward-token): Use them.
18090         (ruby-smie-rules): Handle hanging do.  Get rid of hack, not needed
18091         any more.
18093 2013-10-07  Leo Liu  <sdl.web@gmail.com>
18095         * register.el (register-preview-delay)
18096         (register-preview-functions): New variables.
18097         (register-read-with-preview, register-preview)
18098         (register-describe-oneline): New functions.
18099         (point-to-register, window-configuration-to-register)
18100         (frame-configuration-to-register, jump-to-register)
18101         (number-to-register, view-register, insert-register)
18102         (copy-to-register, append-to-register, prepend-to-register)
18103         (copy-rectangle-to-register): Use register-read-with-preview to
18104         read register.  (Bug#15525)
18106 2013-10-06  Dato Simó  <dato@net.com.org.es>  (tiny change)
18108         * net/network-stream.el (network-stream-open-starttls): Don't add
18109         --insecure if it's already present, because that gnutls-cli
18110         rejects getting that parameter twice.
18112 2013-10-06  Dmitry Gutov  <dgutov@yandex.ru>
18114         * progmodes/ruby-mode.el (ruby-smie-rules): Dedent `ensure'
18115         keyword, too.
18117 2013-10-05  Dmitry Gutov  <dgutov@yandex.ru>
18119         * newcomment.el (comment-use-global-state): Change default value
18120         to t, mark obsolete (Bug#15251).
18121         (comment-beginning): In addition to `comment-to-syntax', check the
18122         value of `comment-use-global-state'.
18124 2013-10-05  Stefan Monnier  <monnier@iro.umontreal.ca>
18126         * progmodes/ruby-mode.el (ruby-use-smie): Change default.
18127         (ruby-comment-column): Follow the global default, by default.
18128         (ruby-smie-grammar): Add assignment syntax.
18129         (ruby-smie--implicit-semi-p): No implicit semi-colon after an
18130         open-paren, a comma, or a \.
18131         (ruby-smie--forward-token, ruby-smie--backward-token): Handle heredocs,
18132         and line continuations.
18133         (ruby-smie-rules): Adjust handling of open-paren, now that it's never
18134         followed by implicit semi-colons.  Add rule for string concatenation
18135         and for indentation at BOB.
18136         (ruby-forward-sexp, ruby-backward-sexp): Adjust for when SMIE is in use.
18138         * emacs-lisp/smie.el (smie-next-sexp): Don't go back to pos before
18139         calling next-sexp, since next-token may have skipped chars which
18140         next-sexp doesn't know should be skipped!
18142 2013-10-05  Leo Liu  <sdl.web@gmail.com>
18144         * progmodes/octave.el (octave-send-region):
18145         Call compilation-forget-errors.
18147 2013-10-04  Xue Fuqiao  <xfq.free@gmail.com>
18149         * vc/vc-svn.el (vc-svn-find-admin-dir):
18150         * vc/vc-rcs.el (vc-rcs-find-admin-dir):
18151         * vc/vc-mtn.el (vc-mtn-find-admin-dir):
18152         * vc/vc-cvs.el (vc-cvs-find-admin-dir):
18153         * vc/vc-arch.el (vc-arch-find-admin-dir): New functions.
18155 2013-10-04  Stefan Monnier  <monnier@iro.umontreal.ca>
18157         * textmodes/css-mode.el (css-smie-rules): Toplevel's a list (bug#15467).
18159 2013-10-04  Stefan Monnier  <monnier@iro.umontreal.ca>
18161         * subr.el (read-passwd): Hide chars even when called within a context
18162         where after-change-functions is disabled (bug#15501).
18163         (set-temporary-overlay-map): Don't remove oneself from pre-command-hook
18164         until we removed ourself from overriding-terminal-local-map.
18166 2013-10-04  Leo Liu  <sdl.web@gmail.com>
18168         * progmodes/octave.el (inferior-octave-mode):
18169         Call compilation-forget-errors.
18171 2013-10-04  Xue Fuqiao  <xfq.free@gmail.com>
18173         * emacs-lisp/syntax.el (syntax-ppss): Doc fix.
18175 2013-10-04  Michael Albinus  <michael.albinus@gmx.de>
18177         * net/secrets.el (secrets-create-collection): Add optional
18178         argument ALIAS.  Use proper Label keyword.  Append ALIAS as
18179         dbus-call-method argument.  (Bug#15516)
18181 2013-10-04  Leo Liu  <sdl.web@gmail.com>
18183         * progmodes/octave.el (inferior-octave-error-regexp-alist)
18184         (inferior-octave-compilation-font-lock-keywords): New variables.
18185         (compilation-error-regexp-alist)
18186         (compilation-mode-font-lock-keywords): Defvar to pacify compiler.
18187         (inferior-octave-mode): Use compilation-shell-minor-mode.
18189 2013-10-04  Jorgen Schaefer  <forcer@forcix.cx>
18191         * minibuffer.el (completion--replace): Be careful that `end' might be
18192         a marker.
18194 2013-10-03  Daiki Ueno  <ueno@gnu.org>
18196         Add support for package signature checking.
18197         * emacs-lisp/package.el (url-http-file-exists-p)
18198         (epg-make-context, epg-context-set-home-directory)
18199         (epg-verify-string, epg-context-result-for)
18200         (epg-signature-status, epg-signature-to-string)
18201         (epg-check-configuration, epg-configuration)
18202         (epg-import-keys-from-file): Declare.
18203         (package-check-signature): New user option.
18204         (package-unsigned-archives): New user option.
18205         (package-desc): Add `signed' field.
18206         (package-load-descriptor): Set `signed' field if .signed file exists.
18207         (package--archive-file-exists-p): New function.
18208         (package--check-signature): New function.
18209         (package-install-from-archive): Check package signature.
18210         (package--download-one-archive): Check archive signature.
18211         (package-delete): Remove .signed file.
18212         (package-import-keyring): New command.
18213         (package-refresh-contents): Import default keyring.
18214         (package-desc-status): Add "unsigned" status.
18215         (describe-package-1, package-menu--print-info)
18216         (package-menu-mark-delete, package-menu--find-upgrades)
18217         (package-menu--status-predicate): Support "unsigned" status.
18219 2013-10-03  Stefan Monnier  <monnier@iro.umontreal.ca>
18221         * emacs-lisp/cconv.el (cconv-convert, cconv-analyse-form): Adjust for
18222         the new compilation scheme using the new byte-codes.
18224         * emacs-lisp/bytecomp.el (byte-pushcatch, byte-pushconditioncase)
18225         (byte-pophandler): New byte codes.
18226         (byte-goto-ops): Adjust accordingly.
18227         (byte-compile--use-old-handlers): New var.
18228         (byte-compile-catch): Use new byte codes depending on
18229         byte-compile--use-old-handlers.
18230         (byte-compile-condition-case--old): Rename from
18231         byte-compile-condition-case.
18232         (byte-compile-condition-case--new): New function.
18233         (byte-compile-condition-case): New function that dispatches depending
18234         on byte-compile--use-old-handlers.
18235         (byte-compile-unwind-protect): Pass a function to byte-unwind-protect
18236         when we can.
18238         * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker):
18239         Optimize under `condition-case' and `catch' if
18240         byte-compile--use-old-handlers is nil.
18241         (disassemble-offset): Handle new bytecodes.
18243 2013-10-03  Stefan Monnier  <monnier@iro.umontreal.ca>
18245         * subr.el (error): Use `declare'.
18246         (decode-char, encode-char): Use advertised-calling-convention instead
18247         of the docstring to discourage use of the `restriction' arg.
18249 2013-10-03  Daiki Ueno  <ueno@gnu.org>
18251         * epg.el (epg-verify-file): Add a comment saying that it does not
18252         notify verification error as a return value nor a signal.
18253         (epg-verify-string): Ditto.
18255 2013-10-02  Kevin Rodgers  <kevin.d.rodgers@gmail.com>
18257         * progmodes/compile.el (compilation-start): Try globbing the arg to
18258         `cd' (bug#15417).
18260 2013-10-02  Michael Albinus  <michael.albinus@gmx.de>
18262         Sync with Tramp 2.2.8.
18264         * net/tramp-cmds.el (tramp-bug, tramp-append-tramp-buffers):
18265         * net/tramp-cache.el (tramp-cache-print): Use `tramp-compat-funcall'.
18266         * net/trampver.el: Update release number.
18268 2013-10-01  Jan Djärv  <jan.h.d@swipnet.se>
18270         * term/ns-win.el (ns-initialize-window-system): Set locale-coding-system
18271         and default-process-coding-system for darwin only.
18273 2013-10-01  Stefan Monnier  <monnier@iro.umontreal.ca>
18275         * emacs-lisp/package.el (package-desc): Simplify (bug#15495).
18277 2013-10-01  Mitchel Humpherys  <mitch.special@gmail.com>  (tiny change)
18279         * vc/vc-git.el (vc-git-grep): Disable pager.
18281 2013-10-01  Dmitry Gutov  <dgutov@yandex.ru>
18283         * emacs-lisp/package.el (package-buffer-info, describe-package-1):
18284         Use :url instead of :homepage, as per
18285         http://lists.gnu.org/archive/html/emacs-devel/2013-09/msg00622.html
18287         * newcomment.el (comment-beginning): When `comment-use-syntax' is
18288         non-nil, use `syntax-ppss' (Bug#15251).
18290 2013-09-30  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
18292         * progmodes/octave.el (inferior-octave-startup-file):
18293         Prefer ~/.emacs.d/init_octave.m.
18295 2013-09-29  Dmitry Gutov  <dgutov@yandex.ru>
18297         * emacs-lisp/package.el (package-desc-from-define):
18298         Accept additional arguments as plist, convert them to an alist and store
18299         them in the `extras' slot.
18300         (package-generate-description-file): Convert extras alist back to
18301         plist and append to the `define-package' form arguments.
18302         (package--alist-to-plist): New function.
18303         (package--ac-desc): Add `extras' slot.
18304         (package--add-to-archive-contents): Check if the archive-contents
18305         vector is long enough, and if it is, pass its `extras' slot value
18306         to `package-desc-create'.
18307         (package-buffer-info): Call `lm-homepage', pass the returned value
18308         to `package-desc-from-define'.
18309         (describe-package-1): Render the homepage button (Bug#13291).
18311         * emacs-lisp/package-x.el (package-upload-buffer-internal):
18312         Pass `extras' slot from `package-desc' to `package-make-ac-desc'.
18314 2013-09-29  Jan Djärv  <jan.h.d@swipnet.se>
18316         * term/ns-win.el (ns-initialize-window-system): Set locale-coding-system
18317         and default-process-coding-system to utf-8-unix (Bug#15402).
18319 2013-09-29  Xue Fuqiao  <xfq.free@gmail.com>
18321         * subr.el (looking-back): Do not recommend using looking-back.
18323 2013-09-28  Alan Mackenzie  <acm@muc.de>
18325         Fix indentation/fontification of Java enum with "implements".
18327         * progmodes/cc-langs.el (c-postfix-decl-spec-key): New variable, a
18328         regexp which matches "implements", etc., in Java.
18329         * progmodes/cc-engine.el (c-inside-bracelist-p): Check for extra
18330         specifier clauses coming after "enum".
18331         * progmodes/cc-fonts.el (c-font-lock-declarations)
18332         (c-font-lock-enum-tail): Check for extra specifier clauses coming
18333         after "enum".
18335 2013-09-28  Jan Djärv  <jan.h.d@swipnet.se>
18337         * faces.el (region): Change ns_selection_color to
18338         ns_selection_fg_color, add ns_selection_bg_color.
18340 2013-09-28  Leo Liu  <sdl.web@gmail.com>
18342         * progmodes/octave.el (inferior-octave-completion-table)
18343         (inferior-octave-completion-at-point): Minor tweaks.
18345         * textmodes/ispell.el (ispell-lookup-words): Rename from
18346         lookup-words.  (Bug#15460)
18347         (lookup-words): Obsolete.
18348         (ispell-complete-word, ispell-command-loop): All uses changed.
18350 2013-09-28  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
18352         * progmodes/octave.el (octave-mode-map): Bind octave-send-buffer.
18353         (octave-mode-menu): Add octave-send-buffer.
18354         (octave-send-buffer): New function.
18356 2013-09-28  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
18358         * progmodes/octave.el (octave-mode-map): Add key binding for
18359         octave-lookfor.
18360         (octave-mode-menu): Add octave-lookfor.
18361         (inferior-octave-mode-map, octave-help-mode-map): Bind C-ha to
18362         octave-lookfor.
18363         (octave-lookfor): New function.
18365 2013-09-28  Stefan Monnier  <monnier@iro.umontreal.ca>
18367         * emacs-lisp/cl-macs.el (cl--loop-destr-temps): Remove.
18368         (cl--loop-iterator-function): Rename from cl--loop-map-form and change
18369         its convention.
18370         (cl--loop-set-iterator-function): New function.
18371         (cl-loop): Adjust accordingly, so as not to use cl-subst.
18372         (cl--parse-loop-clause): Adjust all uses of cl--loop-map-form.
18373         Bind `it' with `let' instead of substituting it with `cl-subst'.
18374         (cl--unused-var-p): New function.
18375         (cl--loop-let): Don't use the cl--loop-destr-temps hack any more.
18376         Eliminate some unused variable warnings (bug#15326).
18378 2013-09-27  Tassilo Horn  <tsdh@gnu.org>
18380         * doc-view.el (doc-view-scale-reset): Rename from
18381         `doc-view-reset-zoom-level'.
18382         (doc-view-scale-adjust): New command.
18383         (doc-view-mode-map): Remap `text-scale-adjust' bindings to
18384         `doc-view-scale-adjust'.
18386 2013-09-26  Tassilo Horn  <tsdh@gnu.org>
18388         * doc-view.el (doc-view-reset-zoom-level): New command.
18389         (doc-view-mode-map): Remap text-scale-adjust bindings to doc-view
18390         zoom commands (bug#15466).
18392 2013-09-26  Kenichi Handa  <handa@gnu.org>
18394         * international/quail.el (quail-help): Make it not a command.
18396 2013-09-26  Leo Liu  <sdl.web@gmail.com>
18398         * minibuffer.el (completion-all-sorted-completions): Make args
18399         optional as they are.
18401 2013-09-25  Daniel Colascione  <dancol@dancol.org>
18403         * emacs-lisp/cl-macs.el (cl-type-spec): Tell edebug what type
18404         specs are and that they're not evaluated.
18406 2013-09-24  Sam Steingold  <sds@gnu.org>
18408         * midnight.el (clean-buffer-list-kill-regexps)
18409         (clean-buffer-list-kill-buffer-names): Update for the new Man
18410         buffer naming which includes the object name.
18412 2013-09-23  Stefan Monnier  <monnier@iro.umontreal.ca>
18414         * eshell/esh-cmd.el (eshell--sep-terms): New var.
18415         (eshell-parse-command, eshell-parse-pipeline): Use it since
18416         eshell-separate-commands requires a dynamic scoped var.
18417         Reported by Jan Moringen <jmoringe@techfak.uni-bielefeld.de>.
18419 2013-09-23  Leo Liu  <sdl.web@gmail.com>
18421         * autoinsert.el (auto-insert-alist): Make the value of
18422         lexical-binding match its file setting.
18424 2013-09-23  Juanma Barranquero  <lekktu@gmail.com>
18426         * vc/vc-sccs.el (vc-sccs-search-project-dir): Mark unused argument.
18428         * autoarg.el (autoarg-kp-digit-argument):
18429         * electric.el (Electric-command-loop):
18430         * kmacro.el (kmacro-step-edit-insert):
18431         Do not set universal-argument-num-events.
18433 2013-09-22  Leo Liu  <sdl.web@gmail.com>
18435         * files.el (interpreter-mode-alist): Add octave.
18437 2013-09-21  Alan Mackenzie  <acm@muc.de>
18439         C++: fontify identifier in declaration following "public:" correctly.
18440         * progmodes/cc-langs.el (c-decl-start-colon-kwd-re): New lang var
18441         to match "public", etc.
18442         (c-decl-prefix-re): Add ":" into the C++ value.
18443         * progmodes/cc-engine.el (c-find-decl-prefix-search): Refactor a
18444         bit.  Add a check for a ":" preceded by "public", etc.
18446 2013-09-21  Eli Zaretskii  <eliz@gnu.org>
18448         * files.el (auto-mode-alist): Support OBJFILE-gdb.gdb script files
18449         recognized by GDB 7.5 and later.
18451 2013-09-21  Xue Fuqiao  <xfq.free@gmail.com>
18453         * vc/vc-dir.el (vc-dir-mode-map): Add keybinding for vc-log-incoming.
18455 2013-09-20  Stefan Monnier  <monnier@iro.umontreal.ca>
18457         * subr.el (internal--call-interactively): New const.
18458         (called-interactively-p): Use it (bug#3984).
18460 2013-09-20  Xue Fuqiao  <xfq.free@gmail.com>
18462         * vc/pcvs.el (cvs-mode-ignore):
18463         * vc/vc-cvs.el (vc-cvs-ignore, vc-cvs-append-to-ignore):
18464         Rename cvs-append-to-ignore to vc-cvs-append-to-ignore.
18466 2013-09-19  Stefan Monnier  <monnier@iro.umontreal.ca>
18468         * eshell/em-ls.el: Use advice.  Remove redundant :group keywords.
18469         (eshell-ls-orig-insert-directory): Remove.
18470         (eshell-ls-unload-hook): Not a defcustom any more.  Use advice-remove.
18471         (eshell-ls-use-in-dired): Use advice-add/remove.
18472         (eshell-ls--insert-directory): Rename from eshell-ls-insert-directory.
18473         Add `orig-fun' arg for use in :around advice.
18474         Make it check (redundantly) eshell-ls-use-in-dired.
18476 2013-09-19  Glenn Morris  <rgm@gnu.org>
18478         * emacs-lisp/cl-macs.el (cl-defsubst): Remove unused local `pbody'.
18480         * simple.el (x-selection-owner-p, x-selection-exists-p): Declare.
18482         * emacs-lisp/eieio.el (class-parent): Undo previous change.
18484 2013-09-19  Michael Albinus  <michael.albinus@gmx.de>
18486         * net/tramp-sh.el (tramp-get-remote-id): Do not raise an error.
18487         (tramp-get-remote-uid-with-id, tramp-get-remote-gid-with-id)
18488         (tramp-get-remote-python): New defuns.
18489         (tramp-get-remote-uid-with-perl)
18490         (tramp-get-remote-gid-with-perl): New defuns.  Perl code
18491         contributed by yary <not.com@gmail.com> (tiny change).
18492         (tramp-get-remote-uid-with-python)
18493         (tramp-get-remote-gid-with-python): New defuns.  Python code
18494         contributed by Andrey Tykhonov <atykhonov@gmail.com> (tiny change).
18495         (tramp-get-remote-uid, tramp-get-remote-gid): Use new defuns.
18497 2013-09-19  Glenn Morris  <rgm@gnu.org>
18499         * emacs-lisp/eieio.el (class-parent): Don't use defalias with macros.
18501         * eshell/em-unix.el (eshell-remove-entries):
18502         Rename argument to avoid name-clash with global `top-level'.
18504         * eshell/esh-proc.el (eshell-kill-process-function):
18505         Remove eshell-reset-after-proc from eshell-kill-hook if present.
18506         (eshell-reset-after-proc): Remove unused arg `proc'.
18508         * eshell/esh-util.el (eshell-read-hosts-file): Use `filename' arg.
18509         (directory-files-and-attributes): Mark unused arg.
18511         * eshell/em-unix.el (eshell-remove-entries):
18512         Remove unused arg `path'.  Update callers.
18514         * eshell/em-hist.el (eshell-hist-parse-arguments):
18515         Remove unused arg `silent'.  Update callers.
18517         * eshell/em-ls.el (eshell-ls-use-in-dired): Use `symbol' arg.
18518         Fix (f)boundp mix-up.
18520         * eshell/em-smart.el (eshell-smart-scroll-window)
18521         (eshell-disable-after-change):
18522         * eshell/em-term.el (eshell-term-sentinel): Mark unused arg.
18524 2013-09-18  Alan Mackenzie  <acm@muc.de>
18526         Fix fontification of type when followed by "const".
18527         * progmodes/cc-engine.el (c-forward-decl-or-cast-1): Don't exclude
18528         "known" types from fontification.
18530 2013-09-18  Glenn Morris  <rgm@gnu.org>
18532         * emacs-lisp/chart.el (x-display-color-cells): Declare.
18533         (chart-face-list): Drop Emacsen without display-color-p.
18535         * net/eww.el (libxml-parse-html-region): Declare.
18536         (eww-display-html): Explicit error if no libxml2 support.
18538         * doc-view.el (doc-view-mode): Silence --without-x compilation.
18540         * image.el (image-type-from-buffer, image-multi-frame-p):
18541         Remove --without-x warning/error.
18543         * mouse.el (mouse-yank-primary):
18544         * term.el (term-mouse-paste):
18545         Reorder to silence --without-x compilation.
18547         * mpc.el (doc-view-mode): Silence --without-x compilation.
18549         * mail/rmailmm.el (rmail-mime-set-bulk-data):
18550         Silence --without-x compilation.
18552         * progmodes/gud.el (gud-find-file, gud-mode):
18553         Silence --without-x compilation.
18554         (tooltip-mode): Declare.
18556         * wdired.el (dired-backup-overwrite): Remove declaration.
18557         (wdired-mode-map): Add doc string.
18559         * custom.el (x-get-resource): Declare.
18561         * eshell/em-glob.el (ange-cache):
18562         * eshell/em-unix.el (ange-cache): Declare.
18564         * faces.el (x-display-list, x-open-connection, x-get-resource):
18565         Declare.
18567         * follow.el (scroll-bar-toolkit-scroll, scroll-bar-drag)
18568         (scroll-bar-scroll-up, scroll-bar-scroll-down, mwheel-scroll):
18569         Declare.
18571         * frame.el (x-display-grayscale-p, x-display-name): Declare.
18573         * net/gnutls.el (gnutls-log-level): Declare.
18575         * net/shr.el (image-size, image-animate): Declare.
18577         * simple.el (font-info): Declare.
18579         * subr.el (x-popup-dialog): Declare.
18581         * term/common-win.el (x-select-enable-primary)
18582         (x-last-selected-text-primary, x-last-selected-text-clipboard):
18583         Declare.
18585         * term/ns-win.el (x-handle-args): Declare.
18587         * term/x-win.el (x-select-enable-clipboard): Declare.
18589         * term/w32-win.el (create-default-fontset): Declare.
18591         * w32-common-fns.el (x-server-version, x-select-enable-clipboard):
18592         Declare.
18594         * window.el (x-display-pixel-height, tool-bar-lines-needed): Declare.
18595         (fit-frame-to-buffer): Explicit error if --without-x.
18596         (mouse-autoselect-window-select): Silence compiler.
18598         * dframe.el (x-sensitive-text-pointer-shape, x-pointer-shape): Declare.
18600         * eshell/em-cmpl.el (eshell-complete-parse-arguments):
18601         * eshell/em-hist.el (eshell/history, eshell-isearch-backward):
18602         * eshell/em-pred.el (eshell-parse-modifiers, eshell-pred-file-time):
18603         * eshell/esh-util.el (eshell-sublist):
18604         Remove unused local variables.
18606         * eshell/esh-io.el (x-select-enable-clipboard): Declare.
18608         * textmodes/two-column.el: Make 2C-split work for --without-x.
18609         (scroll-bar-columns): Autoload.
18610         (top-level): Require fringe when compiling.
18612 2013-09-18  Leo Liu  <sdl.web@gmail.com>
18614         * subr.el (add-hook): Robustify to handle closure as well.
18616 2013-09-17  Glenn Morris  <rgm@gnu.org>
18618         * simple.el (messages-buffer-mode-map): Unbind "g".
18620 2013-09-17  Stefan Monnier  <monnier@iro.umontreal.ca>
18622         * help-mode.el (help-mode-finish): Use derived-mode-p.
18623         Remove obsolete highlighting.
18625         * play/life.el (life-mode): Use define-derived-mode.  Derive from
18626         special-mode.
18627         (life): Let-bind inhibit-read-only.
18628         (life-setup): Avoid `setq'.  Use `life-mode'.
18630         * emacs-lisp/package.el (package-generate-autoloads): Remove `require'
18631         which should not be needed any more.
18632         (package-menu-refresh, package-menu-describe-package): Use user-error.
18634         * eshell/esh-cmd.el (eshell-post-rewrite-command-function): New var.
18635         (eshell-post-rewrite-command-hook): Make obsolete.
18636         (eshell-parse-command): Simplify.
18637         (eshell-structure-basic-command): Remove unused arg `vocal-test'.
18638         (eshell--cmd): Declare.
18639         (eshell-parse-pipeline): Remove unused var `final-p'.
18640         Pass a dynvar to eshell-post-rewrite-command-hook.
18641         Implement the new eshell-post-rewrite-command-function.
18642         (eshell-invoke-directly): Remove unused arg `input'.
18643         * eshell/esh-io.el (eshell-io-initialize):
18644         Use eshell-post-rewrite-command-function (bug#15399).
18645         (eshell--apply-redirections): Rename from eshell-apply-redirections;
18646         adjust to new calling convention.
18647         (eshell-create-handles): Rename args to avoid clashing with dynvar
18648         `standard-output'.
18650 2013-09-17  Glenn Morris  <rgm@gnu.org>
18652         * simple.el (messages-buffer-mode): New major mode.
18653         (messages-buffer): New function.
18654         * startup.el (normal-top-level): Switch mode of *Messages* buffer.
18655         * emacs-lisp/ert.el (ert--force-message-log-buffer-truncation)
18656         (ert-run-test): Use `messages-buffer' function.
18657         (ert--force-message-log-buffer-truncation): Ignore read-only.
18658         * help.el (view-echo-area-messages): Use `messages-buffer' function.
18659         * mail/emacsbug.el (report-emacs-bug): Use `messages-buffer' function.
18661 2013-09-17  Stefan Monnier  <monnier@iro.umontreal.ca>
18663         * subr.el (eval-after-load): Preserve evaluation order (bug#15389).
18665         * abbrev.el (abbrev--check-chars): Fix thinko (bug#15360).
18667 2013-09-16  Stefan Monnier  <monnier@iro.umontreal.ca>
18669         * icomplete.el (icomplete-in-buffer): New var.
18670         (icomplete-pre-command-hook, icomplete-post-command-hook): Remove those
18671         vars and replace them with functions.
18672         (icomplete-minibuffer-setup): Adjust accordingly.
18673         (icomplete--completion-table, icomplete--completion-predicate)
18674         (icomplete--field-string, icomplete--field-beg, icomplete--field-end):
18675         New functions.
18676         (icomplete-forward-completions, icomplete-backward-completions)
18677         (icomplete-simple-completing-p, icomplete-exhibit)
18678         (icomplete-completions): Use them.
18679         (icomplete--in-region-buffer): New var.
18680         (icomplete--in-region-setup): New function.
18681         (icomplete-mode): Use it.
18683         * eshell/esh-opt.el: Fix last change to set lexical-vars properly
18684         (bug#15379).
18685         (eshell--do-opts): Rename from eshell-do-opt, remove arg `body-fun',
18686         return args and options.
18687         (eshell-eval-using-options): Use the new return value of
18688         eshell--do-opts to set the options's vars in their scope.
18689         (eshell--set-option): Rename from eshell-set-option.
18690         Add arg `opt-vals'.
18691         (eshell--process-option): Rename from eshell-process-option.
18692         Add arg `opt-vals'.
18693         (eshell--process-args): Use an `opt-vals' alist to store the options's
18694         values during their processing and return them additionally to the
18695         remaining args.
18697 2013-09-15  Dmitry Gutov  <dgutov@yandex.ru>
18699         * progmodes/ruby-mode.el (ruby-operator-re): Consider line
18700         continuation character an operator, as far as indentation is
18701         concerned (Bug#15369).
18703 2013-09-15  Martin Rudalics  <rudalics@gmx.at>
18705         * window.el (window--state-put-2): Don't process buffer state
18706         when buffer doesn't exist any more (Bug#15382).
18708 2013-09-15  Glenn Morris  <rgm@gnu.org>
18710         * eshell/em-unix.el (eshell/rm):
18711         Make -f ignore missing files.  (Bug#15373)
18713         * eshell/esh-cmd.el (eshell--local-vars): New variable.  (Bug#15372)
18714         (eshell-rewrite-for-command): Add for loop vars to eshell--local-vars.
18715         * eshell/esh-var.el (eshell-get-variable): Respect eshell--local-vars.
18717 2013-09-14  Glenn Morris  <rgm@gnu.org>
18719         * eshell/esh-var.el (eshell-variable-aliases-list): Fix doc typo.
18721 2013-09-13  Glenn Morris  <rgm@gnu.org>
18723         * dired-x.el (dired-guess-shell-alist-user): Doc fix.
18724         (dired-guess-default): Make `file' available in the env.  (Bug#15363)
18726 2013-09-13  Dmitry Antipov  <dmantipov@yandex.ru>
18728         * frame.el (x-focus-frame): Mark as declared in frame.c.
18730 2013-09-13  Stefan Monnier  <monnier@iro.umontreal.ca>
18732         * ls-lisp.el: Use advice-add.
18733         (original-insert-directory): Remove.
18734         (ls-lisp--insert-directory): Rename from insert-directory; add
18735         `orig-fun' argument.
18736         (insert-directory): Advise.
18738 2013-09-13  Eli Zaretskii  <eliz@gnu.org>
18740         * term.el (term-emulate-terminal): Decode the command string
18741         before passing it to term-command-hook.  (Bug#15337)
18743 2013-09-13  Glenn Morris  <rgm@gnu.org>
18745         * eshell/esh-util.el (ange-cache): Move declaration earlier.
18747         * eshell/esh-ext.el (eshell-search-path): Declare.
18749         * eshell/em-prompt.el (eshell/pwd): Autoload it.
18750         Otherwise an error occurs if eshell-dirs module not loaded.
18752         * progmodes/gdb-mi.el (gud-cont, gud-step): Declare.
18754 2013-09-13  Michael Albinus  <michael.albinus@gmx.de>
18756         * net/tramp.el (tramp-check-proper-method-and-host): Rename it from
18757         `tramp-check-proper-host'.  Check for a valid method name.
18759         * net/tramp-adb.el (tramp-adb-maybe-open-connection):
18760         * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
18761         * net/tramp-sh.el (tramp-maybe-open-connection):
18762         * net/tramp-smb.el (tramp-smb-maybe-open-connection): Call it.
18764         * net/tramp-cache.el (tramp-cache-print): Don't print text properties
18765         also for hash values.
18767 2013-09-12  Stefan Monnier  <monnier@iro.umontreal.ca>
18769         * term/ns-win.el (parameters): Don't declare as dynamic.
18770         (before-make-frame-hook): Don't add ineffective function.
18772         * eshell/*.el: Use lexical-binding (bug#15231).
18774 2013-09-12  Kenichi Handa  <handa@gnu.org>
18776         * composite.el (compose-gstring-for-graphic): Handle enclosing mark.
18778 2013-09-12  Glenn Morris  <rgm@gnu.org>
18780         * vc/vc-svn.el (vc-svn-dir-status-files, vc-svn-dir-extra-headers)
18781         (vc-svn-ignore, vc-svn-retrieve-tag): Mark unused arguments.
18783         * subr.el (do-after-load-evaluation): Also give compiler warnings
18784         when obsolete files are used (except by obsolete files).
18786         * vc/vc-svn.el (vc-svn-parse-status): If there are multiple files
18787         in the status output, assume `filename' is the first.  (Bug#15322)
18789         * vc/vc.el (vc-deduce-fileset): Doc fix.
18791         * calc/calc-help.el (Info-goto-node):
18792         * progmodes/cperl-mode.el (Info-find-node):
18793         * vc/ediff.el (Info-goto-node): Update declarations.
18795         * vc/vc-dispatcher.el (vc-dir-refresh): Declare.
18797         * vc/vc-bzr.el (vc-compilation-mode): Declare.
18798         (vc-bzr-pull): Require vc-dispatcher.
18799         * vc/vc-git.el (vc-compilation-mode): Declare.
18800         (vc-git-pull): Require vc-dispatcher.
18802         * progmodes/ruby-mode.el (ruby-syntax-propertize-function): Declare.
18804         * progmodes/octave.el (help-button-action): Declare.
18806         * shell.el (shell-directory-tracker): Output error as a message
18807         rather than just returning it as a string.
18808         (shell-process-pushd): Remove useless use of message.
18810         * dframe.el (dframe-timer-fn):
18811         * files.el (dir-locals-read-from-file):
18812         * mpc.el (mpc--status-timer-run, mpc--status-idle-timer-run)
18813         (mpc-format):
18814         * reveal.el (reveal-post-command):
18815         * saveplace.el (load-save-place-alist-from-file):
18816         * shell.el (shell-resync-dirs):
18817         * w32-common-fns.el (x-get-selection-value):
18818         * emacs-lisp/copyright.el (copyright-find-copyright):
18819         * emacs-lisp/eldoc.el (eldoc-print-current-symbol-info):
18820         * emulation/tpu-edt.el (tpu-copy-keyfile):
18821         * play/bubbles.el (bubbles--mark-neighbourhood):
18822         * progmodes/executable.el
18823         (executable-make-buffer-file-executable-if-script-p):
18824         * term/pc-win.el (x-get-selection-value): Use with-demoted-errors.
18826 2013-09-12  Stefan Monnier  <monnier@iro.umontreal.ca>
18828         Cleanup Eshell to rely less on dynamic scoping.
18829         * eshell/esh-opt.el (eshell-eval-using-options): Don't bind usage-msg,
18830         last-value, and ext-command here.  Bind `args' closer to `body'.
18831         (temp-args, last-value, usage-msg, ext-command, args): Don't defvar.
18832         (eshell--args): Declare new dynamic var.
18833         (eshell-do-opt): Add argument `args'.  Bind our own usage-msg,
18834         last-value, and ext-command.  Pass `args' to `body'.
18835         (eshell-process-args): Bind eshell--args.
18836         (eshell-set-option): Use eshell--args.
18837         * eshell/eshell.el (eshell): Use derived-mode-p.
18838         * eshell/esh-var.el (eshell-parse-variable): Use backquote.
18839         (eshell-parse-variable-ref): Remove unused vars `end' and `err'.
18840         (eshell-glob-function): Declare.
18841         * eshell/esh-util.el: Require cl-lib.
18842         (eshell-read-hosts-file): Avoid add-to-list.
18843         * eshell/esh-cmd.el (eshell-parse-lisp-argument): Remove unused var
18844         `err'.
18845         * eshell/em-unix.el (compilation-scroll-output, locate-history-list):
18846         Declare.
18847         (eshell/diff): Remove unused var `err'.
18848         * eshell/em-rebind.el (eshell-delete-backward-char): Remove unused arg
18849         `killflag'.
18850         * eshell/em-pred.el (eshell-parse-modifiers): Remove unused var `err'.
18851         * eshell/em-ls.el (eshell-ls-highlight-alist): Move defvars before
18852         first use.
18853         * eshell/em-glob.el (eshell-glob-matches, message-shown):
18854         Move declaration before first use.
18855         * eshell/em-alias.el (eshell-maybe-replace-by-alias): Use backquotes.
18856         * autorevert.el (auto-revert-notify-handler): Use `cl-dolist' since we
18857         rely on cl-return.
18859 2013-09-12  Glenn Morris  <rgm@gnu.org>
18861         * term/ns-win.el (global-map): Remove binding for ispell-next,
18862         deleted 1999-05-29.  (Bug#15357)
18864 2013-09-11  Glenn Morris  <rgm@gnu.org>
18866         * echistory.el (electric-command-history): Remove call to deleted func.
18868         * play/landmark.el (landmark-mode): Fix typos.
18870         * vc/vc-cvs.el (cvs-append-to-ignore): Fix arg spec.
18871         Check cvs-sort-ignore-file is bound.
18873         * savehist.el: No need for cl when compiling on Emacs.
18875 2013-09-11  Stefan Monnier  <monnier@iro.umontreal.ca>
18877         * eshell/esh-mode.el (eshell-mode-syntax-table): Fix up initialization
18878         (bug#15338).
18879         (eshell-self-insert-command, eshell-send-invisible):
18880         Remove unused argument.
18881         (eshell-handle-control-codes): Remove unused var `orig'.
18882         Avoid delete-backward-char.
18884         * files.el (set-auto-mode): Simplify a bit further.
18886 2013-09-11  Glenn Morris  <rgm@gnu.org>
18888         * files.el (interpreter-mode-alist): Remove \\` \\' parts.
18889         (set-auto-mode): Don't regexp-quote elements.
18890         * progmodes/python.el (interpreter-mode-alist): Remove \\` \\'.
18891         * progmodes/cc-mode.el (interpreter-mode-alist):
18892         * progmodes/ruby-mode.el (interpreter-mode-alist):
18893         Revert previous change.
18895 2013-09-11  Stefan Monnier  <monnier@iro.umontreal.ca>
18897         * play/snake.el (snake-mode):
18898         * play/mpuz.el (mpuz-mode):
18899         * play/landmark.el (lm-mode):
18900         * play/blackbox.el (blackbox-mode):
18901         * play/5x5.el (5x5-mode):
18902         * obsolete/options.el (Edit-options-mode):
18903         * net/quickurl.el (quickurl-list-mode):
18904         * net/newst-treeview.el (newsticker-treeview-mode):
18905         * mail/rmailsum.el (rmail-summary-mode):
18906         * mail/mspools.el (mspools-mode):
18907         * locate.el (locate-mode):
18908         * ibuffer.el (ibuffer-mode):
18909         * emulation/ws-mode.el (wordstar-mode):
18910         * emacs-lisp/debug.el (debugger-mode):
18911         * array.el (array-mode):
18912         * net/eudc.el (eudc-mode): Use define-derived-mode.
18913         * net/mairix.el (mairix-searches-mode-font-lock-keywords):
18914         Move initialization into declaration.
18915         (mairix-searches-mode): Use define-derived-mode.
18916         * net/eudc-hotlist.el (eudc-hotlist-mode): Use define-derived-mode.
18917         (eudc-edit-hotlist): Use dolist.
18918         * man.el (Man-mode-syntax-table): Rename from man-mode-syntax-table.
18919         (Man-mode): Use define-derived-mode.
18920         * info.el (Info-edit-mode-map): Rename from Info-edit-map.
18921         (Info-edit-mode): Use define-derived-mode.
18922         (Info-cease-edit): Use Info-mode.
18923         * eshell/esh-mode.el (eshell-mode-syntax-table): Move initialization
18924         into declaration.
18925         (eshell-mode): Use define-derived-mode.
18926         * chistory.el (command-history-mode-map): Rename from
18927         command-history-map.
18928         (command-history-mode): Use define-derived-mode.
18929         (Command-history-setup): Remove function.
18930         * calc/calc.el (calc-trail-mode-map): New var.
18931         (calc-trail-mode): Use define-derived-mode.
18932         (calc-trail-buffer): Set calc-main-buffer manually.
18933         * bookmark.el (bookmark-insert-annotation): New function.
18934         (bookmark-edit-annotation): Use it.
18935         (bookmark-edit-annotation-mode): Make it a proper major mode.
18936         (bookmark-send-edited-annotation): Use derived-mode-p.
18937         * arc-mode.el (archive-mode): Move kill-all-local-variables a tiny bit
18938         closer to its ideal place.  Use \' to match EOS.
18940         * profiler.el (profiler-calltree-find): Use function-equal.
18942 2013-09-10  Glenn Morris  <rgm@gnu.org>
18944         * files.el (interpreter-mode-alist): Convert to regexps.
18945         (set-auto-mode): Adapt for this.  (Bug#15306)
18946         * progmodes/cperl-mode.el (cperl-clobber-mode-lists):
18947         Comment out unused variable.
18948         * progmodes/cc-mode.el (interpreter-mode-alist):
18949         * progmodes/python.el (interpreter-mode-alist):
18950         * progmodes/ruby-mode.el (interpreter-mode-alist): Convert to regexps.
18951         * progmodes/sh-script.el (sh-set-shell):
18952         No longer use interpreter-mode-alist to get list of shells.
18954         * progmodes/cc-mode.el (awk-mode): Remove duplicate autoload.
18956 2013-09-10  Stefan Monnier  <monnier@iro.umontreal.ca>
18958         * simple.el: Use set-temporary-overlay-map for universal-argument.
18959         (universal-argument-map): Don't use default-bindings (bug#15317).
18960         Bind switch-frame explicitly.  Replace universal-argument-minus with
18961         a conditional binding.
18962         (universal-argument-num-events, saved-overriding-map): Remove.
18963         (restore-overriding-map): Remove.
18964         (universal-argument--mode): Rename from save&set-overriding-map,
18965         and rewrite.
18966         (universal-argument, universal-argument-more, negative-argument)
18967         (digit-argument): Adjust accordingly.
18968         (universal-argument-minus): Remove.
18969         (universal-argument-other-key): Remove.
18971         * subr.el (with-demoted-errors): Add `format' argument.
18973 2013-09-10  Michael Albinus  <michael.albinus@gmx.de>
18975         * net/tramp.el (tramp-cleanup): Remove.  Functionality added to
18976         `tramp-cleanup-connection'.
18978         * net/tramp-cmds.el (tramp-cleanup-connection): Add optional
18979         parameters KEEP-DEBUG and KEEP-PASSWORD.
18981         * net/tramp.el (tramp-file-name-handler):
18982         * net/tramp-adb.el (tramp-adb-maybe-open-connection):
18983         * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell)
18984         (tramp-maybe-open-connection):
18985         * net/tramp-smb.el (tramp-smb-maybe-open-connection):
18986         Use `tramp-cleanup-connection'.
18988         * net/tramp-sh.el (tramp-maybe-open-connection):
18989         Catch 'uname-changed inside the progress reporter.
18991 2013-09-10  Glenn Morris  <rgm@gnu.org>
18993         * simple.el (read-minibuffer): Unbreak it.  (Bug#15318)
18995         * dired-x.el (dired-mark-sexp): Unbreak for systems where ls
18996         returns "alternate access method" in mode (eg "-rw-r--r--.").
18998 2013-09-08  Glenn Morris  <rgm@gnu.org>
19000         * saveplace.el (load-save-place-alist-from-file):
19001         Demote errors.  (Bug#15305)
19003 2013-09-08  Michael Albinus  <michael.albinus@gmx.de>
19005         Improve compatibility with older Emacsen, and XEmacs.
19007         * net/tramp.el (tramp-find-method, tramp-find-user): Call `propertize'
19008         only if it is bound.  It isn't for XEmacs.
19009         (with-tramp-progress-reporter): Do not let-bind `result'.
19010         This yields to scoping errors in XEmacs.
19011         (tramp-handle-make-auto-save-file-name): New function, moved from
19012         tramp-sh.el.
19014         * net/tramp-adb.el (tramp-adb-file-name-handler-alist): Add handler
19015         for `make-auto-save-file-name'.
19016         (tramp-adb--gnu-switches-to-ash):
19017         Use `tramp-compat-replace-regexp-in-string'.
19019         * net/tramp-cache.el (tramp-cache-print): Call
19020         `substring-no-properties' only if it is bound.  It isn't for XEmacs.
19022         * net/tramp-cmds.el (tramp-bug): Call `propertize' only if it is
19023         bound.  It isn't for XEmacs.
19025         * net/tramp-compat.el (tramp-compat-copy-file):
19026         Catch `wrong-number-of-arguments' error.
19027         (tramp-compat-replace-regexp-in-string): New defun.
19029         * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist): Add handler
19030         for `make-auto-save-file-name'.
19031         (tramp-gvfs-handle-copy-file): Use `tramp-compat-funcall' for
19032         `copy-file'.
19033         (tramp-gvfs-file-gvfs-monitor-file-process-filter)
19034         (tramp-gvfs-file-name): Use `tramp-compat-replace-regexp-in-string'.
19035         (tramp-synce-list-devices): Use `push' instead of `pushnew'.
19037         * net/tramp-gw.el (tramp-gw-open-network-stream):
19038         Use `tramp-compat-replace-regexp-in-string'.
19040         * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
19041         Call `tramp-handle-make-auto-save-file-name'.
19042         (tramp-sh-handle-make-auto-save-file-name): Move to tramp.el.
19043         (tramp-sh-file-gvfs-monitor-dir-process-filter)
19044         (tramp-sh-file-inotifywait-process-filter):
19045         Use `tramp-compat-replace-regexp-in-string'.
19046         (tramp-compute-multi-hops): Use `push' instead of `pushnew'.
19048         * net/tramp-smb.el (tramp-smb-file-name-handler-alist): Add handler
19049         for `make-auto-save-file-name'.
19050         (tramp-smb-handle-copy-directory):
19051         Call `tramp-compat-replace-regexp-in-string'.
19052         (tramp-smb-get-file-entries): Use `push' instead of `pushnew'.
19053         (tramp-smb-handle-copy-file): Improve error message.
19054         (tramp-smb-handle-rename-file): Rename directly only in case
19055         `newname' does not exist yet.  This is a restriction of smbclient.
19056         (tramp-smb-maybe-open-connection): Rerun the function only when
19057         `auth-sources' is non-nil.
19059 2013-09-08  Kenichi Handa  <handa@gnu.org>
19061         * international/characters.el: Set category "^" (Combining) for
19062         more characters.
19064 2013-09-07  Alan Mackenzie  <acm@muc.de>
19066         Correctly fontify Java class constructors.
19067         * progmodes/cc-langs.el (c-type-decl-suffix-key): Now matches ")"
19068         in Java Mode.
19069         (c-recognize-typeless-decls): Set the Java value to t.
19070         * progmodes/cc-engine.el (c-forward-decl-or-cast-1):
19071         While handling a "(", add a check for, effectively, Java, and handle a
19072         "typeless" declaration there.
19074 2013-09-07  Roland Winkler  <winkler@gnu.org>
19076         * textmodes/bibtex.el (bibtex-biblatex-entry-alist): Add optional
19077         field subtitle for entry type book.
19079 2013-09-06  Stefan Monnier  <monnier@iro.umontreal.ca>
19081         * minibuffer.el: Make minibuffer-complete call completion-in-region
19082         rather than other way around.
19083         (completion--some, completion-pcm--find-all-completions):
19084         Don't delay signals when debugging.
19085         (minibuffer-completion-contents): Beware fields within the
19086         minibuffer contents.
19087         (completion-all-sorted-completions): Use defvar-local.
19088         (completion--do-completion, completion--cache-all-sorted-completions)
19089         (completion-all-sorted-completions, minibuffer-force-complete):
19090         Add args `beg' and `end'.
19091         (completion--in-region-1): New fun, extracted from minibuffer-complete.
19092         (minibuffer-complete): Use completion-in-region.
19093         (completion-complete-and-exit): New fun, extracted from
19094         minibuffer-complete-and-exit.
19095         (minibuffer-complete-and-exit): Use it.
19096         (completion--complete-and-exit): Rename from
19097         minibuffer--complete-and-exit.
19098         (completion-in-region--single-word): New function, extracted from
19099         minibuffer-complete-word.
19100         (minibuffer-complete-word): Use it.
19101         (display-completion-list): Make `common-substring' argument obsolete.
19102         (completion--in-region): Call completion--in-region-1 instead of
19103         minibuffer-complete.
19104         (completion-help-at-point): Pass boundaries to
19105         minibuffer-completion-help as args rather than via an overlay.
19106         (completion-pcm--string->pattern): Use `any-delim'.
19107         (completion-pcm--optimize-pattern): New function.
19108         (completion-pcm--pattern->regex): Handle `any-delim'.
19109         * icomplete.el (icomplete-forward-completions)
19110         (icomplete-backward-completions, icomplete-completions):
19111         Adjust calls to completion-all-sorted-completions and
19112         completion--cache-all-sorted-completions.
19113         (icomplete-with-completion-tables): Default to t.
19114         * emacs-lisp/crm.el (crm--current-element): Rename from
19115         crm--select-current-element.  Don't put an overlay but return the
19116         boundaries instead.
19117         (crm--completion-command): Take two new args to bind to the boundaries.
19118         (crm-completion-help): Adjust accordingly.
19119         (crm-complete): Use completion-in-region.
19120         (crm-complete-word): Use completion-in-region--single-word.
19121         (crm-complete-and-exit): Use completion-complete-and-exit.
19123 2013-09-06  Stefan Monnier  <monnier@iro.umontreal.ca>
19125         * dired-x.el (dired-mark-sexp): Bind the vars lexically rather
19126         than dynamically.
19128 2013-09-06  Juri Linkov  <juri@jurta.org>
19130         * info.el (Info-display-images-node): When image file doesn't exist
19131         display text version of the image if it's provided in the Info file.
19132         Otherwise, display the location of missing image from SRC attribute.
19133         Add help-echo text property from ALT attribute.  (Bug#15279)
19135 2013-09-06  Stefan Monnier  <monnier@iro.umontreal.ca>
19137         * abbrev.el (edit-abbrevs-mode-map): Rename from edit-abbrevs-map.
19138         (edit-abbrevs-mode): Use define-derived-mode.
19140         * epa.el (epa--encode-coding-string, epa--decode-coding-string)
19141         (epa--select-safe-coding-system, epa--derived-mode-p): Make it obvious
19142         that it's defined.
19143         (epa-key-list-mode, epa-key-mode, epa-info-mode):
19144         Use define-derived-mode.
19146         * epg.el (epg-start-encrypt): Minor CSE simplification.
19148 2013-09-06  William Xu  <william.xwl@gmail.com>
19150         * arc-mode.el: Add support for 7za (bug#15264).
19151         (archive-7z-program): New var.
19152         (archive-zip-extract, archive-zip-expunge, archive-zip-update)
19153         (archive-zip-update-case, archive-7z-extract, archive-7z-expunge)
19154         (archive-7z-update, archive-zip-extract, archive-7z-summarize): Use it.
19156 2013-09-06  Michael Albinus  <michael.albinus@gmx.de>
19158         Remove URL syntax.
19160         * net/tramp.el (tramp-syntax, tramp-prefix-format)
19161         (tramp-postfix-method-format, tramp-prefix-ipv6-format)
19162         (tramp-postfix-ipv6-format, tramp-prefix-port-format)
19163         (tramp-postfix-host-format, tramp-file-name-regexp)
19164         (tramp-completion-file-name-regexp)
19165         (tramp-completion-dissect-file-name)
19166         (tramp-handle-substitute-in-file-name): Remove 'url case.
19167         (tramp-file-name-regexp-url)
19168         (tramp-completion-file-name-regexp-url): Remove constants.
19170 2013-09-06  Glenn Morris  <rgm@gnu.org>
19172         * replace.el (replace-string): Doc fix re start/end.  (Bug#15275)
19174 2013-09-05  Dmitry Gutov  <dgutov@yandex.ru>
19176         * progmodes/ruby-mode.el (ruby-font-lock-keywords): Move "Perl-ish
19177         keywords" below "here-doc beginnings" (Bug#15270).
19179 2013-09-05  Stefan Monnier  <monnier@iro.umontreal.ca>
19181         * subr.el (pop): Use `car-safe'.
19182         * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker): Remove hack
19183         to detect unused `pop' return value.
19185         * progmodes/python.el (python-nav-beginning-of-block): Remove unused
19186         var `block-regexp'.
19187         (python-nav--forward-sexp): Remove unused var `re-search-fn'.
19188         (python-fill-string): Remove unused var `marker'.
19189         (python-skeleton-add-menu-items): Remove unused var `items'.
19191         * international/mule-cmds.el: Require CL.
19192         (find-coding-systems-for-charsets): Avoid add-to-list.
19193         (sanitize-coding-system-list): New function, extracted from
19194         select-safe-coding-system-interactively.
19195         (select-safe-coding-system-interactively): Use it.
19196         (read-input-method-name): Accept symbols for `default'.
19198         * emacs-lisp/advice.el (defadvice): Add indent rule.
19200 2013-09-05  Daniel Hackney  <dan@haxney.org>
19202         * dired-x.el:
19203         * net/ange-ftp.el:
19204         * net/browse-url.el:
19205         * net/dbus.el:
19206         * net/eudc.el:
19207         * net/eudcb-ldap.el:
19208         * net/eww.el:
19209         * net/imap.el:
19210         * printing.el:
19211         * vc/ediff-diff.el:
19212         * vc/ediff-init.el:
19213         * vc/ediff-merg.el:
19214         * vc/ediff-mult.el:
19215         * vc/ediff-util.el:
19216         * vc/ediff-wind.el:
19217         * vc/ediff.el:
19218         * vc/emerge.el:
19219         * vc/pcvs.el:
19220         * vc/vc-annotate.el: Prefix unused arguments with `_' to silence
19221         byte compiler.  Remove some unused let-bound variables.
19223 2013-09-05  Stefan Monnier  <monnier@iro.umontreal.ca>
19225         * emacs-lisp/cconv.el: Use `car-safe' rather than `car' to access
19226         a "ref-cell", since it gets better optimized (bug#14883).
19228 2013-09-05  Glenn Morris  <rgm@gnu.org>
19230         * progmodes/cc-awk.el (c-forward-sws): Declare.
19232 2013-09-04  Glenn Morris  <rgm@gnu.org>
19234         * generic-x.el [rul-generic-mode]: Require cc-mode.
19235         (c++-mode-syntax-table): Declare.
19236         (rul-generic-mode-syntax-table): Init in the defvar.
19238 2013-09-04  Stefan Monnier  <monnier@iro.umontreal.ca>
19240         * vc/vc-dispatcher.el (vc-run-delayed): New macro.
19241         (vc-do-command, vc-set-async-update):
19242         * vc/vc-mtn.el (vc-mtn-dir-status):
19243         * vc/vc-hg.el (vc-hg-dir-status, vc-hg-dir-status-files)
19244         (vc-hg-pull, vc-hg-merge-branch):
19245         * vc/vc-git.el (vc-git-dir-status-goto-stage, vc-git-pull)
19246         (vc-git-merge-branch):
19247         * vc/vc-cvs.el (vc-cvs-print-log, vc-cvs-dir-status)
19248         (vc-cvs-dir-status-files):
19249         * vc/vc-bzr.el (vc-bzr-pull, vc-bzr-merge-branch, vc-bzr-dir-status)
19250         (vc-bzr-dir-status-files):
19251         * vc/vc-arch.el (vc-arch-dir-status): Use vc-run-delayed.
19252         * vc/vc-annotate.el: Use lexical-binding.
19253         (vc-annotate-display-select, vc-annotate): Use vc-run-delayed.
19254         (vc-sentinel-movepoint): Declare.
19255         (vc-annotate): Don't use `goto-line'.
19256         * vc/vc.el (vc-diff-internal): Prefer a closure to `(lambda...).
19257         (vc-diff-internal, vc-log-internal-common): Use vc-run-delayed.
19258         (vc-sentinel-movepoint): Declare.
19259         * vc/vc-svn.el: Use lexical-binding.
19260         (vc-svn-dir-status, vc-svn-dir-status-files): Use vc-run-delayed.
19261         * vc/vc-sccs.el:
19262         * vc/vc-rcs.el: Use lexical-binding.
19264         * autorevert.el (auto-revert-notify-handler): Explicitly ignore
19265         `deleted'.  Don't drop errors silently.
19267         * emacs-lisp/gv.el (gv-get): Warn about CL-compiled places.
19269 2013-09-04  Xue Fuqiao  <xfq.free@gmail.com>
19271         * vc/vc.el (vc-ignore): Rewrite.
19272         (vc-default-ignore): New function.
19273         (vc-default-ignore-completion-table): Use find-ignore-file.
19275         * vc/vc-bzr.el (vc-bzr-ignore, vc-bzr-ignore-completion-table):
19276         * vc/vc-git.el (vc-git-ignore, vc-git-ignore-completion-table):
19277         * vc/vc-hg.el (vc-hg-ignore, vc-hg-ignore-completion-table):
19278         Remove.  Most code moved to vc.el.
19280 2013-09-03  Stefan Monnier  <monnier@iro.umontreal.ca>
19282         * net/tramp-gvfs.el (tramp-gvfs-mount-spec, tramp-synce-list-devices):
19283         * net/tramp-smb.el (tramp-smb-get-file-entries):
19284         * net/tramp-sh.el (tramp-sh-handle-insert-directory)
19285         (tramp-compute-multi-hops): Fix misuses of `add-to-list'.
19287         * net/eww.el (eww-display-raw): Remove unused argument `charset'.
19288         Update call to it.
19289         (eww-change-select): Remove unused var `properties'.
19290         (eww-make-unique-file-name): Remove unused var `base'.
19292         * finder.el (finder-compile-keywords): Don't mess with windows.
19294         * calculator.el (calculator-funcall): Fix typo in last change.
19296         * vc/vc-git.el (vc-git-checkin): Make it possible to commit a merge.
19298         * emacs-lisp/package.el (package-activate-1): Don't let a missing
19299         <pkg>-autoloads.el file stop us.
19301         * net/tramp.el (with-parsed-tramp-file-name): Silence compiler
19302         warnings, and factor out common code.
19304 2013-09-03  Dmitry Gutov  <dgutov@yandex.ru>
19306         * progmodes/ruby-mode.el (ruby-calculate-indent): Consider
19307         two-character operators and whether the character preceding them
19308         changes their meaning (Bug#15208).
19310 2013-09-02  Fabián Ezequiel Gallina  <fgallina@gnu.org>
19312         Format code sent to Python shell for robustness.
19313         * progmodes/python.el (python-shell-buffer-substring):
19314         New function.
19315         (python-shell-send-region, python-shell-send-buffer): Use it.
19317 2013-09-02  Michael Albinus  <michael.albinus@gmx.de>
19319         * net/tramp-compat.el (tramp-compat-user-error): Move it ...
19320         * net/tramp.el (tramp-user-error): ... here.
19321         (tramp-find-method, tramp-check-proper-host)
19322         (tramp-dissect-file-name, tramp-debug-message)
19323         (tramp-handle-shell-command):
19324         * net/tramp-adb.el (tramp-adb-handle-shell-command):
19325         * net/tramp-gvfs.el (tramp-gvfs-file-name-handler): Adapt callees.
19327         * net/tramp-cache.el (tramp-cache-print): Don't print text properties.
19329 2013-09-02  Martin Rudalics  <rudalics@gmx.at>
19331         * avoid.el (mouse-avoidance-point-position)
19332         (mouse-avoidance-too-close-p): Handle case where posn-at-point
19333         returns nil.
19335 2013-09-02  Fabián Ezequiel Gallina  <fgallina@gnu.org>
19337         * progmodes/python.el (python-shell-completion-get-completions):
19338         Drop use of deleted `comint-last-prompt-overlay'.
19339         (python-nav-if-name-main): New command.
19341 2013-09-01  Glenn Morris  <rgm@gnu.org>
19343         * Makefile.in (setwins, setwins_almost, setwins_for_subdirs):
19344         Avoid leading space in $wins.  Otherwise the sed command used by
19345         eg compile-main ends up containing "/*.el".  (Bug#15170)
19347         * frame.el (frame-background-mode): Doc fix.  (Bug#15226)
19349 2013-08-30  Glenn Morris  <rgm@gnu.org>
19351         * emacs-lisp/bytecomp.el (byte-recompile-directory):
19352         Fix is-this-a-directory logic.  (Bug#15220)
19354 2013-08-29  Stefan Monnier  <monnier@iro.umontreal.ca>
19356         * textmodes/css-mode.el: Use SMIE.
19357         (css-smie-grammar): New var.
19358         (css-smie--forward-token, css-smie--backward-token)
19359         (css-smie-rules): New functions.
19360         (css-mode): Use them.
19361         (css-navigation-syntax-table): Remove var.
19362         (css-backward-sexp, css-forward-sexp, css-indent-calculate-virtual)
19363         (css-indent-calculate, css-indent-line): Remove functions.
19365         Misc changes to reduce use of `(lambda...); and other cleanups.
19366         * cus-edit.el: Use lexical-binding.
19367         (customize-push-and-save, customize-apropos)
19368         (custom-buffer-create-internal): Use closures.
19369         * progmodes/bat-mode.el (bat-mode-syntax-table): "..." are strings.
19370         * progmodes/ada-xref.el: Use setq.
19371         * net/tramp.el (with-tramp-progress-reporter): Avoid setq.
19372         * dframe.el: Use lexical-binding.
19373         (dframe-frame-mode): Fix calling convention for hooks.  Use a closure.
19374         * speedbar.el (speedbar-frame-mode): Adjust call accordingly.
19375         * descr-text.el: Use lexical-binding.
19376         (describe-text-widget, describe-text-sexp, describe-property-list):
19377         Use closures.
19378         * comint.el (comint-history-isearch-push-state): Use a closure.
19379         * calculator.el: Use lexical-binding.
19380         (calculator-number-to-string): Make it work with lexical-binding.
19381         (calculator-funcall): Same and use cl-letf.
19383         * emacs-lisp/lisp.el (lisp--company-doc-buffer)
19384         (lisp--company-doc-string, lisp--company-location): New functions.
19385         (lisp-completion-at-point): Use them to improve Company support.
19387         * progmodes/ruby-mode.el (ruby-smie-grammar): Add rule for formal
19388         params of lambda expressions.
19389         (ruby-smie--implicit-semi-p): Refine rule (bug#15208).
19390         (ruby-smie--opening-pipe-p): New function.
19391         (ruby-smie--forward-token, ruby-smie--backward-token): Handle Ruby
19392         symbols and matched |...| for formal params.
19393         (ruby-smie-rules): Don't let the formal params of a "do" prevent it
19394         from being treated as hanging.  Handle "rescue".
19396 2013-08-29  Glenn Morris  <rgm@gnu.org>
19398         * progmodes/cc-engine.el (c-pull-open-brace):
19399         Move definition before use.
19401 2013-08-29  Stefan Monnier  <monnier@iro.umontreal.ca>
19403         * emacs-lisp/cl-macs.el (cl-defsubst): Make it clear that args
19404         are immutable.  Don't use `unsafe' any more.
19405         (cl--defsubst-expand): Don't substitute at the same time as keeping
19406         a residual unused let-binding.  Don't use `unsafe' any more.
19408 2013-08-29  Glenn Morris  <rgm@gnu.org>
19410         * calendar/cal-china.el (calendar-chinese-year-cache):
19411         Recenter on 2015.
19413         * nxml/nxml-util.el (nxml-debug-clear-inside):
19414         Use cl-loop rather than loop.
19416         * net/eww.el (eww-mode-map): Lower-case menu bar entries look bad.
19418         * progmodes/sh-script.el (sh-builtins) <bash>: Add some bash4-isms.
19420 2013-08-28  Glenn Morris  <rgm@gnu.org>
19422         * progmodes/antlr-mode.el: No need to require cc-mode twice.
19424         * progmodes/cc-bytecomp.el (cc-require): Handle uncompiled case.
19426         * progmodes/cc-mode.el (c-define-abbrev-table): Handle NAME unbound.
19428 2013-08-28  Stefan Monnier  <monnier@iro.umontreal.ca>
19430         * simple.el (repeat-complex-command--called-interactively-skip):
19431         New function.
19432         (repeat-complex-command): Use it (bug#14136).
19434         * progmodes/cc-mode.el: Minor cleanup of var declarations.
19435         (c-define-abbrev-table): Add `doc' argument.
19436         (c-mode-abbrev-table, c++-mode-abbrev-table)
19437         (objc-mode-abbrev-table, java-mode-abbrev-table)
19438         (idl-mode-abbrev-table, pike-mode-abbrev-table)
19439         (awk-mode-abbrev-table): Use it.
19440         (c-mode-syntax-table, c-mode-map, c++-mode-syntax-table)
19441         (c++-mode-map, objc-mode-syntax-table, objc-mode-map)
19442         (java-mode-syntax-table, java-mode-map, idl-mode-syntax-table)
19443         (idl-mode-map, pike-mode-syntax-table, pike-mode-map, awk-mode-map):
19444         Move initialization into the declaration; and remove any
19445         autoload cookie.
19447         * epg.el (epg--process-filter): Use with-current-buffer, save-excursion
19448         and dynamic let binding.
19450         * vc/smerge-mode.el: Remove redundant :group args.
19452         * emacs-lisp/package.el (package-activate-1): Don't add unnecessarily
19453         to load-path.
19455 2013-08-28  Juri Linkov  <juri@jurta.org>
19457         * isearch.el (isearch-reread-key-sequence-naturally): Use non-nil
19458         arg DONT-DOWNCASE-LAST of `read-key-sequence'.
19459         (isearch-other-meta-char): Handle an undefined shifted printing
19460         character by downshifting it.  (Bug#15200)
19462 2013-08-28  Juri Linkov  <juri@jurta.org>
19464         * isearch.el (isearch-search): Change regexp error message for
19465         non-regexp searches.  (Bug#15166)
19467 2013-08-28  Paul Eggert  <eggert@cs.ucla.edu>
19469         * Makefile.in (SHELL): Now @SHELL@, not /bin/sh,
19470         for portability to hosts where /bin/sh has problems.
19472 2013-08-28  Stefan Monnier  <monnier@iro.umontreal.ca>
19474         * emacs-lisp/cconv.el (cconv--analyse-function): Improve warning.
19476 2013-08-27  Juri Linkov  <juri@jurta.org>
19478         * isearch.el (isearch-other-meta-char): Don't store kmacro commands
19479         in the keyboard macro.  (Bug#15126)
19481 2013-08-27  Juri Linkov  <juri@jurta.org>
19483         * isearch.el (isearch-quote-char): Comment out converting unibyte
19484         to multibyte, thus syncing with its `quoted-insert' counterpart.
19485         (Bug#15166)
19487 2013-08-27  Martin Rudalics  <rudalics@gmx.at>
19489         * window.el (display-buffer-use-some-window): Add missing
19490         argument in call of get-largest-window (Bug#15185).
19491         Reported by Stephen Leake.
19493 2013-08-27  Glenn Morris  <rgm@gnu.org>
19495         * emacs-lisp/package.el (package-buffer-info): Fix message typo.
19497 2013-08-27  Stefan Monnier  <monnier@iro.umontreal.ca>
19499         * progmodes/python.el (python-font-lock-keywords): Don't return nil
19500         from a matcher-function unless there's no more matches (bug#15161).
19502 2013-08-26  Michael Albinus  <michael.albinus@gmx.de>
19504         * minibuffer.el: Revert change from 2013-08-20.
19506         * net/tramp.el (tramp-find-method, tramp-find-user): Mark result
19507         with text property `tramp-default', if appropriate.
19508         (tramp-check-proper-host): New defun.
19509         (tramp-dissect-file-name): Do not check hostname.  Revert change
19510         of 2013-03-18.
19511         (tramp-backtrace): Make VEC-OR-PROC optional.
19513         * net/tramp-adb.el (tramp-adb-maybe-open-connection):
19514         * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
19515         * net/tramp-sh.el (tramp-maybe-open-connection):
19516         * net/tramp-smb.el (tramp-smb-maybe-open-connection):
19517         Apply `tramp-check-proper-host'.
19519 2013-08-26  Tassilo Horn  <tsdh@gnu.org>
19521         * epa-hook.el (epa-file-encrypt-to): Quote `safe-local-variable'
19522         lambda expression in order to have `describe-variable' display it.
19524 2013-08-26  Michael Albinus  <michael.albinus@gmx.de>
19526         * net/tramp-sh.el (tramp-sh-handle-verify-visited-file-modtime):
19527         BUF can be optional.  (Bug#15186)
19529 2013-08-25  Xue Fuqiao  <xfq.free@gmail.com>
19531         * progmodes/flymake.el (flymake-get-real-file-name-function):
19532         Fix broken customization.  (Bug#15184)
19534 2013-08-25  Alan Mackenzie  <acm@muc.de>
19536         Improve indentation of bracelists defined by macros (without "=").
19538         * progmodes/cc-engine.el (c-inside-bracelist-p): When a macro
19539         expansion begins with "{", regard it as bracelist when it doesn't
19540         contain a ";".
19542         Parse C++ inher-intro when there's a template split over 2 lines.
19544         * progmodes/cc-engine.el (c-guess-basic-syntax CASE 5C): Code more
19545         rigorously the search for "class" etc. followed by ":".
19547         * progmodes/cc-langs.el (c-opt-<>-sexp-key): Make the value for
19548         random languages a regexp which never matches rather than nil.
19550         Handle "/"s more accurately in test for virtual semicolons (AWK Mode).
19552         * progmodes/cc-awk.el (c-awk-one-line-possibly-open-string-re)
19553         (c-awk-regexp-one-line-possibly-open-char-list-re)
19554         (c-awk-one-line-possibly-open-regexp-re)
19555         (c-awk-one-line-non-syn-ws*-re): Remove.
19556         (c-awk-possibly-open-string-re, c-awk-non-/-syn-ws*-re)
19557         (c-awk-space*-/-re, c-awk-space*-regexp-/-re)
19558         (c-awk-space*-unclosed-regexp-/-re): New constants.
19559         (c-awk-at-vsemi-p): Reformulate better to recognize "/"s which
19560         aren't regexp delimiters.
19562         * progmodes/cc-engine.el (c-crosses-statement-barrier-p): Add in
19563         handling for a rare situation in AWK Mode involving unterminated
19564         strings/regexps.
19566 2013-08-23  Glenn Morris  <rgm@gnu.org>
19568         * files.el (auto-mode-alist): Use sh-mode for .bash_history.
19570         * files.el (interpreter-mode-alist): Use tcl-mode for expect scripts.
19572         * files.el (create-file-buffer): If the result would begin with
19573         spaces, prepend a "|" instead of removing them.  (Bug#15162)
19575 2013-08-23  Stefan Monnier  <monnier@iro.umontreal.ca>
19577         * textmodes/fill.el (fill-match-adaptive-prefix): Don't throw away
19578         text-properties (bug#15155).
19580         * calc/calc-keypd.el (calc-keypad-execute): `x-flush-mouse-queue' doesn't
19581         exist any more.
19582         (calc-keypad-redraw): Remove unused var `pad'.
19583         (calc-keypad-press): Remove unused var `menu'.
19585 2013-08-23  Martin Rudalics  <rudalics@gmx.at>
19587         * window.el (display-buffer-pop-up-frame):
19588         Call pop-up-frame-function with BUFFER current so `make-frame' will
19589         use it as the new frame's buffer (Bug#15133).
19591 2013-08-22  Stefan Monnier  <monnier@iro.umontreal.ca>
19593         * calendar/timeclock.el: Minor cleanups.
19594         (timeclock-ask-before-exiting, timeclock-use-display-time):
19595         Use `symbol'.
19596         (timeclock-modeline-display): Define as alias before the
19597         actual definition.
19598         (timeclock-mode-line-display): Use define-minor-mode.
19599         (timeclock-day-list-template): Make it a function, add an argument.
19600         (timeclock-day-list-required, timeclock-day-list-length)
19601         (timeclock-day-list-debt, timeclock-day-list-span)
19602         (timeclock-day-list-break): Adjust calls accordingly.
19604 2013-08-21  Stefan Monnier  <monnier@iro.umontreal.ca>
19606         * emacs-lisp/pp.el (pp-eval-expression, pp-macroexpand-expression):
19607         Use read--expression so that completion works again.
19609 2013-08-21  Sam Steingold  <sds@gnu.org>
19611         Add rudimentary inferior shell interaction
19612         * progmodes/sh-script.el (sh-shell-process): New buffer-local variable.
19613         (sh-set-shell): Reset it.
19614         (sh-show-shell, sh-cd-here, sh-send-line-or-region-and-step):
19615         New commands (bound to C-c C-z, C-c C-d, and C-c C-n).
19617 2013-08-20  Stefan Monnier  <monnier@iro.umontreal.ca>
19619         * align.el: Use lexical-binding.
19620         (align-region): Simplify accordingly.
19622 2013-08-20  Michael Albinus  <michael.albinus@gmx.de>
19624         * minibuffer.el (completion--sifn-requote): Bind `non-essential'.
19626         * rfn-eshadow.el (rfn-eshadow-update-overlay): Move binding of
19627         `non-essential' up.
19629 2013-08-17  Michael Albinus  <michael.albinus@gmx.de>
19631         * net/tramp.el:
19632         * net/tramp-adb.el:
19633         * net/tramp-cmds.el:
19634         * net/tramp-ftp.el:
19635         * net/tramp-gvfs.el:
19636         * net/tramp-gw.el:
19637         * net/tramp-sh.el: Don't wrap external variable declarations by
19638         `eval-when-compile'.
19640 2013-08-16  Lars Magne Ingebrigtsen  <larsi@gnus.org>
19642         * net/shr.el (shr-rescale-image): Use ImageMagick even for GIFs
19643         now that Emacs supports ImageMagick animations.
19645 2013-08-16  Michael Albinus  <michael.albinus@gmx.de>
19647         * net/tramp-cmds.el (top): Don't declare `buffer-name'.
19648         (tramp-append-tramp-buffers): Rewrite buffer local variables part.
19650 2013-08-16  Martin Rudalics  <rudalics@gmx.at>
19652         * window.el (mouse-autoselect-window-select): Do autoselect when
19653         mouse pointer is on margin.
19655 2013-08-16  William Parsons  <wbparsons@alum.mit.edu>  (tiny change)
19657         * net/ange-ftp.el (ange-ftp-skip-msgs): Add 500 EPSV.  (Bug#1972)
19659 2013-08-16  Glenn Morris  <rgm@gnu.org>
19661         * net/ange-ftp.el (ange-ftp-good-msgs, ange-ftp-get-pwd):
19662         Handle "Remote Directory" response of some clients.  (Bug#15058)
19664         * emacs-lisp/bytecomp.el (byte-compile-make-variable-buffer-local):
19665         Tweak warning.  (Bug#14926)
19667         * menu-bar.el (send-mail-item-name, read-mail-item-name): Remove.
19668         (menu-bar-tools-menu): Simplify news and mail items.  (Bug#15095)
19670         * image-mode.el (image-mode-map): Add menu items to reverse,
19671         increase, decrease, reset animation speed.
19672         (image--set-speed, image-increase-speed, image-decrease-speed)
19673         (image-reverse-speed, image-reset-speed): New functions.
19674         (image-mode-map): Add bindings for speed commands.
19676         * image.el (image-animate-get-speed, image-animate-set-speed):
19677         New functions.
19678         (image-animate-timeout): Respect image :speed property.
19680 2013-08-15  Stefan Monnier  <monnier@iro.umontreal.ca>
19682         * emacs-lisp/debug.el (debugger-setup-buffer): Put point on the
19683         previous line (bug#15101).
19684         (debugger-eval-expression, debugger-record-expression):
19685         Use read--expression (bug#15102).
19687 2013-08-15  Michael Albinus  <michael.albinus@gmx.de>
19689         Remove byte compiler warnings, visible when compiling with
19690         `byte-compile-force-lexical-warnings' set to t.
19692         * net/tramp.el (tramp-debug-message, tramp-message, tramp-error)
19693         (tramp-error-with-buffer): Rename ARGS to ARGUMENTS and BUFFER to BUF.
19694         (tramp-handle-unhandled-file-name-directory)
19695         (tramp-handle-file-notify-add-watch, tramp-action-login)
19696         (tramp-action-succeed, tramp-action-permission-denied)
19697         (tramp-action-terminal, tramp-action-process-alive): Prefix unused
19698         arguments with "_".
19700         * net/tramp-adb.el (tramp-adb-parse-device-names)
19701         (tramp-adb-handle-insert-directory, tramp-adb-handle-delete-file)
19702         (tramp-adb-handle-copy-file): Prefix unused arguments with "_".
19703         (tramp-adb-handle-file-truename): Remove unused arguments.
19705         * net/tramp-cache.el (tramp-flush-directory-property)
19706         (tramp-flush-connection-property, tramp-list-connections)
19707         (tramp-parse-connection-properties): Prefix unused arguments with "_".
19709         * net/tramp-compat.el (tramp-compat-make-temp-file):
19710         Rename FILENAME to F.
19712         * net/tramp-gvfs.el (tramp-gvfs-handle-file-notify-add-watch)
19713         (tramp-gvfs-handle-write-region, tramp-bluez-parse-device-names)
19714         (tramp-zeroconf-parse-workstation-device-names)
19715         (tramp-zeroconf-parse-webdav-device-names)
19716         (tramp-synce-parse-device-names): Prefix unused arguments with "_".
19718         * net/tramp-gw.el (tramp-gw-gw-proc-sentinel)
19719         (tramp-gw-aux-proc-sentinel): Prefix unused arguments with "_".
19721         * net/tramp-sh.el (tramp-sh-handle-file-truename): Remove unused
19722         arguments.
19723         (tramp-sh-handle-copy-file, tramp-sh-handle-dired-compress-file)
19724         (tramp-sh-handle-insert-file-contents-literally)
19725         (tramp-sh-handle-file-notify-add-watch): Prefix unused arguments
19726         with "_".
19727         (tramp-do-copy-or-rename-file, tramp-barf-if-no-shell-prompt):
19728         Remove unused variables.
19730         * net/tramp-smb.el (tramp-smb-handle-copy-directory)
19731         (tramp-smb-handle-copy-file, tramp-smb-handle-delete-file)
19732         (tramp-smb-read-file-entry): Prefix unused arguments with "_".
19734         * net/tramp-uu.el (tramp-uu-b64-alphabet, tramp-uu-b64-char-to-byte):
19735         Make them a defconst.
19736         (tramp-uuencode-region): Remove unused variable.
19738 2013-08-14  Juanma Barranquero  <lekktu@gmail.com>
19740         * frameset.el (frameset--prop-setter): New function.
19741         (frameset-prop): Add gv-setter declaration.
19742         (frameset-filter-minibuffer): Deal with the case that the minibuffer
19743         parameter was already set in FILTERED.  Doc fix.
19744         (frameset--record-minibuffer-relationships): Allow saving a
19745         minibufferless frame without its corresponding minibuffer frame.
19746         (frameset--reuse-frame): Accept a match from an orphaned minibufferless
19747         frame, if the frame id matches.
19748         (frameset--minibufferless-last-p): Sort non-orphaned minibufferless
19749         frames before orphaned ones.
19750         (frameset-restore): Warn about orphaned windows, instead of error out.
19752 2013-08-14  Martin Rudalics  <rudalics@gmx.at>
19754         * window.el (window-make-atom): Don't overwrite parameter
19755         already present.
19756         (display-buffer-in-atom-window): Handle special case where we
19757         split an already atomic window.
19758         (window--major-non-side-window, display-buffer-in-side-window)
19759         (window--side-check): Ignore minibuffer window when walking
19760         window tree.
19761         (window-deletable-p): Return 'frame only if no other frame uses
19762         our minibuffer window.
19763         (record-window-buffer): Run buffer-list-update-hook.
19764         (split-window): Make sure window--check-frame won't destroy an
19765         existing atomic window in case the new window gets nested
19766         inside.
19767         (display-buffer-at-bottom): Ignore minibuffer window when
19768         walking window tree.  Don't split a side window.
19769         (pop-to-buffer): Don't set-buffer here, the select-window call
19770         should do that.
19771         (mouse-autoselect-window-select): Autoselect only if we are in the
19772         text portion of the window.
19774 2013-08-13  Lars Magne Ingebrigtsen  <larsi@gnus.org>
19776         * net/shr.el (shr-parse-image-data): New function to grab both the
19777         data itself and the Content-Type.
19778         (shr-put-image): Use it.
19780         * net/eww.el (eww-display-image): Ditto.
19782         * image.el (image-content-type-suffixes): New variable.
19784 2013-08-13  Fabián Ezequiel Gallina  <fgallina@gnu.org>
19786         * progmodes/python.el (python-imenu--build-tree)
19787         (python-imenu--put-parent): Simplify and Fix (GH bug 146).
19789 2013-08-13  Xue Fuqiao  <xfq.free@gmail.com>
19791         * simple.el (backward-word): Mention the optional argument.
19793 2013-08-13  Stefan Monnier  <monnier@iro.umontreal.ca>
19795         * frameset.el (frameset--make): Rename constructor from make-frameset.
19796         (frameset-p, frameset-valid-p): Don't autoload.
19797         (frameset-valid-p): Use normal accessors.
19799 2013-08-13  Glenn Morris  <rgm@gnu.org>
19801         * progmodes/compile.el (compile-command): Tweak example in doc.
19802         * obsolete/scribe.el (scribe-mode):
19803         * progmodes/mixal-mode.el (mixal-mode): Quote buffer name.  (Bug#15053)
19805         * mail/feedmail.el (feedmail-confirm-outgoing)
19806         (feedmail-display-full-frame, feedmail-deduce-bcc-where): Fix types.
19808         * cus-start.el (truncate-partial-width-windows): Fix type.
19810         * emulation/viper-init.el (viper-search-scroll-threshold): Fix type.
19812         * net/shr.el (shr-table-horizontal-line): Fix custom type.
19814 2013-08-13  Stefan Monnier  <monnier@iro.umontreal.ca>
19816         * emacs-lisp/timer.el (timer--time-setter): New function.
19817         (timer--time): Use it as gv-setter.
19819         * emacs-lisp/gv.el (gv-define-simple-setter): Output warning when
19820         setter is not a symbol.
19822 2013-08-12  Grégoire Jadi  <daimrod@gmail.com>
19824         * mail/sendmail.el (sendmail-send-it): Don't kill the error buffer
19825         if sending fails.  This makes debugging easier.
19827 2013-08-12  Juanma Barranquero  <lekktu@gmail.com>
19829         * xml.el (xml-parse-tag-1): Use looking-at (this reverts change in
19830         2013-08-11T00:07:48Z!lekktu@gmail.com, which breaks the test suite).
19831         https://lists.gnu.org/archive/html/emacs-devel/2013-08/msg00263.html
19833 2013-08-12  Eli Zaretskii  <eliz@gnu.org>
19835         * term/w32-win.el (dynamic-library-alist): Add DLLs for zlib.
19837 2013-08-12  Glenn Morris  <rgm@gnu.org>
19839         * format.el (format-annotate-function):
19840         Handle read-only text properties in the source.  (Bug#14887)
19842 2013-08-11  Lars Magne Ingebrigtsen  <larsi@gnus.org>
19844         * net/eww.el (eww-display-html): Ignore coding system errors.
19845         One web site uses "utf-8lias" as the coding system.
19847 2013-08-11  Juanma Barranquero  <lekktu@gmail.com>
19849         * frameset.el (frameset-valid-p): Fix check; STATES can indeed be nil.
19851 2013-08-10  Juanma Barranquero  <lekktu@gmail.com>
19853         * tutorial.el (tutorial--describe-nonstandard-key): Use string-match-p.
19854         (tutorial--detailed-help): Remove unused local variables.
19855         (tutorial--save-tutorial-to): Use ignore-errors.
19856         (help-with-tutorial): Use looking-at-p.
19858         * view.el (view-buffer-other-window, view-buffer-other-frame):
19859         Mark unused arguments.
19861         * woman.el (woman-parse-colon-path, woman-parse-colon-path)
19862         (woman-select-symbol-fonts, woman, woman-find-file)
19863         (woman-insert-file-contents, woman-non-underline-faces):
19864         Use string-match-p.
19865         (woman1-unquote): Move declaration.
19867         * xml.el (xml-parse-tag-1, xml-parse-string): Use looking-at-p.
19868         (xml-parse-dtd): Use looking-at-p, string-match-p.  Mark unused
19869         argument.  Remove unused local variable.
19870         (xml-parse-elem-type): Use string-match-p.
19871         (xml-substitute-numeric-entities): Use ignore-errors.
19873         * calculator.el (calculator): Mark unused argument.
19874         (calculator-paste, calculator-quit, calculator-integer-p):
19875         Use ignore-errors.
19876         (calculator-string-to-number, calculator-decimal, calculator-exp)
19877         (calculator-op-or-exp): Use string-match-p.
19879         * dired.el (dired-buffer-more-recently-used-p): Declare.
19880         (dired-insert-set-properties, dired-insert-old-subdirs):
19881         Use ignore-errors.
19883         * dired-aux.el (dired-compress): Use ignore-errors.
19884         (dired-do-chxxx, dired-do-chmod, dired-trample-file-versions)
19885         (dired-do-async-shell-command, dired-do-shell-command)
19886         (dired-shell-stuff-it, dired-compress-file, dired-insert-subdir)
19887         (dired-insert-subdir-validate): Use string-match-p.
19888         (dired-map-dired-file-lines, dired-subdir-hidden-p): Use looking-at-p.
19889         (dired-add-entry): Use string-match-p, looking-at-p.
19890         (dired-insert-subdir-newpos): Remove unused local variable.
19892         * filenotify.el (file-notify-callback): Remove unused local variable.
19894         * filesets.el (filesets-error): Mark unused argument.
19895         (filesets-which-command-p, filesets-filter-dir-names)
19896         (filesets-directory-files, filesets-get-external-viewer)
19897         (filesets-ingroup-get-data): Use string-match-p.
19899         * find-file.el (ff-other-file-name, ff-other-file-name)
19900         (ff-find-the-other-file, ff-cc-hh-converter):
19901         Remove unused local variables.
19902         (ff-get-file-name): Use string-match-p.
19903         (ff-all-dirs-under): Use ignore-errors.
19905         * follow.el (follow-comint-scroll-to-bottom): Mark unused argument.
19906         (follow-select-if-visible): Remove unused local variable.
19908         * forms.el (read-file-filter): Move declaration.
19909         (forms--make-format, forms--make-parser, forms-insert-record):
19910         Quote function with #'.
19911         (forms--update): Use string-match-p.  Quote function with #'.
19913         * help-mode.el (help-dir-local-var-def): Mark unused argument.
19914         (help-make-xrefs): Use looking-at-p.
19915         (help-xref-on-pp): Use looking-at-p, ignore-errors.
19917         * ibuffer.el (ibuffer-ext-visible-p): Declare.
19918         (ibuffer-confirm-operation-on): Use string-match-p.
19920         * msb.el (msb-item-handler, msb-dired-item-handler):
19921         Mark unused arguments.
19923         * ses.el (ses-decode-cell-symbol)
19924         (ses-kill-override): Remove unused local variable.
19925         (ses-create-cell-variable, ses-relocate-formula): Use string-match-p.
19926         (ses-load): Use ignore-errors, looking-at-p.
19927         (ses-jump-safe): Use ignore-errors.
19928         (ses-export-tsv, ses-export-tsf, ses-unsafe): Mark unused arguments.
19930         * tabify.el (untabify, tabify): Mark unused arguments.
19932         * thingatpt.el (thing-at-point--bounds-of-well-formed-url):
19933         Mark unused argument.
19934         (bounds-of-thing-at-point, thing-at-point-bounds-of-list-at-point)
19935         (thing-at-point-newsgroup-p, form-at-point): Use ignore-errors.
19937         * emacs-lisp/timer.el (timer--time): Define setter with
19938         gv-define-setter to avoid deprecation warning.
19940         * completion.el: Remove stuff unused since revno:3176 (1993-05-27).
19941         (*record-cmpl-statistics-p*): Remove (was commented out).
19942         (cmpl-statistics-block): Remove (body was commented out).
19943         All callers changed.
19944         (add-completions-from-buffer, load-completions-from-file):
19945         Remove unused variables.
19947 2013-08-09  Juanma Barranquero  <lekktu@gmail.com>
19949         * filecache.el (file-cache-delete-file-list):
19950         Print message only when told so.
19951         (file-cache-files-matching): Use #' in mapconcat argument.
19953         * ffap.el (ffap-url-at-point): Fix reference to variable
19954         thing-at-point-default-mail-uri-scheme.
19956 2013-08-09  Stefan Monnier  <monnier@iro.umontreal.ca>
19958         * subr.el (define-error): New function.
19959         * progmodes/ada-xref.el (ada-error-file-not-found): Rename from
19960         error-file-not-found and define with define-error.
19961         * emacs-lisp/cl-lib.el (cl-assertion-failed): Move here from subr.el
19962         and define with define-error.
19963         * userlock.el (file-locked, file-supersession):
19964         * simple.el (mark-inactive):
19965         * progmodes/js.el (js-moz-bad-rpc, js-js-error):
19966         * progmodes/ada-mode.el (ada-mode-errors):
19967         * play/life.el (life-extinct):
19968         * nxml/xsd-regexp.el (xsdre-invalid-regexp, xsdre-parse-error):
19969         * nxml/xmltok.el (xmltok-markup-declaration-parse-error):
19970         * nxml/rng-util.el (rng-error):
19971         * nxml/rng-uri.el (rng-uri-error):
19972         * nxml/rng-match.el (rng-compile-error):
19973         * nxml/rng-cmpct.el (rng-c-incorrect-schema):
19974         * nxml/nxml-util.el (nxml-error, nxml-file-parse-error):
19975         * nxml/nxml-rap.el (nxml-scan-error):
19976         * nxml/nxml-outln.el (nxml-outline-error):
19977         * net/soap-client.el (soap-error):
19978         * net/gnutls.el (gnutls-error):
19979         * net/ange-ftp.el (ftp-error):
19980         * mpc.el (mpc-proc-error):
19981         * json.el (json-error, json-readtable-error, json-unknown-keyword)
19982         (json-number-format, json-string-escape, json-string-format)
19983         (json-key-format, json-object-format):
19984         * jka-compr.el (compression-error):
19985         * international/quail.el (quail-error):
19986         * international/kkc.el (kkc-error):
19987         * emacs-lisp/ert.el (ert-test-failed):
19988         * calc/calc.el (calc-error, inexact-result, math-overflow)
19989         (math-underflow):
19990         * bookmark.el (bookmark-error-no-filename):
19991         * epg.el (epg-error): Define with define-error.
19993         * time.el (display-time-event-handler)
19994         (display-time-next-load-average): Don't call sit-for since it seems
19995         unnecessary (bug#15045).
19997         * emacs-lisp/checkdoc.el: Remove redundant :group keywords.
19998         Use #' instead of ' to quote functions.
19999         (checkdoc-output-mode): Use setq-local.
20000         (checkdoc-spellcheck-documentation-flag, checkdoc-ispell-lisp-words)
20001         (checkdoc-verb-check-experimental-flag, checkdoc-proper-noun-regexp)
20002         (checkdoc-common-verbs-regexp): Mark safe-local-variable (bug#15010).
20003         (checkdoc-ispell, checkdoc-ispell-current-buffer)
20004         (checkdoc-ispell-interactive, checkdoc-ispell-message-interactive)
20005         (checkdoc-ispell-message-text, checkdoc-ispell-start)
20006         (checkdoc-ispell-continue, checkdoc-ispell-comments)
20007         (checkdoc-ispell-defun): Remove unused arg `take-notes'.
20009         * ido.el (ido-completion-help): Fix up compiler warning.
20011 2013-08-09  Juanma Barranquero  <lekktu@gmail.com>
20013         * frameset.el (frameset-p): Add autoload cookie.
20014         (frameset--jump-to-register): New function, based on code moved from
20015         register.el.
20016         (frameset-to-register): Move from register.el.  Adapt to `registerv'.
20018         * register.el (frameset-frame-id, frameset-frame-with-id, frameset-p)
20019         (frameset-restore, frameset-save, frameset-session-filter-alist):
20020         Remove declarations.
20021         (register-alist): Doc fix.
20022         (frameset-to-register): Move to frameset.el.
20023         (jump-to-register, describe-register-1): Remove frameset-specific code.
20025 2013-08-08  Juanma Barranquero  <lekktu@gmail.com>
20027         * allout-widgets.el (allout-widgets-pre-command-business)
20028         (allout-widgets-post-command-business)
20029         (allout-widgets-after-change-handler)
20030         (allout-decorate-item-and-context, allout-set-boundary-marker)
20031         (allout-body-modification-handler)
20032         (allout-graphics-modification-handler): Mark ignored arguments.
20033         (allout-widgets-post-command-business)
20034         (allout-widgets-exposure-change-processor)
20035         (allout-widgets-exposure-undo-processor)
20036         (allout-decorate-item-and-context, allout-redecorate-visible-subtree)
20037         (allout-parse-item-at-point, allout-decorate-item-guides)
20038         (allout-decorate-item-cue, allout-item-span): Remove unused variables.
20039         * allout.el (epa-passphrase-callback-function): Declare.
20040         (allout-overlay-insert-in-front-handler)
20041         (allout-overlay-interior-modification-handler)
20042         (allout-isearch-end-handler, allout-chart-siblings)
20043         (allout-up-current-level, allout-end-of-level, allout-reindent-body)
20044         (allout-yank-processing, allout-process-exposed)
20045         (allout-latex-verb-quote, allout-latexify-one-item, outlineify-sticky)
20046         (allout-latex-verbatim-quote-curr-line): Remove unused variables.
20047         * emacs-lisp/lisp-mode.el (lisp-eval-defun, last-sexp-toggle-display)
20048         (lisp-indent-defform): Mark ignored arguments.
20049         (lisp-indent-line): Mark ignored arguments.  Remove unused variables.
20050         (calculate-lisp-indent): Remove unused variables.
20051         * international/characters.el (indian-2-column, arabic-2-column)
20052         (tibetan): Mark ignored arguments.
20053         (use-cjk-char-width-table): Mark ignored arguments.
20054         Remove unused variables.
20055         * international/fontset.el (build-default-fontset-data)
20056         (x-compose-font-name, create-fontset-from-fontset-spec):
20057         Mark ignored arguments.
20058         (fontset-plain-name): Remove unused variables.
20059         * international/mule.el (charset-id, charset-bytes, generic-char-p)
20060         (keyboard-coding-system): Mark ignored arguments.
20061         (find-auto-coding): Remove unused variables.  Use `ignore-errors'.
20062         * help.el (resize-temp-buffer-window):
20063         * window.el (display-buffer-in-major-side-window)
20064         (display-buffer-in-side-window, display-buffer-in-previous-window):
20065         Remove unused variables.
20066         * isearch.el (isearch-forward-symbol):
20067         * version.el (emacs-bzr-version-bzr):
20068         * international/mule-cmds.el (current-language-environment):
20069         * term/common-win.el (x-handle-iconic, x-handle-geometry)
20070         (x-handle-display):
20071         * term/pc-win.el (x-list-fonts, x-display-planes)
20072         (x-display-color-cells, x-server-max-request-size, x-server-vendor)
20073         (x-server-version, x-display-screens, x-display-mm-height)
20074         (x-display-mm-width, x-display-backing-store, x-display-visual-class)
20075         (x-selection-owner-p, x-own-selection-internal)
20076         (x-disown-selection-internal, x-get-selection-internal)
20077         (msdos-initialize-window-system):
20078         * term/tty-colors.el (tty-color-alist, tty-color-clear):
20079         * term/x-win.el (x-handle-no-bitmap-icon):
20080         * vc/vc-hooks.el (vc-mode, vc-default-make-version-backups-p)
20081         (vc-default-find-file-hook, vc-default-extra-menu):
20082         Mark ignored arguments.
20084 2013-08-08  Stefan Monnier  <monnier@iro.umontreal.ca>
20086         * emacs-lisp/edebug.el (edebug-debugger): Use edebug-eval to run the
20087         break-condition in the context of the debugged code (bug#12685).
20089 2013-08-08  Christopher Schmidt  <christopher@ch.ristopher.com>
20091         * comint.el:
20092         Do not use an overlay to highlight the last prompt.  (Bug#14744)
20093         (comint-mode): Make comint-last-prompt buffer local.
20094         (comint-last-prompt): New variable.
20095         (comint-last-prompt-overlay): Remove.  Superseded by
20096         comint-last-prompt.
20097         (comint-snapshot-last-prompt, comint-output-filter):
20098         Use comint-last-prompt.
20100 2013-08-08  Juanma Barranquero  <lekktu@gmail.com>
20102         * frameset.el (frameset-valid-p): Check vector length.  Doc fix.
20103         (frameset-save): Check validity of the resulting frameset.
20105 2013-08-08  Xue Fuqiao  <xfq.free@gmail.com>
20107         * ido.el (ido-record-command): Add doc string.
20109 2013-08-08  Juanma Barranquero  <lekktu@gmail.com>
20111         * frameset.el (frameset): Do not disable creation of the default
20112         frameset-p predicate.  Doc fix.
20113         (frameset-valid-p): New function, copied from the old predicate-p.
20114         Add additional checks.
20115         (frameset-restore): Check with frameset-valid-p.
20116         (frameset-p, frameset-version, frameset-timestamp, frameset-app)
20117         (frameset-name, frameset-description, frameset-properties)
20118         (frameset-states): Add docstring.
20119         (frameset-session-filter-alist, frameset-persistent-filter-alist)
20120         (frameset-filter-alist): Doc fixes.
20122 2013-08-08  Juanma Barranquero  <lekktu@gmail.com>
20124         * frameset.el (frameset-p, frameset-prop): Doc fixes.
20126 2013-08-08  Stefan Monnier  <monnier@iro.umontreal.ca>
20128         * emacs-lisp/bytecomp.el (byte-compile-function-warn): New function,
20129         extracted from byte-compile-callargs-warn and byte-compile-normal-call.
20130         (byte-compile-callargs-warn, byte-compile-function-form): Use it.
20131         (byte-compile-normal-call): Remove obsolescence check.
20133 2013-08-08  Juanma Barranquero  <lekktu@gmail.com>
20135         * frameset.el (frameset-restore): Doc fix.
20137         * register.el (frameset-frame-id, frameset-frame-with-id)
20138         (frameset-p, frameset-restore, frameset-save): Declare.
20139         (register-alist): Document framesets.
20140         (frameset-session-filter-alist): Declare.
20141         (frameset-to-register): New function.
20142         (jump-to-register): Implement jumping to framesets.  Doc fix.
20143         (describe-register-1): Describe framesets.
20145         * bindings.el (ctl-x-r-map): Bind ?f to frameset-to-register.
20147 2013-08-07  Juanma Barranquero  <lekktu@gmail.com>
20149         * desktop.el (desktop-save-frameset): Use new frameset-save args.
20150         Use lexical-binding.
20152         * frameset.el (frameset): Use type vector, not list (incompatible
20153         change).  Do not declare a new constructor, use the default one.
20154         Upgrade suggested properties `app', `name' and `desc' to slots `app',
20155         `name' and `description', respectively, and add read-only slot
20156         `timestamp'.  Doc fixes.
20157         (frameset-copy, frameset-persistent-filter-alist)
20158         (frameset-filter-alist, frameset-switch-to-gui-p)
20159         (frameset-switch-to-tty-p, frameset-filter-tty-to-GUI)
20160         (frameset-filter-sanitize-color, frameset-filter-minibuffer)
20161         (frameset-filter-iconified, frameset-keep-original-display-p):
20162         Doc fixes.
20163         (frameset-filter-shelve-param, frameset-filter-unshelve-param):
20164         Rename from frameset-filter-(save|restore)-param.  All callers changed.
20165         Doc fix.
20166         (frameset-p): Adapt to change to vector and be more thorough.
20167         Change arg name to OBJECT.  Doc fix.
20168         (frameset-prop): Rename arg PROP to PROPERTY.  Doc fix.
20169         (frameset-session-filter-alist): Rename from frameset-live-filter-alist.
20170         All callers changed.
20171         (frameset-frame-with-id): Rename from frameset-locate-frame-id.
20172         All callers changed.
20173         (frameset--record-minibuffer-relationships): Rename from
20174         frameset--process-minibuffer-frames.  All callers changed.
20175         (frameset-save): Add new keyword arguments APP, NAME and DESCRIPTION.
20176         Use new default constructor (again).  Doc fix.
20177         (frameset--find-frame-if): Rename from `frameset--find-frame'.
20178         All callers changed.
20179         (frameset--reuse-frame): Rename arg FRAME-CFG to PARAMETERS.
20180         (frameset--initial-params): Rename arg FRAME-CFG to PARAMETERS.
20181         Doc fix.
20182         (frameset--restore-frame): Rename args FRAME-CFG and WINDOW-CFG to
20183         PARAMETERS and WINDOW-STATE, respectively.
20184         (frameset-restore): Add new keyword argument PREDICATE.
20185         Reset frameset--target-display to nil.  Doc fix.
20187 2013-08-07  Stefan Monnier  <monnier@iro.umontreal.ca>
20189         * progmodes/bat-mode.el (bat--syntax-propertize): New var.
20190         (bat-mode): Use it.
20191         (bat-mode-syntax-table): Mark \n as end-of-comment.
20192         (bat-font-lock-keywords): Remove comment rule.
20194         * progmodes/bat-mode.el: Rename from dos.el.  Use "bat-" prefix.
20195         (dos-mode-help): Remove.  Use describe-mode (C-h m) instead.
20197         * emacs-lisp/bytecomp.el: Check existence of f in #'f.
20198         (byte-compile-callargs-warn): Use `push'.
20199         (byte-compile-arglist-warn): Ignore higher-order "calls".
20200         (byte-compile-file-form-autoload): Use `pcase'.
20201         (byte-compile-function-form): If quoting a symbol, check that it exists.
20203 2013-08-07  Eli Zaretskii  <eliz@gnu.org>
20205         * progmodes/dos.el (dos-font-lock-keywords): Rename LINUX to UNIX
20206         and add a few popular commands found in batch files.
20207         (dos, dos-label-face, dos-cmd-help, dos-run, dos-run-args)
20208         (dos-mode): Doc fixes.
20210 2013-08-07  Stefan Monnier  <monnier@iro.umontreal.ca>
20212         * progmodes/dos.el (auto-mode-alist): Add entries for dos-mode.
20213         (dos-mode): Use setq-local.  Add space after "rem".
20214         (dos-mode-syntax-table): Don't use "w" for symbol chars.
20215         (dos-font-lock-keywords): Try to adjust font-lock rules accordingly.
20217 2013-08-07  Arni Magnusson  <arnima@hafro.is>
20219         * progmodes/dos.el: New file.
20220         * generic-x.el (bat-generic-mode): Redefine as an obsolete alias to
20221         dos-mode.
20223 2013-08-06  Glenn Morris  <rgm@gnu.org>
20225         * calendar/calendar.el: Add new faces, and day-header-array.
20226         (calendar-weekday-header, calendar-weekend-header)
20227         (calendar-month-header): New faces.
20228         (calendar-day-header-construct): New function.
20229         (calendar-day-header-width): Also :set calendar-day-header-array.
20230         (calendar-american-month-header, calendar-european-month-header)
20231         (calendar-iso-month-header): Use calendar- faces.
20232         (calendar-generate-month):
20233         Use calendar-day-header-array for day headers; apply faces to them.
20234         (calendar-mode): Check calendar-font-lock-keywords non-nil.
20235         (calendar-abbrev-construct): Add optional maxlen argument.
20236         (calendar-day-name-array): Doc fix.
20237         (calendar-day-name-array, calendar-abbrev-length)
20238         (calendar-day-abbrev-array):
20239         Also :set calendar-day-header-array, and maybe redraw.
20240         (calendar-day-header-array): New option.  (Bug#15007)
20241         (calendar-font-lock-keywords): Set to nil and make obsolete.
20242         (calendar-day-name): Add option to use header array.
20244 2013-08-06  Lars Magne Ingebrigtsen  <larsi@gnus.org>
20246         * net/shr.el (shr-render-td): Remove debugging.
20247         (shr-render-td): Make width computation consistent by defaulting
20248         all zero-width columns to 10 characters.  This may not be optimal,
20249         but it's at least consistent.
20250         (shr-make-table-1): Redo last change to fix the real problem in
20251         colspan handling.
20253 2013-08-06  Dmitry Antipov  <dmantipov@yandex.ru>
20255         * files.el (cache-long-line-scans):
20256         Make obsolete alias to `cache-long-scans'.
20258 2013-08-06  Juanma Barranquero  <lekktu@gmail.com>
20260         * frameset.el (frameset, frameset-filter-alist)
20261         (frameset-filter-params, frameset-save, frameset--reuse-frame)
20262         (frameset--minibufferless-last-p, frameset-restore): Doc fixes.
20263         (frameset-compute-pos): Rename from frameset--compute-pos,
20264         and add docstring.
20265         (frameset-move-onscreen): Use frameset-compute-pos.
20266         Most changes suggested by Drew Adams <drew.adams@oracle.com>.
20268         * find-lisp.el (find-lisp-line-indent, find-lisp-find-dired-filter):
20269         Fix typos in docstrings.
20271 2013-08-06  Dmitry Antipov  <dmantipov@yandex.ru>
20273         * frame.el (get-other-frame): Tiny cleanup.
20275 2013-08-06  Juanma Barranquero  <lekktu@gmail.com>
20277         * vc/vc.el (vc-default-ignore-completion-table):
20278         Silence byte-compiler warning.
20280         * frameset.el (frameset-p): Don't check non-nullness of the `properties'
20281         slot, which can indeed be nil.
20282         (frameset-live-filter-alist, frameset-persistent-filter-alist):
20283         Move entry for `left' from persistent to live filter alist.
20284         (frameset-filter-alist, frameset--minibufferless-last-p, frameset-save):
20285         Doc fixes.
20286         (frameset-filter-params): When restoring a frame, copy items added to
20287         `filtered', to avoid unwittingly modifying the original parameters.
20288         (frameset-move-onscreen): Rename from frameset--move-onscreen.  Doc fix.
20289         (frameset--restore-frame): Fix reference to frameset-move-onscreen.
20291         * dired.el (dired-insert-directory): Revert change in 2013-06-21T12:24:37Z!lekktu@gmail.com
20292         to use looking-at-p instead of looking-at.  (Bug#15028)
20294 2013-08-05  Stefan Monnier  <monnier@iro.umontreal.ca>
20296         Revert introduction of isearch-filter-predicates (bug#14714).
20297         Rely on add-function instead.
20298         * isearch.el (isearch-filter-predicates): Rename it back to
20299         isearch-filter-predicate.
20300         (isearch-message-prefix): Use advice-function-mapc and advice
20301         properties to get the isearch-message-prefix.
20302         (isearch-search, isearch-lazy-highlight-search): Revert to funcall
20303         instead of run-hook-with-args-until-failure.
20304         (isearch-filter-visible): Not obsolete any more.
20305         * loadup.el: Preload nadvice.
20306         * replace.el (perform-replace): Revert to funcall
20307         instead of run-hook-with-args-until-failure.
20308         * wdired.el (wdired-change-to-wdired-mode): Use add-function.
20309         * dired-aux.el (dired-isearch-filenames-mode): Rename from
20310         dired-isearch-filenames-toggle; make it into a proper minor mode.
20311         Use add/remove-function.
20312         (dired-isearch-filenames-setup, dired-isearch-filenames-end):
20313         Call the minor-mode rather than add/remove-hook.
20314         (dired-isearch-filter-filenames):
20315         Remove isearch-message-prefix property.
20316         * info.el (Info--search-loop): New function, extracted from Info-search.
20317         Funcall isearch-filter-predicate instead of
20318         run-hook-with-args-until-failure isearch-filter-predicates.
20319         (Info-search): Use it.
20320         (Info-mode): Use isearch-filter-predicate instead of
20321         isearch-filter-predicates.
20323 2013-08-05  Dmitry Antipov  <dmantipov@yandex.ru>
20325         Do not call to `selected-window' where it is assumed by default.
20326         Affected functions are `window-minibuffer-p', `window-dedicated-p',
20327         `window-hscroll', `window-width', `window-height', `window-buffer',
20328         `window-frame', `window-start', `window-point', `next-window'
20329         and `window-display-table'.
20330         * abbrev.el (abbrev--default-expand):
20331         * bs.el (bs--show-with-configuration):
20332         * buff-menu.el (Buffer-menu-mouse-select):
20333         * calc/calc.el (calc):
20334         * calendar/calendar.el (calendar-generate-window):
20335         * calendar/diary-lib.el (diary-simple-display, diary-show-all-entries)
20336         (diary-make-entry):
20337         * comint.el (send-invisible, comint-dynamic-complete-filename)
20338         (comint-dynamic-simple-complete, comint-dynamic-list-completions):
20339         * completion.el (complete):
20340         * dabbrev.el (dabbrev-expand, dabbrev--make-friend-buffer-list):
20341         * disp-table.el (describe-current-display-table):
20342         * doc-view.el (doc-view-insert-image):
20343         * ebuff-menu.el (Electric-buffer-menu-mouse-select):
20344         * ehelp.el (with-electric-help):
20345         * emacs-lisp/easy-mmode.el (easy-mmode-define-navigation):
20346         * emacs-lisp/edebug.el (edebug-two-window-p, edebug-pop-to-buffer):
20347         * emacs-lisp/helper.el (Helper-help-scroller):
20348         * emulation/cua-base.el (cua--post-command-handler-1):
20349         * eshell/esh-mode.el (eshell-output-filter):
20350         * ffap.el (ffap-gnus-wrapper):
20351         * help-macro.el (make-help-screen):
20352         * hilit-chg.el (highlight-compare-buffers):
20353         * hippie-exp.el (hippie-expand, try-expand-dabbrev-visible):
20354         * hl-line.el (global-hl-line-highlight):
20355         * icomplete.el (icomplete-simple-completing-p):
20356         * isearch.el (isearch-done):
20357         * jit-lock.el (jit-lock-stealth-fontify):
20358         * mail/rmailsum.el (rmail-summary-scroll-msg-up):
20359         * mouse-drag.el (mouse-drag-should-do-col-scrolling):
20360         * mpc.el (mpc-tagbrowser, mpc):
20361         * net/rcirc.el (rcirc-any-buffer):
20362         * play/gomoku.el (gomoku-max-width, gomoku-max-height):
20363         * play/landmark.el (landmark-max-width, landmark-max-height):
20364         * play/zone.el (zone):
20365         * progmodes/compile.el (compilation-goto-locus):
20366         * progmodes/ebrowse.el (ebrowse-view/find-file-and-search-pattern):
20367         * progmodes/etags.el (find-tag-other-window):
20368         * progmodes/fortran.el (fortran-column-ruler):
20369         * progmodes/gdb-mi.el (gdb-mouse-toggle-breakpoint-fringe):
20370         * progmodes/verilog-mode.el (verilog-point-text):
20371         * reposition.el (reposition-window):
20372         * rot13.el (toggle-rot13-mode):
20373         * server.el (server-switch-buffer):
20374         * shell.el (shell-dynamic-complete-command)
20375         (shell-dynamic-complete-environment-variable):
20376         * simple.el (insert-buffer, set-selective-display)
20377         (delete-completion-window):
20378         * speedbar.el (speedbar-timer-fn, speedbar-center-buffer-smartly)
20379         (speedbar-recenter):
20380         * startup.el (fancy-splash-head):
20381         * textmodes/ispell.el (ispell-command-loop):
20382         * textmodes/makeinfo.el (makeinfo-compilation-sentinel-region):
20383         * tutorial.el (help-with-tutorial):
20384         * vc/add-log.el (add-change-log-entry):
20385         * vc/compare-w.el (compare-windows):
20386         * vc/ediff-help.el (ediff-indent-help-message):
20387         * vc/ediff-util.el (ediff-setup-control-buffer, ediff-position-region):
20388         * vc/ediff-wind.el (ediff-skip-unsuitable-frames)
20389         (ediff-setup-control-frame):
20390         * vc/emerge.el (emerge-position-region):
20391         * vc/pcvs-util.el (cvs-bury-buffer):
20392         * window.el (walk-windows, mouse-autoselect-window-select):
20393         * winner.el (winner-set-conf, winner-undo): Related users changed.
20395 2013-08-05  Juanma Barranquero  <lekktu@gmail.com>
20397         * frameset.el (frameset--set-id): Doc fix.
20398         (frameset-frame-id, frameset-frame-id-equal-p)
20399         (frameset-locate-frame-id): New functions.
20400         (frameset--process-minibuffer-frames, frameset--reuse-frame)
20401         (frameset-restore): Use them.
20403 2013-08-05  Dmitry Antipov  <dmantipov@yandex.ru>
20405         Do not call to `selected-frame' where it is assumed by default.
20406         Affected functions are `raise-frame', `redraw-frame',
20407         `frame-first-window', `frame-terminal' and `delete-frame'.
20408         * calendar/appt.el (appt-disp-window):
20409         * epg.el (epg-wait-for-completion):
20410         * follow.el (follow-delete-other-windows-and-split)
20411         (follow-avoid-tail-recenter):
20412         * international/mule.el (set-terminal-coding-system):
20413         * mail/rmail.el (rmail-mail-return):
20414         * net/newst-plainview.el (newsticker--buffer-set-uptodate):
20415         * progmodes/f90.el (f90-add-imenu-menu):
20416         * progmodes/idlw-toolbar.el (idlwave-toolbar-toggle):
20417         * server.el (server-switch-buffer):
20418         * simple.el (delete-completion-window):
20419         * talk.el (talk):
20420         * term/xterm.el (terminal-init-xterm-modify-other-keys)
20421         (xterm-turn-on-modify-other-keys, xterm-remove-modify-other-keys):
20422         * vc/ediff-util.el (ediff-status-info, ediff-show-diff-output):
20423         * vc/ediff.el (ediff-documentation): Related users changed.
20424         * frame.el (selected-terminal): Remove the leftover.
20426 2013-08-05  Glenn Morris  <rgm@gnu.org>
20428         * calendar/calendar.el (calendar-generate-month):
20429         Fix for calendar-column-width != 1 + calendar-day-digit-width.
20430         (calendar-generate-month, calendar-font-lock-keywords):
20431         Fix for calendar-day-header-width > length of any day name.
20433 2013-08-05  Juanma Barranquero  <lekktu@gmail.com>
20435         * desktop.el (desktop-clear): Use new name of sort predicate.
20437         * frameset.el (frameset): Add docstring.  Move :version property to its
20438         own `version' slot.
20439         (frameset-copy): Rename from copy-frameset.
20440         (frameset-p): Check more thoroughly.
20441         (frameset-prop): Do not check for :version, which is no longer a prop.
20442         (frameset-live-filter-alist, frameset-persistent-filter-alist):
20443         Use new :never value instead of t.
20444         (frameset-filter-alist): Expand and clarify docstring.
20445         (frameset-filter-tty-to-GUI, frameset-filter-sanitize-color)
20446         (frameset-filter-minibuffer, frameset-filter-save-param)
20447         (frameset-filter-restore-param, frameset-filter-iconified):
20448         Add pointer to docstring of frameset-filter-alist.
20449         (frameset-filter-params): Rename filter values to be more meaningful:
20450         :never instead of t, and reverse the meanings of :save and :restore.
20451         (frameset--process-minibuffer-frames): Clarify error message.
20452         (frameset-save): Avoid unnecessary and confusing call to framep.
20453         Use new BOA constructor for framesets.
20454         (frameset--reuse-list): Doc fix.
20455         (frameset--restore-frame): Rename from frameset--get-frame.  Doc fix.
20456         (frameset--minibufferless-last-p): Rename from frameset--sort-states.
20457         (frameset-minibufferless-first-p): Doc fix.
20458         Rename from frameset-sort-frames-for-deletion.
20459         (frameset-restore): Doc fixes.  Use new function names.
20460         Most changes suggested by Drew Adams <drew.adams@oracle.com>.
20462 2013-08-04  Juanma Barranquero  <lekktu@gmail.com>
20464         * desktop.el (desktop-restore-forces-onscreen)
20465         (desktop-restore-reuses-frames): Document :keyword constant values.
20466         (desktop-filter-parameters-alist): Remove, now identical to
20467         frameset-filter-alist.
20468         (desktop--filter-tty*): Remove, moved to frameset.el.
20469         (desktop-save-frameset, desktop-restore-frameset):
20470         Do not pass :filters argument.
20472         * frameset.el (frameset-live-filter-alist)
20473         (frameset-persistent-filter-alist): New variables.
20474         (frameset-filter-alist): Use them.  Add autoload cookie.
20475         (frameset-filter-tty-to-GUI): Move from desktop.el and rename.
20476         (frameset--set-id, frameset--reuse-frame): Rename `frame-id' to
20477         `frameset--id' (it's supposed to be internal to frameset.el).
20478         (frameset--process-minibuffer-frames): Ditto.  Doc fix.
20479         (frameset--initial-params): New function.
20480         (frameset--get-frame): Use it.  Doc fix.
20481         (frameset--move-onscreen): Accept new PRED value for FORCE-ONSCREEN.
20482         Accept :all, not 'all.
20483         (frameset-restore): Add new predicate values for FORCE-ONSCREEN and
20484         FORCE-DISPLAY.  Use :keywords for constant arguments to avoid collision
20485         with fbound symbols.  Fix frame id matching, and remove matching ids if
20486         the frame being restored is deleted.  Obey :delete.
20488 2013-08-04  Stefan Monnier  <monnier@iro.umontreal.ca>
20490         * subr.el (macrop): New function.
20491         (text-clone--maintaining): New var.
20492         (text-clone--maintain): Rename from text-clone-maintain.  Use it
20493         instead of inhibit-modification-hooks.
20495         * emacs-lisp/nadvice.el (advice--normalize): For aliases to macros, use
20496         a proxy, so as handle autoloads and redefinitions of the target.
20497         (advice--defalias-fset, advice-remove): Use advice--symbol-function.
20499         * emacs-lisp/pcase.el (pcase-mutually-exclusive-predicates):
20500         Remove bogus (arrayp . stringp) pair.  Add entries for `vectorp'.
20501         (pcase--mutually-exclusive-p): New function.
20502         (pcase--split-consp): Use it.
20503         (pcase--split-pred): Use it.  Optimize the case where `pat' is a qpat
20504         mutually exclusive with the current predicate.
20506         * emacs-lisp/edebug.el (edebug-lookup-function): Remove function.
20507         (edebug-macrop): Remove.  Use `macrop' instead.
20508         * emacs-lisp/advice.el (ad-subr-p): Remove.  Use `subrp' instead.
20509         (ad-macro-p):
20510         * eshell/esh-cmd.el (eshell-macrop):
20511         * apropos.el (apropos-macrop): Remove.  Use `macrop' instead.
20513 2013-08-04  Stefan Monnier  <monnier@iro.umontreal.ca>
20515         * emacs-lisp/nadvice.el (advice-function-mapc): Rename from advice-mapc.
20516         (advice-mapc): New function, using it.
20517         (advice-function-member-p): New function.
20518         (advice--normalize): Store the cdr in advice--saved-rewrite since
20519         that's the part that will be changed.
20520         (advice--symbol-function): New function.
20521         (advice-remove): Handle removal before the function is defined.
20522         Adjust to new advice--saved-rewrite.
20523         (advice-member-p): Use advice-function-member-p and
20524         advice--symbol-function.
20526 2013-08-04  Juanma Barranquero  <lekktu@gmail.com>
20528         * frameset.el (frameset-p, frameset-save): Fix autoload cookies.
20529         (frameset-filter-minibuffer): Doc fix.
20530         (frameset-restore): Fix autoload cookie.  Fix typo in docstring.
20531         (frameset--set-id, frameset--process-minibuffer-frames)
20532         (frameset-restore): Rename parameter `frameset-id' to `frame-id'.
20533         (frameset--reuse-frame): Pass correct frame-id to frameset--find-frame.
20535         * desktop.el (desktop-clear): Only delete frames when called
20536         interactively and desktop-restore-frames is non-nil.  Doc fix.
20537         (desktop-read): Set desktop-saved-frameset to nil.
20539 2013-08-04  Xue Fuqiao  <xfq.free@gmail.com>
20541         * vc/vc.el (vc-ignore): Rewrite.
20542         (vc-default-ignore-completion-table, vc--read-lines)
20543         (vc--add-line, vc--remove-regexp): New functions.
20545         * vc/vc-svn.el (vc-svn-ignore): Doc fix.
20546         (vc-svn-ignore-completion-table): New function.
20548         * vc/vc-hg.el (vc-hg-ignore): Rewrite.
20549         (vc-hg-ignore-completion-table)
20550         (vc-hg-find-ignore-file): New functions.
20552         * vc/vc-git.el (vc-git-ignore): Rewrite.
20553         (vc-git-ignore-completion-table)
20554         (vc-git-find-ignore-file): New functions.
20556         * vc/vc-dir.el (vc-dir-menu-map): Add menu for vc-dir-ignore.
20558         * vc/vc-bzr.el (vc-bzr-ignore): Rewrite.
20559         (vc-bzr-ignore-completion-table)
20560         (vc-bzr-find-ignore-file): New functions.
20562 2013-08-03  Juanma Barranquero  <lekktu@gmail.com>
20564         * frameset.el (frameset-prop): New function and setter.
20565         (frameset-save): Do not modify frame list passed by the caller.
20567 2013-08-03  Stefan Monnier  <monnier@iro.umontreal.ca>
20569         * emacs-lisp/package.el (package-desc-from-define): Ignore unknown keys.
20571 2013-08-02  Stefan Monnier  <monnier@iro.umontreal.ca>
20573         * emacs-lisp/easy-mmode.el (define-globalized-minor-mode)
20574         (easy-mmode-define-navigation): Avoid ((lambda (..) ..) ...).
20576         * custom.el (custom-initialize-default, custom-initialize-set)
20577         (custom-initialize-reset, custom-initialize-changed): Affect the
20578         toplevel-default-value (bug#6275, bug#14586).
20579         * emacs-lisp/advice.el (ad-compile-function): Undo previous workaround
20580         for bug#6275.
20582 2013-08-02  Juanma Barranquero  <lekktu@gmail.com>
20584         * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
20585         Add cl-def* expressions.
20587         * frameset.el (frameset-filter-params): Fix order of arguments.
20589 2013-08-02  Juanma Barranquero  <lekktu@gmail.com>
20591         Move code related to saving frames to frameset.el.
20592         * desktop.el: Require frameset.
20593         (desktop-restore-frames): Doc fix.
20594         (desktop-restore-reuses-frames): Rename from
20595         desktop-restoring-reuses-frames.
20596         (desktop-saved-frameset): Rename from desktop-saved-frame-states.
20597         (desktop-clear): Clear frames too.
20598         (desktop-filter-parameters-alist): Set from frameset-filter-alist.
20599         (desktop--filter-tty*, desktop-save, desktop-read):
20600         Use frameset functions.
20601         (desktop-before-saving-frames-functions, desktop--filter-*-color)
20602         (desktop--filter-minibuffer, desktop--filter-restore-desktop-parm)
20603         (desktop--filter-save-desktop-parm, desktop--filter-iconified-position)
20604         (desktop-restore-in-original-display-p, desktop--filter-frame-parms)
20605         (desktop--process-minibuffer-frames, desktop-save-frames)
20606         (desktop--reuse-list, desktop--compute-pos, desktop--move-onscreen)
20607         (desktop--find-frame, desktop--select-frame, desktop--make-frame)
20608         (desktop--sort-states, desktop-restoring-frames-p)
20609         (desktop-restore-frames): Remove.  Most code moved to frameset.el.
20610         (desktop-restoring-frameset-p, desktop-restore-frameset)
20611         (desktop--check-dont-save, desktop-save-frameset): New functions.
20612         (desktop--app-id): New constant.
20613         (desktop-first-buffer, desktop-buffer-ok-count)
20614         (desktop-buffer-fail-count): Move before first use.
20615         * frameset.el: New file.
20617 2013-08-01  Stefan Monnier  <monnier@iro.umontreal.ca>
20619         * files.el: Use lexical-binding.
20620         (dir-locals-read-from-file): Remove unused `err' variable.
20621         (hack-dir-local-variables--warned-coding): New var.
20622         (hack-dir-local-variables): Use it to avoid repeated warnings.
20623         (make-backup-file-name--default-function): New function.
20624         (make-backup-file-name-function): Use it as default.
20625         (buffer-stale--default-function): New function.
20626         (buffer-stale-function): Use it as default.
20627         (revert-buffer-insert-file-contents--default-function): New function.
20628         (revert-buffer-insert-file-contents-function): Use it as default.
20629         (insert-directory): Avoid add-to-list.
20631         * autorevert.el (auto-revert-handler): Simplify.
20632         Use buffer-stale--default-function.
20634 2013-08-01  Tassilo Horn  <tsdh@gnu.org>
20636         * speedbar.el (speedbar-query-confirmation-method): Doc fix.
20638         * whitespace.el (whitespace-ensure-local-variables): New function.
20639         (whitespace-cleanup-region): Call it.
20640         (whitespace-turn-on): Call it.
20642 2013-08-01  Michael Albinus  <michael.albinus@gmx.de>
20644         Complete file name handlers.
20646         * net/tramp.el (tramp-handle-set-visited-file-modtime)
20647         (tramp-handle-verify-visited-file-modtime)
20648         (tramp-handle-file-notify-rm-watch): New functions.
20649         (tramp-call-process): Do not bind `default-directory'.
20651         * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
20652         Order alphabetically.
20653         <access-file, add-name-to-file, dired-call-process>:
20654         <dired-compress-file, file-acl, file-notify-rm-watch>:
20655         <file-ownership-preserved-p, file-selinux-context>:
20656         <make-directory-internal, make-symbolic-link, set-file-acl>:
20657         <set-file-selinux-context, set-visited-file-modtime>:
20658         <verify-visited-file-modtime>: Add handler.
20659         (tramp-adb-handle-write-region): Apply `set-visited-file-modtime'.
20661         * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
20662         <file-notify-add-watch, file-notify-rm-watch>:
20663         <set-file-times, set-visited-file-modtime>:
20664         <verify-visited-file-modtime>: Add handler.
20665         (with-tramp-gvfs-error-message)
20666         (tramp-gvfs-handle-set-visited-file-modtime)
20667         (tramp-gvfs-fuse-file-name): Remove.
20668         (tramp-gvfs-handle-file-notify-add-watch)
20669         (tramp-gvfs-file-gvfs-monitor-file-process-filter): New defuns.
20670         (tramp-gvfs-handle-write-region): Fix error in moving tmpfile.
20672         * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
20673         Order alphabetically.
20674         <file-notify-rm-watch>: Use default Tramp handler.
20675         <executable-find>: Remove private handler.
20676         (tramp-do-copy-or-rename-file-out-of-band): Do not bind
20677         `default-directory'.
20678         (tramp-sh-handle-executable-find)
20679         (tramp-sh-handle-file-notify-rm-watch): Remove functions.
20680         (tramp-sh-file-gvfs-monitor-dir-process-filter)
20681         (tramp-sh-file-inotifywait-process-filter, tramp-set-remote-path):
20682         Do not use `format' in `tramp-message'.
20684         * net/tramp-smb.el (tramp-smb-file-name-handler-alist)
20685         <file-notify-rm-watch, set-visited-file-modtime>:
20686         <verify-visited-file-modtime>: Add handler.
20687         (tramp-smb-call-winexe): Do not bind `default-directory'.
20689 2013-08-01  Xue Fuqiao  <xfq.free@gmail.com>
20691         * vc/vc-hooks.el (vc-menu-map): Fix menu entry for vc-ignore.
20693 2013-07-31  Dmitry Gutov  <dgutov@yandex.ru>
20695         * vc/log-view.el (log-view-diff): Extract `log-view-diff-common',
20696         use it.
20697         (log-view-diff-changeset): Same.
20698         (log-view-diff-common): Call backend command `previous-revision'
20699         to find out the previous revision, in both cases.  Swap the
20700         variables `to' and `fr', so that `fr' usually refers to the
20701         earlier revision (Bug#14989).
20703 2013-07-31  Kan-Ru Chen  <kanru@kanru.info>
20705         * ibuf-ext.el (ibuffer-filter-by-filename):
20706         Make it work with dired buffers too.
20708 2013-07-31  Dmitry Antipov  <dmantipov@yandex.ru>
20710         * emacs-lisp/re-builder.el (reb-color-display-p):
20711         * files.el (save-buffers-kill-terminal):
20712         * net/browse-url.el (browse-url):
20713         * server.el (server-save-buffers-kill-terminal):
20714         * textmodes/reftex-toc.el (reftex-toc, reftex-toc-revert):
20715         Prefer nil to selected-frame for the first arg of frame-parameter.
20717 2013-07-31  Xue Fuqiao  <xfq.free@gmail.com>
20719         * vc/vc-hooks.el (vc-menu-map): Add menu entry for vc-ignore.
20721 2013-07-30  Stephen Berman  <stephen.berman@gmx.net>
20723         * minibuffer.el (completion--twq-all): Try and preserve each
20724         completion's case choice (bug#14907).
20726 2013-07-30  Lars Magne Ingebrigtsen  <larsi@gnus.org>
20728         * net/network-stream.el (open-network-stream): Mention the new
20729         :nogreeting parameter.
20730         (network-stream-open-starttls): Use the :nogreeting parameter
20731         (bug#14938).
20733         * net/shr.el (shr-mouse-browse-url): Remove and use `shr-browse-url'.
20735         * net/eww.el (eww-setup-buffer): Switching to the buffer seems
20736         more natural than popping.
20738         * net/shr.el (shr-urlify): Put `follow-link' on URLs (bug#14815).
20739         (shr-urlify): Highlight under mouse.
20741 2013-07-30  Xue Fuqiao  <xfq.free@gmail.com>
20743         * vc/vc-hooks.el (vc-prefix-map): Add key binding for vc-ignore.
20745         * vc/vc-dir.el (vc-dir-mode-map): Change key binding for vc-dir-ignore.
20747         * vc/vc-svn.el (vc-svn-ignore): Remove `interactive'.  Use `*vc*'
20748         buffer for output.
20750         * vc/vc-hg.el (vc-hg-ignore): Remove `interactive'.  Do not assume
20751         point-min==1.  Fix search string.  Fix parentheses missing.
20753         * vc/vc-git.el (vc-git-ignore): Remove `interactive'.  Do not
20754         assume point-min==1.  Fix search string.  Fix parentheses missing.
20756         * vc/vc-cvs.el (vc-cvs-ignore): Remove `interactive'.
20758         * vc/vc-bzr.el (vc-bzr-ignore): Remove `interactive'.  Use `*vc*'
20759         buffer for output.
20761 2013-07-29  Eli Zaretskii  <eliz@gnu.org>
20763         * frame.el (frame-notice-user-settings): Avoid inflooping when the
20764         initial frame is minibuffer-less.  (Bug#14841)
20766 2013-07-29  Michael Albinus  <michael.albinus@gmx.de>
20768         * net/tramp.el (tramp-use-ssh-controlmaster-options): New customer
20769         option.
20771         * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band)
20772         (tramp-maybe-open-connection): Use it.
20774 2013-07-28  Juanma Barranquero  <lekktu@gmail.com>
20776         * desktop.el (desktop--make-frame): Include `minibuffer' in the
20777         minimal set of parameters passed when creating a frame, because
20778         the minibuffer status of a frame cannot be changed later.
20780 2013-07-28  Stephen Berman  <stephen.berman@gmx.net>
20782         * calendar/todo-mode.el (todo-rename-file): Fix incorrect use of
20783         replace-regexp-in-string and inadvertent omissions in previous change.
20784         (todo-filter-items): Ensure only file names are comma-separated in
20785         name of filtered items buffer.
20787 2013-07-28  Juanma Barranquero  <lekktu@gmail.com>
20789         * desktop.el: Optionally force offscreen frames back onscreen.
20790         (desktop-restoring-reuses-frames): New option.
20791         (desktop--compute-pos, desktop--move-onscreen): New functions.
20792         (desktop--make-frame): Use desktop--move-onscreen.
20794 2013-07-27  Alan Mackenzie  <acm@muc.de>
20796         Fontify a Java generic method as a function.
20797         * progmodes/cc-langs.el (c-recognize-<>-arglists): Set the Java
20798         value to t.
20800 2013-07-27  Stephen Berman  <stephen.berman@gmx.net>
20802         * calendar/todo-mode.el: Add command to rename todo files.
20803         (todo-rename-file): New command.
20804         (todo-key-bindings-t): Add key binding for it.  Change the
20805         bindings of todo-filter-regexp-items(-multifile) to use `x'
20806         instead of `r', since the latter is better suited to the new
20807         renaming command.
20809 2013-07-27  Alan Mackenzie  <acm@muc.de>
20811         Make Java try-with-resources statement parse properly.
20812         * progmodes/cc-langs.el (c-block-stmt-1-2-kwds)
20813         (c-block-stmt-1-2-key): New language constants/variables.
20814         * progmodes/cc-engine.el (c-beginning-of-statement-1)
20815         (c-after-conditional): Adapt to deal with c-block-stmt-1-2-key.
20816         * progmodes/cc-fonts.el (c-font-lock-declarations): Adapt to deal
20817         with c-block-stmt-1-2-key.
20819 2013-07-27  Juanma Barranquero  <lekktu@gmail.com>
20821         * desktop.el (desktop--make-frame): Apply most frame parameters after
20822         creating the frame to force (partially or totally) offscreen frames to
20823         be restored as such.
20825 2013-07-26  Xue Fuqiao  <xfq.free@gmail.com>
20827         * vc/vc-dir.el (vc-dir-mode-map): Add binding for vc-root-diff.
20828         (Bug#14948)
20830 2013-07-26  Stefan Monnier  <monnier@iro.umontreal.ca>
20832         * emacs-lisp/nadvice.el (advice--called-interactively-skip): Use the new
20833         `base' arg of backtrace-frame.
20835 2013-07-26  Eli Zaretskii  <eliz@gnu.org>
20837         * simple.el (list-processes): Doc fix.
20839 2013-07-26  Juanma Barranquero  <lekktu@gmail.com>
20841         * desktop.el (desktop--select-frame):
20842         Try harder to reuse existing frames.
20844 2013-07-26  Stefan Monnier  <monnier@iro.umontreal.ca>
20846         * emacs-lisp/edebug.el: Use backtrace-eval to handle lexical variables.
20847         (edebug-eval): Use backtrace-eval.
20848         (edebug--display, edebug--recursive-edit): Don't let-bind the
20849         edebug-outer-* vars that keep track of variables we locally let-bind.
20850         (edebug-outside-excursion): Don't restore outside values of locally
20851         let-bound vars.
20852         (edebug--display): Use user-error.
20853         (cl-lexical-debug, cl-debug-env): Remove.
20855 2013-07-26  Juanma Barranquero  <lekktu@gmail.com>
20857         * desktop.el (desktop-restore-frames): Call `sit-for' once all frames
20858         are restored to be sure that they are visible before deleting any
20859         remaining ones.
20861 2013-07-26  Matthias Meulien  <orontee@gmail.com>
20863         * vc/vc-dir.el (vc-dir-mode-map): Add binding for
20864         vc-print-root-log.  (Bug#14948)
20866 2013-07-26  Richard Stallman  <rms@gnu.org>
20868         Add aliases for encrypting mail.
20869         * epa.el (epa-mail-aliases): New option.
20870         * epa-mail.el (epa-mail-encrypt): Rewrite to be callable from programs.
20871         Bind inhibit-read-only so read-only text doesn't ruin everything.
20872         (epa-mail-default-recipients): New subroutine broken out.
20873         Handle epa-mail-aliases.
20875 2013-07-26  Stefan Monnier  <monnier@iro.umontreal.ca>
20877         Add support for lexical variables to the debugger's `e' command.
20878         * emacs-lisp/debug.el (debug): Don't let-bind the debugger-outer-*
20879         vars, except for debugger-outer-match-data.
20880         (debugger-frame-number): Move check for "on a function call" from
20881         callers into it.  Add `skip-base' argument.
20882         (debugger-frame, debugger-frame-clear): Simplify accordingly.
20883         (debugger-env-macro): Only reset the state stored in non-variables,
20884         i.e. current-buffer and match-data.
20885         (debugger-eval-expression): Rewrite using backtrace-eval.
20886         * subr.el (internal--called-interactively-p--get-frame): Remove.
20887         (called-interactively-p):
20888         * emacs-lisp/edebug.el (edebug--called-interactively-skip): Use the new
20889         `base' arg of backtrace-frame instead.
20891 2013-07-26  Glenn Morris  <rgm@gnu.org>
20893         * align.el (align-regexp): Doc fix.  (Bug#14857)
20894         (align-region): Explicit error if subexpression missing/does not match.
20896         * simple.el (global-visual-line-mode):
20897         Do not duplicate the mode lighter.  (Bug#14858)
20899 2013-07-25  Martin Rudalics  <rudalics@gmx.at>
20901         * window.el (display-buffer): In display-buffer bind
20902         split-window-keep-point to t, bug#14829.
20904 2013-07-25  Juanma Barranquero  <lekktu@gmail.com>
20906         * desktop.el: Rename internal "desktop-X" frame params to "desktop--X".
20907         (desktop-filter-parameters-alist, desktop--filter-restore-desktop-parm)
20908         (desktop--filter-save-desktop-parm, desktop--process-minibuffer-frames)
20909         (desktop--select-frame, desktop--sort-states, desktop-restore-frames):
20910         Change accordingly.
20911         (desktop--select-frame, desktop--sort-states, desktop-restore-frames):
20912         Use pcase-let, pcase-let* to deobfuscate access to desktop--mini values.
20914 2013-07-25  Glenn Morris  <rgm@gnu.org>
20916         * dired-x.el (dired-mark-extension): Convert comment to doc string.
20918 2013-07-25  Juanma Barranquero  <lekktu@gmail.com>
20920         * desktop.el (desktop--make-frame): Do not pass the `fullscreen'
20921         parameter to modify-frame-parameters if the value has not changed;
20922         this is a workaround for bug#14949.
20923         (desktop--make-frame): On cl-delete-if call, check parameter name,
20924         not full parameter.
20926 2013-07-30  Xue Fuqiao  <xfq.free@gmail.com>
20928         * vc/vc.el (vc-ignore): New function.
20930         * vc/vc-svn.el (vc-svn-ignore): New function.
20932         * vc/vc-hg.el (vc-hg-ignore): New function.
20934         * vc/vc-git.el (vc-git-ignore): New function.
20936         * vc/vc-dir.el (vc-dir-mode-map): Add key binding for vc-dir-ignore
20937         (vc-dir-ignore): New function.
20939         * vc/vc-cvs.el (vc-cvs-ignore): New function.
20940         (cvs-append-to-ignore): Move here from pcvs.el.
20942         * vc/vc-bzr.el (vc-bzr-ignore): New function.
20944         * vc/pcvs.el (vc-cvs): Require 'vc-cvs.
20946 2013-07-24  Juanma Barranquero  <lekktu@gmail.com>
20948         * desktop.el (desktop-restoring-frames-p): Return a true boolean.
20949         (desktop-restore-frames): Warn when deleting an existing frame failed.
20951 2013-07-24  Glenn Morris  <rgm@gnu.org>
20953         * ffap.el (ffap-machine-p): Handle "not known" response.  (Bug#14929)
20955 2013-07-24  Michael Albinus  <michael.albinus@gmx.de>
20957         * filenotify.el (file-notify-supported-p):
20958         * net/tramp-sh.el (tramp-sh-handle-file-notify-supported-p):
20959         Remove functions.
20961         * autorevert.el (auto-revert-use-notify)
20962         (auto-revert-notify-add-watch):
20963         * net/tramp.el (tramp-file-name-for-operation):
20964         * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
20965         * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
20966         * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
20967         * net/tramp-smb.el (tramp-smb-file-name-handler-alist):
20968         Remove `file-notify-supported-p' entry.
20970 2013-07-24  Glenn Morris  <rgm@gnu.org>
20972         * printing.el: Replace all uses of deleted ps-windows-system,
20973         ps-lp-system, ps-flatten-list with lpr- versions.
20975 2013-07-24  Stefan Monnier  <monnier@iro.umontreal.ca>
20977         * emacs-lisp/pcase.el (pcase--u1): Verify if self-quoting values can be
20978         checked with memq (bug#14935).
20980         * files.el (revert-buffer-function): Use a non-nil default.
20981         (revert-buffer-preserve-modes): Declare var to
20982         provide access to the `preserve-modes' argument.
20983         (revert-buffer): Let-bind it.
20984         (revert-buffer--default): New function, extracted from revert-buffer.
20986 2013-07-24  Stefan Monnier  <monnier@iro.umontreal.ca>
20988         * lpr.el: Signal print errors more prominently.
20989         (print-region-function): Don't default to nil.
20990         (lpr-print-region): New function, extracted from print-region-1.
20991         Check lpr's return value and signal an error in case of problem.
20992         (print-region-1): Use it.
20993         * ps-print.el (ps-windows-system, ps-lp-system): Remove.  Use the lpr-*
20994         versions instead.
20995         (ps-printer-name): Default to nil.
20996         (ps-printer-name-option): Default to lpr-printer-switch.
20997         (ps-print-region-function): Don't default to nil.
20998         (ps-postscript-code-directory): Simplify default.
20999         (ps-do-despool): Use lpr-print-region to properly check the outcome.
21000         (ps-string-list, ps-eval-switch, ps-flatten-list)
21001         (ps-flatten-list-1): Remove.
21002         (ps-multibyte-buffer): Avoid setq.
21003         * dos-w32.el (direct-print-region-helper): Use proper regexp operators.
21004         (print-region-function, ps-print-region-function): Don't set them here.
21006 2013-07-24  Xue Fuqiao  <xfq.free@gmail.com>
21008         * ido.el (ido-fractionp, ido-cache-ftp-work-directory-time)
21009         (ido-max-prospects, ido-mode, ido-max-file-prompt-width)
21010         (ido-unc-hosts-cache, ido-max-directory-size, ido-max-dir-file-cache)
21011         (ido-decorations): Doc fix.
21013         * ansi-color.el: Fix old URL.
21015 2013-07-23  Michael R. Mauger  <michael@mauger.com>
21017         * progmodes/sql.el: Version 3.3
21018         (sql-product-alist): Improve oracle :prompt-cont-regexp.
21019         (sql-starts-with-prompt-re, sql-ends-with-prompt-re): New functions.
21020         (sql-interactive-remove-continuation-prompt): Rewrite, use
21021         functions above.  Fix continuation prompt and complete output line
21022         handling.
21023         (sql-redirect-one, sql-execute): Use `read-only-mode' on
21024         redirected output buffer.
21025         (sql-mode): Restore deleted code (Bug#13591).
21027 2013-07-23  Juanma Barranquero  <lekktu@gmail.com>
21029         * desktop.el (desktop-clear, desktop-list*): Fix previous change.
21031 2013-07-23  Michael Albinus  <michael.albinus@gmx.de>
21033         * net/tramp.el (tramp-handle-file-notify-add-watch): New defun.
21035         * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
21036         * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
21037         * net/tramp-smb.el (tramp-smb-file-name-handler-alist): Use it.
21039 2013-07-23  Juanma Barranquero  <lekktu@gmail.com>
21041         * desktop.el (desktop-clear): Simplify; remove useless checks
21042         against invalid buffer names.
21043         (desktop-list*): Use cl-list*.
21044         (desktop-buffer-info, desktop-create-buffer): Simplify.
21046 2013-07-23  Leo Liu  <sdl.web@gmail.com>
21048         * bookmark.el (bookmark-make-record): Restore NAME as a default
21049         value.  (Bug#14933)
21051 2013-07-22  Stefan Monnier  <monnier@iro.umontreal.ca>
21053         * emacs-lisp/autoload.el (autoload--setup-output): New function,
21054         extracted from autoload--insert-text.
21055         (autoload--insert-text): Remove.
21056         (autoload--print-cookie-text): New function, extracted from
21057         autoload--insert-cookie-text.
21058         (autoload--insert-cookie-text): Remove.
21059         (autoload-generate-file-autoloads): Adjust calls accordingly.
21061         * winner.el (winner-hook-installed-p): Remove.
21062         (winner-mode): Simplify accordingly.
21064         * subr.el (add-to-list): Fix compiler-macro when `append' is
21065         not constant.  Don't use `cl-member' for the base case.
21067         * progmodes/subword.el: Fix boundary case (bug#13758).
21068         (subword-forward-regexp): Make it a constant.  Wrap optional \\W in its
21069         own group.
21070         (subword-backward-regexp): Make it a constant.
21071         (subword-forward-internal): Don't treat a trailing capital as the
21072         beginning of a word.
21074 2013-07-22  Ari Roponen  <ari.roponen@gmail.com>  (tiny change)
21076         * emacs-lisp/package.el (package-menu-mode): Don't modify the
21077         global value of tabulated-list-revert-hook (bug#14930).
21079 2013-07-22  Juanma Barranquero  <lekktu@gmail.com>
21081         * desktop.el: Require 'cl-lib.
21082         (desktop-before-saving-frames-functions): New hook.
21083         (desktop--process-minibuffer-frames): Set desktop-mini parameter only
21084         for frames being saved.  Rename from desktop--save-minibuffer-frames.
21085         (desktop-save-frames): Run hook desktop-before-saving-frames-functions.
21086         Do not save frames with non-nil `desktop-dont-save' parameter.
21087         Filter out deleted frames.
21088         (desktop--find-frame): Use cl-find-if.
21089         (desktop--select-frame): Use cl-(first|second|third) to access values
21090         of desktop-mini.
21091         (desktop--make-frame): Use cl-delete-if.
21092         (desktop--sort-states): Fix sorting of minibuffer-owning frames.
21093         (desktop-restore-frames): Use cl-(first|second|third) to access values
21094         of desktop-mini.  Look for visible frame at the end, not while
21095         restoring frames.
21097         * dired-x.el (dired-mark-unmarked-files, dired-virtual)
21098         (dired-guess-default, dired-mark-sexp, dired-filename-at-point):
21099         Use string-match-p, looking-at-p (bug#14927).
21101 2013-07-21  Juanma Barranquero  <lekktu@gmail.com>
21103         * desktop.el (desktop-saved-frame-states):
21104         Rename from desktop--saved-states; all users changed.
21105         (desktop-save-frames): Rename from desktop--save-frames.
21106         Do not save state to desktop file.
21107         (desktop-save): Save desktop-saved-frame-states to desktop file
21108         and reset to nil.
21109         (desktop-restoring-frames-p): New function.
21110         (desktop-restore-frames): Use it.  Rename from desktop--restore-frames.
21111         (desktop-read): Use desktop-restoring-frames-p.  Do not try to fix
21112         buffer-lists when restoring frames.  Suggested by Martin Rudalics.
21114         * desktop.el: Correctly restore iconified frames.
21115         (desktop--filter-iconified-position): New function.
21116         (desktop-filter-parameters-alist): Add entries for `top' and `left'.
21118 2013-07-20  Glenn Morris  <rgm@gnu.org>
21120         * progmodes/gdb-mi.el (gdb-delete-handler, gdb-stopped):
21121         Let `message' do the formatting.
21122         (def-gdb-preempt-display-buffer): Add explicit format.
21124         * image-dired.el (image-dired-track-original-file):
21125         Use with-current-buffer.
21126         (image-dired-track-thumbnail): Use with-current-buffer.
21127         Avoid changing point of wrong window.
21129         * image-dired.el (image-dired-track-original-file):
21130         Avoid changing point of wrong window.  (Bug#14909)
21132 2013-07-20  Richard Copley  <rcopley@gmail.com>  (tiny change)
21134         * progmodes/gdb-mi.el (gdb-done-or-error):
21135         Guard against "%" in gdb output.  (Bug#14127)
21137 2013-07-20  Andreas Schwab  <schwab@linux-m68k.org>
21139         * progmodes/sh-script.el (sh-read-variable): Remove interactive spec.
21140         (Bug#14826)
21142         * international/mule.el (coding-system-iso-2022-flags): Fix last
21143         change.
21145 2013-07-20  Kenichi Handa  <handa@gnu.org>
21147         * international/mule.el (coding-system-iso-2022-flags):
21148         Add `8-bit-level-4'.  (Bug#8522)
21150 2013-07-19  Lars Magne Ingebrigtsen  <larsi@gnus.org>
21152         * net/shr.el (shr-mouse-browse-url): New command and keystroke
21153         (bug#14815).
21155         * net/eww.el (eww-process-text-input): Allow inputting when the
21156         point is at the start of the line, as the properties aren't
21157         front-sticky.
21159         * net/shr.el (shr-make-table-1): Ensure that we don't infloop on
21160         degenerate widths.
21162 2013-07-19  Richard Stallman  <rms@gnu.org>
21164         * epa.el (epa-popup-info-window): Doc fix.
21166         * subr.el (split-string): New arg TRIM.
21168 2013-07-18  Juanma Barranquero  <lekktu@gmail.com>
21170         * frame.el (blink-cursor-timer-function, blink-cursor-suspend):
21171         Add check for W32 (followup to 2013-07-16T11:41:06Z!jan.h.d@swipnet.se).
21173 2013-07-18  Michael Albinus  <michael.albinus@gmx.de>
21175         * filenotify.el (file-notify--library): Rename from
21176         `file-notify-support'.  Do not autoload.  Adapt all uses.
21177         (file-notify-supported-p): New defun.
21179         * autorevert.el (auto-revert-use-notify):
21180         Use `file-notify-supported-p' instead of `file-notify-support'.
21181         Adapt docstring.
21182         (auto-revert-notify-add-watch): Use `file-notify-supported-p'.
21184         * net/tramp.el (tramp-file-name-for-operation):
21185         Add `file-notify-supported-p'.
21187         * net/tramp-sh.el (tramp-sh-handle-file-notify-supported-p):
21188         New defun.
21189         (tramp-sh-file-name-handler-alist): Add it as handler for
21190         `file-notify-supported-p '.
21192         * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
21193         * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
21194         * net/tramp-smb.el (tramp-smb-file-name-handler-alist):
21195         Add `ignore' as handler for `file-notify-*' functions.
21197 2013-07-17  Eli Zaretskii  <eliz@gnu.org>
21199         * simple.el (line-move-partial, line-move): Don't start vscroll or
21200         scroll-up if the current line is not taller than the window.
21201         (Bug#14881)
21203 2013-07-16  Dmitry Gutov  <dgutov@yandex.ru>
21205         * progmodes/ruby-mode.el (ruby-font-lock-keywords): Do not
21206         highlight question marks in the method names as strings.
21207         (ruby-block-beg-keywords): Inline.
21208         (ruby-font-lock-keyword-beg-re): Extract from
21209         `ruby-font-lock-keywords'.
21211 2013-07-16  Jan Djärv  <jan.h.d@swipnet.se>
21213         * frame.el (blink-cursor-blinks): New defcustom.
21214         (blink-cursor-blinks-done): New defvar.
21215         (blink-cursor-start): Set blink-cursor-blinks-done to 1.
21216         (blink-cursor-timer-function): Check if number of blinks has been
21217         done on X and NS.
21218         (blink-cursor-suspend, blink-cursor-check): New defuns.
21220 2013-07-15  Glenn Morris  <rgm@gnu.org>
21222         * edmacro.el (edmacro-format-keys): Fix previous change.
21224 2013-07-15  Paul Eggert  <eggert@cs.ucla.edu>
21226         * shell.el (explicit-bash-args): Remove obsolete hack for Bash 1.x.
21227         The hack didn't work outside English locales anyway.
21229 2013-07-15  Juanma Barranquero  <lekktu@gmail.com>
21231         * simple.el (define-alternatives): Rename from alternatives-define,
21232         per RMS' suggestion.
21234 2013-07-14  Juanma Barranquero  <lekktu@gmail.com>
21236         * desktop.el (desktop-restore-frames): Change default to t.
21237         (desktop-restore-in-current-display): Now offer more options.
21238         (desktop-restoring-reuses-frames): New customization option.
21239         (desktop--saved-states): Doc fix.
21240         (desktop-filter-parameters-alist): New variable, renamed and expanded
21241         from desktop--excluded-frame-parameters.
21242         (desktop--target-display): New variable.
21243         (desktop-switch-to-gui-p, desktop-switch-to-tty-p)
21244         (desktop--filter-tty*, desktop--filter-*-color)
21245         (desktop--filter-minibuffer, desktop--filter-restore-desktop-parm)
21246         (desktop--filter-save-desktop-parm)
21247         (desktop-restore-in-original-display-p): New functions.
21248         (desktop--filter-frame-parms): Use new desktop-filter-parameters-alist.
21249         (desktop--save-minibuffer-frames): New function, inspired by a similar
21250         function from Martin Rudalics.
21251         (desktop--save-frames): Call it; play nice with desktop-globals-to-save.
21252         (desktop--restore-in-this-display-p): Remove.
21253         (desktop--find-frame): Rename from desktop--find-frame-in-display
21254         and add predicate argument.
21255         (desktop--make-full-frame): Remove, integrated into desktop--make-frame.
21256         (desktop--reuse-list): New variable.
21257         (desktop--select-frame, desktop--make-frame, desktop--sort-states):
21258         New functions.
21259         (desktop--restore-frames): Add support for "minibuffer-special" frames.
21261 2013-07-14  Michael Albinus  <michael.albinus@gmx.de>
21263         * net/tramp-sh.el (tramp-sh-handle-vc-registered): Use `ignore-error'.
21265 2013-07-13  Dmitry Gutov  <dgutov@yandex.ru>
21267         * progmodes/ruby-mode.el (ruby-font-lock-keywords):
21268         Highlight conversion methods on Kernel.
21270 2013-07-13  Alan Mackenzie  <acm@muc.de>
21272         * progmodes/cc-engine.el (c-forward-decl-or-cast-1): Label CASE 13
21273         and comment it out.  This out-commenting enables certain C++
21274         declarations to be parsed correctly.
21276 2013-07-13  Eli Zaretskii  <eliz@gnu.org>
21278         * international/mule.el (define-coding-system): Doc fix.
21280         * simple.el (default-font-height): Don't call font-info if the
21281         frame's default font didn't change since the frame was created.
21282         (Bug#14838)
21284 2013-07-13  Leo Liu  <sdl.web@gmail.com>
21286         * ido.el (ido-read-file-name): Guard against non-symbol value.
21288 2013-07-13  Fabián Ezequiel Gallina  <fgallina@gnu.org>
21290         * progmodes/python.el (python-imenu--build-tree): Fix corner case
21291         in nested defuns.
21293 2013-07-13  Leo Liu  <sdl.web@gmail.com>
21295         * ido.el (ido-exhibit): Handle ido-enter-matching-directory before
21296         ido-set-matches call.  (Bug#6852)
21298 2013-07-12  Dmitry Gutov  <dgutov@yandex.ru>
21300         * progmodes/ruby-mode.el (ruby-percent-literals-beg-re)
21301         (ruby-syntax-expansion-allowed-p): Support array of symbols, for
21302         Ruby 2.0.
21303         (ruby-font-lock-keywords): Distinguish calls to functions with
21304         module-like names from module references.  Highlight character
21305         literals.
21307 2013-07-12  Sergio Durigan Junior  <sergiodj@riseup.net>  (tiny change)
21309         * progmodes/gdb-mi.el (gdb-strip-string-backslash): New function.
21310         (gdb-send): Handle continued commands.  (Bug#14847)
21312 2013-07-12  Juanma Barranquero  <lekktu@gmail.com>
21314         * desktop.el (desktop--v2s): Remove unused local variable.
21315         (desktop-save-buffer): Make defvar-local; adjust docstring.
21316         (desktop-auto-save-timeout, desktop-owner): Use ignore-errors.
21317         (desktop-clear, desktop-save-buffer-p): Use string-match-p.
21319 2013-07-12  Andreas Schwab  <schwab@linux-m68k.org>
21321         * emacs-lisp/map-ynp.el (map-y-or-n-p): Fix last change.
21323 2013-07-12  Eli Zaretskii  <eliz@gnu.org>
21325         * simple.el (next-line, previous-line): Document TRY-VSCROLL and ARG.
21326         (Bug#14842)
21328 2013-07-12  Glenn Morris  <rgm@gnu.org>
21330         * doc-view.el: Require cl-lib at runtime too.
21331         (doc-view-remove-if): Remove.
21332         (doc-view-search-next-match, doc-view-search-previous-match):
21333         Use cl-remove-if.
21335         * edmacro.el: Require cl-lib at runtime too.
21336         (edmacro-format-keys, edmacro-parse-keys): Use cl-mismatch, cl-subseq.
21337         (edmacro-mismatch, edmacro-subseq): Remove.
21339         * shadowfile.el: Require cl-lib.
21340         (shadow-remove-if): Remove.
21341         (shadow-set-cluster, shadow-shadows-of-1, shadow-remove-from-todo):
21342         Use cl-remove-if.
21344         * wid-edit.el: Require cl-lib.
21345         (widget-choose): Use cl-remove-if.
21346         (widget-remove-if): Remove.
21348         * progmodes/ebrowse.el: Require cl-lib at runtime too.
21349         (ebrowse-delete-if-not): Remove.
21350         (ebrowse-browser-buffer-list, ebrowse-member-buffer-list)
21351         (ebrowse-tree-buffer-list, ebrowse-same-tree-member-buffer-list):
21352         Use cl-delete-if-not.
21354 2013-07-12  Juanma Barranquero  <lekktu@gmail.com>
21356         * emacs-lisp/cl-macs.el (cl-multiple-value-bind, cl-multiple-value-setq)
21357         (cl-the, cl-declare, cl-defstruct): Fix typos in docstrings.
21359 2013-07-12  Leo Liu  <sdl.web@gmail.com>
21361         * ido.el (dired-do-copy, dired): Set 'ido property.  (Bug#11954)
21363 2013-07-11  Glenn Morris  <rgm@gnu.org>
21365         * emacs-lisp/edebug.el: Require cl-lib at run-time too.
21366         (edebug-gensym-index, edebug-gensym):
21367         Remove reimplementation of cl-gensym.
21368         (edebug-make-enter-wrapper, edebug-make-form-wrapper): Use cl-gensym.
21370         * thumbs.el: Require cl-lib at run-time too.
21371         (thumbs-gensym-counter, thumbs-gensym):
21372         Remove reimplementation of cl-gensym.
21373         (thumbs-temp-file): Use cl-gensym.
21375         * emacs-lisp/ert.el: Require cl-lib at runtime too.
21376         (ert--cl-do-remf, ert--remprop, ert--remove-if-not)
21377         (ert--intersection, ert--set-difference, ert--set-difference-eq)
21378         (ert--union, ert--gensym-counter, ert--gensym-counter)
21379         (ert--coerce-to-vector, ert--remove*, ert--string-position)
21380         (ert--mismatch, ert--subseq): Remove reimplementations of cl funcs.
21381         (ert-make-test-unbound, ert--expand-should-1)
21382         (ert--expand-should, ert--should-error-handle-error)
21383         (should-error, ert--explain-equal-rec)
21384         (ert--plist-difference-explanation, ert-select-tests)
21385         (ert--make-stats, ert--remove-from-list, ert--string-first-line):
21386         Use cl-lib functions rather than reimplementations.
21388 2013-07-11  Michael Albinus  <michael.albinus@gmx.de>
21390         * net/tramp.el (tramp-methods): Extend docstring.
21391         (tramp-connection-timeout): New defcustom.
21392         (tramp-error-with-buffer): Reset timestamp only when appropriate.
21393         (with-tramp-progress-reporter): Simplify.
21394         (tramp-process-actions): Improve messages.
21396         * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
21397         * net/tramp-sh.el (tramp-maybe-open-connection):
21398         Use `tramp-connection-timeout'.
21399         (tramp-methods) <su, sudo, ksu>: Add method specific timeouts.
21400         (Bug#14808)
21402 2013-07-11  Leo Liu  <sdl.web@gmail.com>
21404         * ido.el (ido-read-file-name): Conform to the requirements of
21405         read-file-name.  (Bug#11861)
21406         (ido-read-directory-name): Conform to the requirements of
21407         read-directory-name.
21409 2013-07-11  Juanma Barranquero  <lekktu@gmail.com>
21411         * subr.el (delay-warning): New function.
21413 2013-07-10  Eli Zaretskii  <eliz@gnu.org>
21415         * simple.el (default-line-height): New function.
21416         (line-move-partial, line-move): Use it instead of computing the
21417         line height inline.
21418         (line-move-partial): Always compute ROWH.  If the last line is
21419         partially-visible, but its text is completely visible, allow
21420         cursor to enter such a partially-visible line.
21422 2013-07-10  Michael Albinus  <michael.albinus@gmx.de>
21424         Improve error messages.  (Bug#14808)
21426         * net/tramp.el (tramp-current-connection): New defvar, moved from
21427         tramp-sh.el.
21428         (tramp-message-show-progress-reporter-message): Remove, not
21429         needed anymore.
21430         (tramp-error-with-buffer): Show message in minibuffer.
21431         Discard input before waiting.  Reset connection timestamp.
21432         (with-tramp-progress-reporter): Improve messages.
21433         (tramp-process-actions): Use progress reporter.  Delete process in
21434         case of error.  Improve messages.
21436         * net/tramp-sh.el (tramp-barf-if-no-shell-prompt): Use condition-case.
21437         Call `tramp-error-with-buffer' with vector and buffer.
21438         (tramp-current-connection): Remove.
21439         (tramp-maybe-open-connection): The car of
21440         `tramp-current-connection' are the first 3 slots of the vector.
21442 2013-07-10  Teodor Zlatanov  <tzz@lifelogs.com>
21444         * progmodes/cfengine.el (cfengine3-indent-line): Do not indent
21445         inside continued strings.
21447 2013-07-10  Paul Eggert  <eggert@cs.ucla.edu>
21449         Timestamp fixes for undo (Bug#14824).
21450         * files.el (clear-visited-file-modtime): Move here from fileio.c.
21452 2013-07-10  Leo Liu  <sdl.web@gmail.com>
21454         * files.el (require-final-newline): Allow safe local value.
21455         (Bug#14834)
21457 2013-07-09  Leo Liu  <sdl.web@gmail.com>
21459         * ido.el (ido-read-directory-name): Handle fallback.
21460         (ido-read-file-name): Update DIR to ido-current-directory.
21461         (Bug#1516)
21462         (ido-add-virtual-buffers-to-list): Robustify.  (Bug#14552)
21464 2013-07-09  Dmitry Gutov  <dgutov@yandex.ru>
21466         * progmodes/ruby-mode.el (ruby-font-lock-keywords): Remove extra
21467         "autoload".  Remove "warn lower camel case" section, previously
21468         commented out.  Highlight negation char.  Do not highlight the
21469         target in singleton method definitions.
21471 2013-07-08  Stefan Monnier  <monnier@iro.umontreal.ca>
21473         * faces.el (tty-setup-hook): Declare the hook.
21475         * emacs-lisp/pcase.el (pcase--split-pred): Add `vars' argument to try
21476         and detect when a guard/pred depends on local vars (bug#14773).
21477         (pcase--u1): Adjust caller.
21479 2013-07-08  Eli Zaretskii  <eliz@gnu.org>
21481         * simple.el (line-move-partial, line-move): Account for
21482         line-spacing.
21483         (line-move-partial): Avoid setting vscroll when the last
21484         partially-visible line in window is of default height.
21486 2013-07-08  Lars Magne Ingebrigtsen  <larsi@gnus.org>
21488         * net/shr.el (shr-map): Reinstate the `u' key binding, since it's
21489         been used a while.
21491 2013-07-07  Juanma Barranquero  <lekktu@gmail.com>
21493         * subr.el (read-quoted-char): Remove unused local variable `char'.
21495 2013-07-07  Michael Kifer  <kifer@cs.stonybrook.edu>
21497         * vc/ediff.el (ediff-version): Version update.
21498         (ediff-files-command, ediff3-files-command, ediff-merge-command)
21499         (ediff-merge-with-ancestor-command, ediff-directories-command)
21500         (ediff-directories3-command, ediff-merge-directories-command)
21501         (ediff-merge-directories-with-ancestor-command): New functions.
21502         All are command-line interfaces to ediff: to facilitate calling
21503         Emacs with the appropriate ediff functions invoked.
21505         * emulation/viper-cmd.el (viper-del-forward-char-in-insert):
21506         New function.
21507         (viper-save-kill-buffer): Check if buffer is modified.
21509         * emulation/viper.el (viper-version): Version update.
21510         (viper-emacs-state-mode-list): Add egg-status-buffer-mode.
21512 2013-07-07  Stefan Monnier  <monnier@iro.umontreal.ca>
21514         * faces.el (tty-run-terminal-initialization): Run new tty-setup-hook.
21515         * emulation/viper-cmd.el (viper-envelop-ESC-key): Remove function.
21516         (viper-intercept-ESC-key): Simplify.
21517         * emulation/viper-keym.el (viper-ESC-key): Make it a constant,
21518         don't use kbd.
21519         * emulation/viper.el (viper--tty-ESC-filter, viper--lookup-key)
21520         (viper-catch-tty-ESC, viper-uncatch-tty-ESC)
21521         (viper-setup-ESC-to-escape): New functions.
21522         (viper-go-away, viper-set-hooks): Call viper-setup-ESC-to-escape.
21523         (viper-set-hooks): Do not modify flyspell-mode-hook.  (Bug#13793)
21525 2013-07-07  Eli Zaretskii  <eliz@gnu.org>
21527         * simple.el (default-font-height, window-screen-lines):
21528         New functions.
21529         (line-move, line-move-partial): Use them instead of
21530         frame-char-height and window-text-height.  This makes scrolling
21531         text smoother when the buffer's default face uses a font that is
21532         different from the frame's default font.
21534 2013-07-06  Jan Djärv  <jan.h.d@swipnet.se>
21536         * files.el (write-file): Do not display confirm dialog for NS,
21537         it does its own dialog, which can't be canceled (Bug#14578).
21539 2013-07-06  Eli Zaretskii  <eliz@gnu.org>
21541         * simple.el (line-move-partial): Adjust the row returned by
21542         posn-at-point for the current window-vscroll.  (Bug#14567)
21544 2013-07-06  Michael Albinus  <michael.albinus@gmx.de>
21546         * net/tramp-sh.el (tramp-sh-file-gvfs-monitor-dir-process-filter)
21547         (tramp-sh-file-inotifywait-process-filter): Handle file names with
21548         spaces.
21550 2013-07-06  Martin Rudalics  <rudalics@gmx.at>
21552         * window.el (window-state-put-stale-windows): New variable.
21553         (window--state-put-2): Save list of windows without matching buffer.
21554         (window-state-put): Remove "bufferless" windows if possible.
21556 2013-07-06  Juanma Barranquero  <lekktu@gmail.com>
21558         * simple.el (alternatives-define): Remove leftover :group keyword.
21559         Tweak docstring.
21561 2013-07-06  Leo Liu  <sdl.web@gmail.com>
21563         * ido.el (ido-use-virtual-buffers): Allow new value 'auto.
21564         (ido-enable-virtual-buffers): New variable.
21565         (ido-buffer-internal, ido-toggle-virtual-buffers)
21566         (ido-make-buffer-list): Use it.
21567         (ido-exhibit): Support turning on and off virtual buffers
21568         automatically.
21570 2013-07-06  Juanma Barranquero  <lekktu@gmail.com>
21572         * simple.el (alternatives-define): New macro.
21574 2013-07-06  Stefan Monnier  <monnier@iro.umontreal.ca>
21576         * subr.el (read-quoted-char): Use read-key.
21577         (sit-for): Let read-event decode tty input (bug#14782).
21579 2013-07-05  Stephen Berman  <stephen.berman@gmx.net>
21581         * calendar/todo-mode.el: Add handling of file deletion, both by
21582         mode command and externally.  Fix various related bugs.
21583         Clarify Commentary and improve some documentation strings and code.
21584         (todo-delete-file): New command.
21585         (todo-check-file): New function.
21586         (todo-show): Handle external deletion of the file we're trying to
21587         show (bug#14688).  Replace called-interactively-p by an optional
21588         prefix argument to avoid problematic interaction with catch form
21589         when byte compiled (bug#14702).
21590         (todo-quit): Handle external deletion of the archive's todo file.
21591         Make sure the buffer that was visiting the archive file is still
21592         live before trying to bury it.
21593         (todo-category-completions): Handle external deletion of any
21594         category completion files.
21595         (todo-jump-to-category, todo-basic-insert-item): Recalculate list
21596         of todo files, in case of external deletion.
21597         (todo-add-file): Replace unnecessary setq by let-binding.
21598         (todo-find-archive): Check whether there are any archives.
21599         Replace unnecessary setq by let-binding.
21600         (todo-archive-done-item): Use find-file-noselect to get the
21601         archive buffer whether or not the archive already exists.
21602         Remove superfluous code.  Use file size instead of buffer-file-name to
21603         check if the archive is new; if it is, update list of archives.
21604         (todo-default-todo-file): Allow nil to be a valid value for when
21605         there are no todo files.
21606         (todo-reevaluate-default-file-defcustom): Use corrected definition
21607         of todo-default-todo-file.
21608         (todo-key-bindings-t+a+f): Add key binding for todo-delete-file.
21609         (todo-delete-category, todo-show-categories-table)
21610         (todo-category-number): Clarify comment.
21611         (todo-filter-items): Clarify documentation string.
21612         (todo-show-current-file, todo-display-as-todo-file)
21613         (todo-reset-and-enable-done-separator): Tweak documentation string.
21614         (todo-done-separator): Make separator length window-width, since
21615         bug#2749 is now fixed.
21617 2013-07-05  Michael Albinus  <michael.albinus@gmx.de>
21619         * net/tramp-sh.el (tramp-sh-handle-file-notify-add-watch):
21620         Support both "gvfs-monitor-dir" and "inotifywait".
21621         (tramp-sh-file-inotifywait-process-filter): Rename from
21622         `tramp-sh-file-notify-process-filter'.
21623         (tramp-sh-file-gvfs-monitor-dir-process-filter)
21624         (tramp-get-remote-gvfs-monitor-dir): New defuns.
21626 2013-07-05  Leo Liu  <sdl.web@gmail.com>
21628         * autoinsert.el (auto-insert-alist): Default to lexical-binding.
21630 2013-07-04  YAMAMOTO Mitsuharu  <mituharu@math.s.chiba-u.ac.jp>
21632         * frame.el (display-pixel-height, display-pixel-width)
21633         (display-mm-height, display-mm-width): Mention behavior on
21634         multi-monitor setups in docstrings.
21635         (w32-display-monitor-attributes-list): Declare function.
21636         (display-monitor-attributes-list): Use it.
21638 2013-07-04  Michael Albinus  <michael.albinus@gmx.de>
21640         * filenotify.el: New package.
21642         * autorevert.el (top): Require filenotify.el.
21643         (auto-revert-notify-enabled): Remove.  Use `file-notify-support'
21644         instead.
21645         (auto-revert-notify-rm-watch, auto-revert-notify-add-watch)
21646         (auto-revert-notify-handler): Use `file-notify-*' functions.
21648         * subr.el (file-notify-handle-event): Move function to filenotify.el.
21650         * net/tramp.el (tramp-file-name-for-operation):
21651         Handle `file-notify-add-watch' and `file-notify-rm-watch'.
21653         * net/tramp-sh.el (tramp-sh-file-name-handler-alist): Add handler
21654         for `file-notify-add-watch' and `file-notify-rm-watch'.
21655         (tramp-process-sentinel): Improve trace.
21656         (tramp-sh-handle-file-notify-add-watch)
21657         (tramp-sh-file-notify-process-filter)
21658         (tramp-sh-handle-file-notify-rm-watch)
21659         (tramp-get-remote-inotifywait): New defuns.
21661 2013-07-03  Juri Linkov  <juri@jurta.org>
21663         * buff-menu.el (Buffer-menu-multi-occur): Add args and move the
21664         call of `occur-read-primary-args' to interactive spec.
21666         * ibuffer.el (ibuffer-mode-map): Bind "M-s a C-o" to
21667         `ibuffer-do-occur' like in buff-menu.el.  (Bug#14673)
21669 2013-07-03  Matthias Meulien  <orontee@gmail.com>
21671         * buff-menu.el (Buffer-menu-mode-map): Bind "M-s a C-o" to
21672         `Buffer-menu-multi-occur'.  Add it to the menu.
21673         (Buffer-menu-mode): Document it in docstring.
21674         (Buffer-menu-multi-occur): New command.  (Bug#14673)
21676 2013-07-03  Dmitry Gutov  <dgutov@yandex.ru>
21678         * progmodes/ruby-mode.el (ruby-font-lock-keywords): Highlight more
21679         keywords and built-ins.
21681 2013-07-03  Glenn Morris  <rgm@gnu.org>
21683         * subr.el (y-or-n-p): Handle empty prompts.  (Bug#14770)
21685         Make info-xref checks case-sensitive by default
21686         * info.el (Info-find-node, Info-find-in-tag-table)
21687         (Info-find-node-in-buffer, Info-find-node-2, Info-goto-node):
21688         Add option for exact case matching of nodes.
21689         * info-xref.el (info-xref): New custom group.
21690         (info-xref-case-fold): New option.
21691         (info-xref-goto-node-p): Pass info-xref-case-fold to Info-goto-node.
21693 2013-07-03  Leo Liu  <sdl.web@gmail.com>
21695         * ido.el (ido-delete-file-at-head): Respect delete-by-moving-to-trash.
21697 2013-07-03  Dmitry Gutov  <dgutov@yandex.ru>
21699         * progmodes/ruby-mode.el (ruby-move-to-block): When we're at a
21700         middle of block statement initially, lower the depth.  Remove
21701         FIXME comment, not longer valid.  Remove middle of block statement
21702         detection, no need to do that anymore since we've been using
21703         `ruby-parse-region' here.
21705 2013-07-02  Jan Djärv  <jan.h.d@swipnet.se>
21707         * term/ns-win.el (display-format-alist): Use .* (Bug#14765).
21709 2013-07-01  Katsumi Yamaoka  <yamaoka@jpl.org>
21711         * wid-edit.el (widget-default-get): Don't modify widget (Bug#14738).
21713 2013-07-01  Juanma Barranquero  <lekktu@gmail.com>
21715         * desktop.el (desktop-restore-frames): Rename from desktop-save-windows.
21716         (desktop-restore-in-current-display): New customization option.
21717         (desktop--excluded-frame-parameters): Add `font'.
21718         (desktop--save-frames): Rename from desktop--save-windows.
21719         (desktop--restore-in-this-display-p): New function.
21720         (desktop--make-full-frame): Remove unwanted width/height from
21721         full(width|height) frames.
21722         (desktop--restore-frames): Rename from desktop--restore-windows.
21723         Obey desktop-restore-current-display.  Do not delete old frames or
21724         select a new frame unless we were able to restore at least one frame.
21726 2013-06-30  Michal Nazarewicz  <mina86@mina86.com>
21728         * files.el (find-file-noselect): Simplify conditional expression.
21730         * textmodes/remember.el (remember-append-to-file):
21731         Don't mix `find-buffer-visiting' and `get-file-buffer'.
21733         Add `remember-notes' function to store random notes across Emacs
21734         restarts.
21735         * textmodes/remember.el (remember-data-file): Add :set callback to
21736         affect notes buffer (if any).
21737         (remember-notes): New command.
21738         (remember-notes-buffer-name, bury-remember-notes-on-kill):
21739         New defcustoms for the `remember-notes' function.
21740         (remember-notes-save-and-bury-buffer): New command.
21741         (remember-notes-mode-map): New variable.
21742         (remember-mode): New minor mode.
21743         (remember-notes--kill-buffer-query): New function.
21744         * startup.el (initial-buffer-choice): Add notes to custom type.
21746 2013-06-30  Eli Zaretskii  <eliz@gnu.org>
21748         * bindings.el (right-char, left-char): Don't call sit-for, this is
21749         no longer needed.  Use arithmetic comparison only for numerical
21750         arguments.
21752         * international/mule-cmds.el (select-safe-coding-system):
21753         Handle the case of FROM being a string correctly.  (Bug#14755)
21755 2013-06-30  Lars Magne Ingebrigtsen  <larsi@gnus.org>
21757         * net/shr.el (shr-make-table-1): Add a sanity check that allows
21758         progression on degenerate tables.
21759         (shr-rescale-image): ImageMagick animated images currently don't work.
21761 2013-06-30  Juanma Barranquero  <lekktu@gmail.com>
21763         Some fixes and improvements for desktop frame restoration.
21764         It is still experimental and disabled by default.
21765         * desktop.el (desktop--save-windows): Put the selected frame at
21766         the head of the list.
21767         (desktop--make-full-frame): New function.
21768         (desktop--restore-windows): Try to re-select the frame that was
21769         selected upon saving.  Do not abort if some frames fail to restore,
21770         just show an error message and continue.  Set up maximized frames
21771         so they have default non-maximized dimensions.
21773 2013-06-30  Dmitry Gutov  <dgutov@yandex.ru>
21775         * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
21776         Don't start heredoc inside a string or comment.
21778 2013-06-29  Eli Zaretskii  <eliz@gnu.org>
21780         * bindings.el (visual-order-cursor-movement): New defcustom.
21781         (right-char, left-char): Provide visual-order cursor motion by
21782         calling move-point-visually.  Update the doc strings.
21784 2013-06-28  Kenichi Handa  <handa@gnu.org>
21786         * international/mule.el (define-coding-system): New coding system
21787         properties :inhibit-null-byte-detection,
21788         :inhibit-iso-escape-detection, and :prefer-utf-8.
21789         (set-buffer-file-coding-system): If :charset-list property of
21790         CODING-SYSTEM is `emacs', do not check if CODING-SYSTEM is
21791         appropriate for setting.
21793         * international/mule-cmds.el (select-safe-coding-system):
21794         If DEFAULT-CODING-SYSTEM is prefer-utf-8 and the buffer contains
21795         multibyte characters, return utf-8 (or one of its siblings).
21797         * international/mule-conf.el (prefer-utf-8): New coding system.
21798         (file-coding-system-alist): Use prefer-utf-8 as default for Elisp
21799         files.
21801 2013-06-28  Ivan Kanis  <ivan@kanis.fr>
21803         * net/shr.el (shr-render-region): New function.
21805         * net/eww.el: Autoload `eww-browse-url'.
21807 2013-06-27  Dmitry Gutov  <dgutov@yandex.ru>
21809         * emacs-lisp/package-x.el (package-upload-buffer-internal):
21810         Adapt to `package-desc-version' being a list.
21811         Use `package--ac-desc-version' to retrieve version from a package
21812         archive element.
21814 2013-06-27  Juanma Barranquero  <lekktu@gmail.com>
21816         New experimental feature to save&restore window and frame setup.
21817         * desktop.el (desktop-save-windows): New defcustom.
21818         (desktop--saved-states): New var.
21819         (desktop--excluded-frame-parameters): New defconst.
21820         (desktop--filter-frame-parms, desktop--find-frame-in-display)
21821         (desktop--restore-windows, desktop--save-windows): New functions.
21822         (desktop-save): Call `desktop--save-windows'.
21823         (desktop-read): Call `desktop--restore-windows'.
21825 2013-06-27  Lars Magne Ingebrigtsen  <larsi@gnus.org>
21827         * net/shr.el (add-face-text-property): Remove compat definition.
21829 2013-06-27  Stephen Berman  <stephen.berman@gmx.net>
21831         * info.el (Info-try-follow-nearest-node): Move search for footnote
21832         above search for node name to prevent missing a footnote (bug#14717).
21834 2013-06-27  Stephen Berman  <stephen.berman@gmx.net>
21836         * obsolete/otodo-mode.el: Add obsolescence info to file header.
21838 2013-06-27  Leo Liu  <sdl.web@gmail.com>
21840         * net/eww.el (eww-read-bookmarks): Check file size.
21842 2013-06-26  Stefan Monnier  <monnier@iro.umontreal.ca>
21844         * emacs-lisp/nadvice.el (advice--defalias-fset): Move advice back to
21845         advice--pending if newdef is nil or an autoload (bug#13820).
21846         (advice-mapc): New function.
21848 2013-06-26  Lars Magne Ingebrigtsen  <larsi@gnus.org>
21850         * net/eww.el (eww-mode): Undo isn't necessary in eww buffers,
21851         probably.
21852         (eww-mode-map): Add a menu bar.
21853         (eww-add-bookmark): New command.
21854         (eww-bookmark-mode): New mode and commands.
21855         (eww-add-bookmark): Remove newlines from the title.
21856         (eww-bookmark-browse): Don't bug out if it's the only window.
21858 2013-06-26  Glenn Morris  <rgm@gnu.org>
21860         * htmlfontify.el (hfy-triplet): Handle unspecified-fg, bg.
21861         (hfy-size): Handle ttys.  (Bug#14668)
21863         * info-xref.el: Update for Texinfo 5 change in *note format.
21864         (info-xref-node-re, info-xref-note-re): New constants.
21865         (info-xref-check-buffer): Use info-xref-note-re.
21867 2013-06-26  Stefan Monnier  <monnier@iro.umontreal.ca>
21869         * simple.el (set-variable): Use read-from-minibuffer (bug#14710).
21871         * emacs-lisp/package.el (package--add-to-archive-contents): Add missing
21872         nil terminate the loop (bug#14718).
21874 2013-06-25  Lars Magne Ingebrigtsen  <larsi@gnus.org>
21876         * net/eww.el: Rework history traversal.  When going forward/back,
21877         put these actions into the history, too, so that they can be
21878         replayed.
21879         (eww-render): Move the history reset to the correct buffer.
21881 2013-06-25  Juri Linkov  <juri@jurta.org>
21883         * files-x.el (modify-dir-local-variable): Change the header comment
21884         in the file with directory local variables.  (Bug#14692)
21886         * files-x.el (read-file-local-variable-value): Add `default'.
21887         (Bug#14710)
21889 2013-06-25  Lars Magne Ingebrigtsen  <larsi@gnus.org>
21891         * net/eww.el (eww-make-unique-file-name): Create a unique file
21892         name before saving to entering `y' accidentally asynchronously.
21894 2013-06-25  Ivan Kanis  <ivan@kanis.fr>
21896         * net/eww.el (eww-download): New command and keystroke.
21898 2013-06-25  Lars Magne Ingebrigtsen  <larsi@gnus.org>
21900         * net/eww.el (eww-copy-page-url): Change name of command.
21902         * net/shr.el (shr-map): Change `shr-copy-url' from `u' to `w' to
21903         be more consistent with Info and dired.
21905         * net/eww.el (eww-mode-map): Ditto.
21907 2013-06-25  Stefan Monnier  <monnier@iro.umontreal.ca>
21909         * emacs-lisp/package.el: Use lexical-binding.  Include obsolete
21910         packages from archives.
21911         (package-archive-contents): Change format; include obsolete packages.
21912         (package-desc): Use `dir' to mark builtin packages.
21913         (package--from-builtin): Set the `dir' field to `builtin'.
21914         (generated-autoload-file, version-control): Declare.
21915         (package-compute-transaction): Change first arg and return value to be
21916         lists of package-descs.  Adjust to new package-archive-contents format.
21917         (package--add-to-archive-contents): Adjust to new
21918         package-archive-contents format.
21919         (package-download-transaction): Arg is now a list of package-descs.
21920         (package-install): If `pkg' is a package name, pass it as
21921         a requirement, so it is subject to the usual (e.g. disabled) checks.
21922         (describe-package): Accept package-desc as well.
21923         (describe-package-1): Describe a specific package-desc.  Add links to
21924         other package-descs for the same package name.
21925         (package-menu-describe-package): Pass the actual package-desc.
21926         (package-menu-mode): Add to tabulated-list-revert-hook so revert-buffer
21927         works correctly.
21928         (package-desc-status): New function.
21929         (package-menu--refresh): New function, extracted
21930         from package-menu--generate.
21931         (package-menu--generate): Use it.
21932         (package-delete): Update package-alist.
21933         (package-menu-execute): Don't call package-initialize.
21935         * progmodes/idlw-toolbar.el, progmodes/idlw-shell.el,
21936         progmodes/idlw-help.el, progmodes/idlw-complete-structtag.el,
21937         progmodes/ebnf-yac.el, progmodes/ebnf-otz.el, progmodes/ebnf-iso.el,
21938         progmodes/ebnf-ebx.el, progmodes/ebnf-dtd.el, progmodes/ebnf-bnf.el,
21939         progmodes/ebnf-abn.el, emacs-lisp/package-x.el, emacs-lisp/cl-seq.el,
21940         emacs-lisp/cl-macs.el: Neuter the "Version:" header.
21942 2013-06-25  Martin Rudalics  <rudalics@gmx.at>
21944         * window.el (window--state-get-1): Workaround for bug#14527.
21945         http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00941.html
21947 2013-06-25  Lars Magne Ingebrigtsen  <larsi@gnus.org>
21949         * net/eww.el (eww-back-url): Implement the history by stashing all
21950         the data into a list.
21951         (eww-forward-url): Allow going forward in the history, too.
21953 2013-06-25  Stefan Monnier  <monnier@iro.umontreal.ca>
21955         * files-x.el (read-file-local-variable-value): Use read-from-minibuffer
21956         for values and use read--expression for expressions (bug#14710).
21957         (read-file-local-variable): Avoid setq.
21958         (read-file-local-variable-mode): Use minor-mode-list.
21960 2013-06-25  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
21962         * textmodes/bibtex.el (bibtex-generate-url-list): Add support
21963         for DOI URLs.
21965 2013-06-25  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
21967         * textmodes/bibtex.el (bibtex-mode, bibtex-set-dialect):
21968         Update imenu-support when dialect changes.
21970 2013-06-25  Leo Liu  <sdl.web@gmail.com>
21972         * ido.el (ido-read-internal): Allow forward slash on windows.
21974 2013-06-24  Lars Magne Ingebrigtsen  <larsi@gnus.org>
21976         * net/eww.el (eww): Start of strings is \\`, not ^.
21978 2013-06-24  Ivan Kanis  <ivan@kanis.fr>
21980         * net/shr.el (shr-browse-url): Fix interactive spec.
21982         * net/eww.el (eww): Add a trailing slash to domain names.
21984 2013-06-24  Juanma Barranquero  <lekktu@gmail.com>
21986         * faces.el (face-spec-recalc): Revert part of 2013-06-23T20:29:18Z!lekktu@gmail.com (bug#14705).
21988 2013-06-24  Lars Magne Ingebrigtsen  <larsi@gnus.org>
21990         * net/shr.el (shr-browse-url): Use an external browser if given a
21991         prefix.
21993         * net/eww.el (eww-external-browser): Move to shr.
21995 2013-06-24  Ivan Kanis  <ivan@kanis.fr>
21997         * net/eww.el (eww): Work more correctly for file: URLs.
21998         (eww-detect-charset): Allow quoted charsets.
21999         (eww-yank-page-url): New command and keystroke.
22001 2013-06-24  Daiki Ueno  <ueno@gnu.org>
22003         * epg.el (epg-make-context): Check if PROTOCOL is valid; embed the
22004         file name of gpg executable.
22005         (epg-context-program): New function.
22006         (epg-context-home-directory): New function.
22007         (epg-context-set-program): New function.
22008         (epg-context-set-home-directory): New function.
22009         (epg--start): Use `epg-context-program' instead of
22010         'epg-gpg-program'.
22011         (epg--list-keys-1): Likewise.
22013 2013-06-24  Leo Liu  <sdl.web@gmail.com>
22015         * ido.el (ido-read-internal): Fix bug#14620.
22017 2013-06-23  Juanma Barranquero  <lekktu@gmail.com>
22019         * faces.el (face-documentation): Simplify.
22020         (read-face-attribute, tty-find-type, x-resolve-font-name):
22021         Use `string-match-p'.
22022         (list-faces-display): Use `string-match-p'.  Simplify.
22023         (face-spec-recalc): Check face to avoid face alias loops.
22024         (read-color): Use `string-match-p' and non-capturing parenthesis.
22026 2013-06-23  Lars Magne Ingebrigtsen  <larsi@gnus.org>
22028         * net/shr.el (shr-rescale-image): Use the new
22029         :max-width/:max-height functionality.
22031 2013-06-23  Ivan Kanis  <ivan@kanis.fr>
22033         * net/eww.el (eww-search-prefix): New variable.
22034         (eww): Use it.
22035         (eww-external-browser): New variable.
22036         (eww-mode-map): New keystroke.
22037         (eww-browse-with-external-browser): New command.
22039         * net/eww.el: Bind `C-c C-c' to "submit" in all form keymaps.
22041 2013-06-23  Juanma Barranquero  <lekktu@gmail.com>
22043         * emacs-lisp/tabulated-list.el (tabulated-list-init-header):
22044         Don't skip aligning the next header field when padding is 0;
22045         otherwise, field width is not respected unless the title is as
22046         wide as the field.
22048 2013-06-22  Stefan Monnier  <monnier@iro.umontreal.ca>
22050         * emacs-lisp/package.el (package-el-version): Remove.
22051         (package-process-define-package): Fix inf-loop.
22052         (package-install): Allow symbols as arguments again.
22054 2013-06-22  Dmitry Gutov  <dgutov@yandex.ru>
22056         * progmodes/ruby-mode.el (ruby-font-lock-keywords): Move `catch',
22057         add some more keyword-like methods.
22058         http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00911.html
22060 2013-06-22  Juanma Barranquero  <lekktu@gmail.com>
22062         * bs.el (bs-buffer-show-mark): Make defvar-local.
22063         (bs-mode): Use setq-local.
22065         * emacs-lock.el (emacs-lock-mode, emacs-lock--old-mode)
22066         (emacs-lock--try-unlocking): Make defvar-local.
22068 2013-06-22  Glenn Morris  <rgm@gnu.org>
22070         * play/cookie1.el (cookie-apropos): Minor simplification.
22072         * progmodes/gdb-mi.el (gdb-mapcar*): Remove, replace with cl-mapcar.
22074 2013-06-22  Dmitry Gutov  <dgutov@yandex.ru>
22076         * progmodes/ruby-mode.el (auto-mode-alist): Do not use
22077         `regexp-opt', it breaks the build during dumping.
22079 2013-06-21  Dmitry Gutov  <dgutov@yandex.ru>
22081         * progmodes/ruby-mode.el (ruby-font-lock-keywords):
22082         Highlight keyword-like methods on Kernel and Module with
22083         font-lock-builtin-face.
22084         (auto-mode-alist): Consolidate different entries into one regexp
22085         and add more *file-s.
22087 2013-06-21  Stephen Berman  <stephen.berman@gmx.net>
22089         * obsolete/otodo-mode.el: Move and rename from calendar/todo-mode.el.
22091         * calendar/diary-lib.el (diary-goto-entry-function): New variable.
22092         (diary-entry): Use it in the action of this button type instead of
22093         diary-goto-entry.
22095         * calendar/todo-mode.el: New version.
22096         (todo-add-category): Append new category to end of file and give
22097         it the highest number, instead of putting it at the beginning and
22098         giving it 0.  Incorporate noninteractive functionality.
22099         (todo-forward-category): Adapt to 1-based category numbering.
22100         Allow skipping over archived categories.
22101         (todo-backward-category): Derive from todo-forward-category.
22102         (todo-backward-item, todo-forward-item): Make noninteractive and
22103         delegate interactive part to new commands.  Make sensitive to done items.
22104         (todo-categories): Make value an alist of category names and
22105         vectors of item counts.
22106         (todo-category-beg): Make a defconst.
22107         (todo-category-number): Use 1 instead of 0 as initial value.
22108         (todo-category-select): Make sensitive to overlays, optional item
22109         highlighting and done items.
22110         (todo-delete-item): Make sensitive to overlays and marked and done items.
22111         (todo-edit-item): Make sensitive to overlays and editing of
22112         date/time header optional.  Add format checks.
22113         (todo-edit-multiline): Rename to todo-edit-multiline-item.  Make a
22114         no-op if point is not on an item.  Advertise using todo-edit-quit.
22115         (todo-edit-mode): Make sensitive to new format, font-locking, and
22116         multiple todo files.
22117         (todo-insert-item, todo-insert-item-here): Derive from
22118         todo-basic-insert-item and extend functionality.
22119         (todo-item-end, todo-item-start): Make sensitive to done items.
22120         (todo-item-string): Don't return text properties.  Restore point.
22121         (todo-jump-to-category): Make sensitive to multiple todo files and
22122         todo archives.  Use extended category completion.
22123         (todo-lower-item, todo-raise-item): Rename to *-priority and
22124         derive from todo-set-item-priority.
22125         (todo-mode): Derive from special-mode.  Make sensitive to new
22126         format, font-locking and multiple todo files.  Make read-only.
22127         (todo-mode-map): Don't suppress digit keys, so they can supply
22128         prefix arguments.  Add many new key bindings.
22129         (todo-prefix): Insert as an overlay instead of file text.
22130         Change semantics from diary date expression to purely visual mark.
22131         (todo-print): Rename to todo-print-buffer.  Make buffer display
22132         features printable.  Remove option to restrict number of items
22133         printed.  Add option to print to file.
22134         (todo-print-function): Rename to todo-print-buffer-function.
22135         (todo-quit): Extend to handle exiting new todo modes.
22136         (todo-remove-item): Make sensitive to overlays.
22137         (todo-save): Extend to buffers of filtered items.
22138         (todo-show): Make sensitive to done items, multiple todo files and
22139         new todo modes.  Offer to convert legacy todo file before creating
22140         first new todo file.
22141         (todo-show-priorities): Rename to todo-top-priorities.
22142         Change semantics of value 0.
22143         (todo-top-priorities): Rename to todo-filter-top-priorities,
22144         derive from todo-filter-items and extend functionality.
22145         (todo-save-top-priorities): Rename to todo-save-filtered-items-buffer
22146         and extend functionality to other types of filtered items.
22147         (todo-add-item-non-interactively, todo-ask-p, todo-cat-slct)
22148         (todo-category-end, todo-category-sep, todo-cats, todo-cmd-back)
22149         (todo-cmd-done, todo-cmd-edit, todo-cmd-forw, todo-cmd-inst)
22150         (todo-cmd-kill, todo-cmd-lowr, todo-cmd-next, todo-cmd-prev)
22151         (todo-cmd-rais, todo-cmd-save, todo-completing-read, todo-cp)
22152         (todo-edit-mode-hook, todo-entry-prefix-function)
22153         (todo-entry-timestamp-initials, todo-file-do, todo-file-done)
22154         (todo-file-item, todo-file-top, todo-header, todo-initial-setup)
22155         (todo-initials, todo-insert-threshold, todo-item-string-start)
22156         (todo-line-string, todo-menu, todo-mode-hook)
22157         (todo-more-important-p, todo-previous-answer, todo-previous-line)
22158         (todo-print-priorities, todo-remove-separator)
22159         (todo-save-top-priorities-too, todo-string-count-lines)
22160         (todo-string-multiline-p, todo-time-string-format)
22161         (todo-tmp-buffer-name): Remove.
22162         (todo-add-file, todo-archive-done-item, todo-choose-archive)
22163         (todo-convert-legacy-files, todo-copy-item, todo-delete-category)
22164         (todo-edit-category-diary-inclusion)
22165         (todo-edit-category-diary-nonmarking, todo-edit-done-item-comment)
22166         (todo-edit-file, todo-edit-item-date-day)
22167         (todo-edit-item-date-day-name, todo-edit-item-date-from-calendar)
22168         (todo-edit-item-date-month, todo-edit-item-date-to-today)
22169         (todo-edit-item-date-year, todo-edit-item-diary-inclusion)
22170         (todo-edit-item-diary-nonmarking, todo-edit-item-header)
22171         (todo-edit-item-time, todo-edit-quit, todo-filter-diary-items)
22172         (todo-filter-diary-items-multifile, todo-filter-regexp-items)
22173         (todo-filter-regexp-items-multifile, todo-filter-top-priorities)
22174         (todo-filter-top-priorities-multifile, todo-find-archive)
22175         (todo-find-filtered-items-file, todo-go-to-source-item)
22176         (todo-insert-item-from-calendar, todo-item-done, todo-item-undone)
22177         (todo-jump-to-archive-category, todo-lower-category)
22178         (todo-mark-category, todo-marked-item-p, todo-merge-category)
22179         (todo-move-category, todo-move-item, todo-next-button)
22180         (todo-next-item, todo-padded-string, todo-powerset)
22181         (todo-previous-button, todo-previous-item)
22182         (todo-print-buffer-to-file, todo-raise-category)
22183         (todo-rename-category, todo-repair-categories-sexp, todo-search)
22184         (todo-set-category-number, todo-set-item-priority)
22185         (todo-set-top-priorities-in-category)
22186         (todo-set-top-priorities-in-file, todo-show-categories-table)
22187         (todo-sort-categories-alphabetically-or-numerically)
22188         (todo-sort-categories-by-archived, todo-sort-categories-by-diary)
22189         (todo-sort-categories-by-done, todo-sort-categories-by-todo)
22190         (todo-toggle-item-header, todo-toggle-item-highlighting)
22191         (todo-toggle-mark-item, todo-toggle-prefix-numbers)
22192         (todo-toggle-view-done-items, todo-toggle-view-done-only)
22193         (todo-unarchive-items, todo-unmark-category): New commands.
22194         (todo-absolute-file-name, todo-add-to-buffer-list)
22195         (todo-adjusted-category-label-length, todo-basic-edit-item-header)
22196         (todo-basic-insert-item, todo-category-completions)
22197         (todo-category-number, todo-category-string-matcher-1)
22198         (todo-category-string-matcher-2, todo-check-filtered-items-file)
22199         (todo-check-format, todo-clear-matches)
22200         (todo-comment-string-matcher, todo-convert-legacy-date-time)
22201         (todo-current-category, todo-date-string-matcher)
22202         (todo-define-insertion-command, todo-diary-expired-matcher)
22203         (todo-diary-goto-entry, todo-diary-item-p)
22204         (todo-diary-nonmarking-matcher, todo-display-as-todo-file)
22205         (todo-display-categories, todo-display-sorted, todo-done-item-p)
22206         (todo-done-item-section-p, todo-done-separator)
22207         (todo-done-string-matcher, todo-files, todo-filter-items)
22208         (todo-filter-items-1, todo-filter-items-filename, todo-find-item)
22209         (todo-gen-arglists, todo-get-count, todo-get-overlay, todo-indent)
22210         (todo-insert-category-line, todo-insert-item-from-calendar)
22211         (todo-insert-sort-button, todo-insert-with-overlays)
22212         (todo-insertion-command-name, todo-insertion-key-bindings)
22213         (todo-label-to-key, todo-longest-category-name-length)
22214         (todo-make-categories-list, todo-mode-external-set)
22215         (todo-mode-line-control, todo-modes-set-1, todo-modes-set-2)
22216         (todo-modes-set-3, todo-multiple-filter-files)
22217         (todo-nondiary-marker-matcher, todo-prefix-overlays)
22218         (todo-read-category, todo-read-date, todo-read-dayname)
22219         (todo-read-file-name, todo-read-time)
22220         (todo-reevaluate-category-completions-files-defcustom)
22221         (todo-reevaluate-default-file-defcustom)
22222         (todo-reevaluate-filelist-defcustoms)
22223         (todo-reevaluate-filter-files-defcustom)
22224         (todo-reset-and-enable-done-separator, todo-reset-comment-string)
22225         (todo-reset-done-separator, todo-reset-done-separator-string)
22226         (todo-reset-done-string, todo-reset-global-current-todo-file)
22227         (todo-reset-highlight-item, todo-reset-nondiary-marker)
22228         (todo-reset-prefix, todo-set-categories)
22229         (todo-set-date-from-calendar, todo-set-show-current-file)
22230         (todo-set-top-priorities, todo-short-file-name)
22231         (todo-show-current-file, todo-sort, todo-time-string-matcher)
22232         (todo-total-item-counts, todo-update-buffer-list)
22233         (todo-update-categories-display, todo-update-categories-sexp)
22234         (todo-update-count, todo-validate-name, todo-y-or-n-p):
22235         New functions.
22236         (todo-archive-mode, todo-categories-mode, todo-filtered-items-mode):
22237         New major modes.
22238         (todo-categories, todo-display, todo-edit, todo-faces)
22239         (todo-filtered): New defgroups.
22240         (todo-archived-only, todo-button, todo-category-string, todo-date)
22241         (todo-diary-expired, todo-done, todo-done-sep, todo-comment)
22242         (todo-mark, todo-nondiary, todo-prefix-string, todo-search)
22243         (todo-sorted-column, todo-time, todo-top-priority): New deffaces.
22244         (todo-add-item-if-new-category, todo-always-add-time-string)
22245         (todo-categories-align, todo-categories-archived-label)
22246         (todo-categories-category-label, todo-categories-diary-label)
22247         (todo-categories-done-label, todo-categories-number-separator)
22248         (todo-categories-todo-label, todo-categories-totals-label)
22249         (todo-category-completions-files, todo-completion-ignore-case)
22250         (todo-default-todo-file, todo-diary-nonmarking, todo-directory)
22251         (todo-done-separator-string, todo-done-string)
22252         (todo-files-function, todo-filter-done-items, todo-filter-files)
22253         (todo-highlight-item, todo-include-in-diary, todo-indent-to-here)
22254         (todo-initial-category, todo-initial-file, todo-item-mark)
22255         (todo-legacy-date-time-regexp, todo-mode-line-function)
22256         (todo-nondiary-marker, todo-number-prefix)
22257         (todo-print-buffer-function, todo-show-current-file)
22258         (todo-show-done-only, todo-show-first, todo-show-with-done)
22259         (todo-skip-archived-categories, todo-top-priorities-overrides)
22260         (todo-undo-item-omit-comment, todo-use-only-highlighted-region)
22261         (todo-visit-files-commands, todo-wrap-lines, todo-y-with-space):
22262         New defcustoms.
22263         (todo-category-done, todo-date-pattern, todo-date-string-start)
22264         (todo-diary-items-buffer, todo-done-string-start)
22265         (todo-filtered-items-buffer, todo-item-start)
22266         (todo-month-abbrev-array, todo-month-name-array)
22267         (todo-nondiary-end, todo-nondiary-start, todo-regexp-items-buffer)
22268         (todo-top-priorities-buffer): New defconsts.
22269         (todo-archive-mode-map, todo-archives, todo-categories-mode-map)
22270         (todo-categories-with-marks, todo-category-string-face)
22271         (todo-comment-face, todo-comment-string, todo-current-todo-file)
22272         (todo-date-face, todo-date-from-calendar, todo-descending-counts)
22273         (todo-diary-expired-face, todo-done-face, todo-done-sep-face)
22274         (todo-done-separator, todo-edit-buffer, todo-edit-mode-map)
22275         (todo-file-buffers, todo-files, todo-filtered-items-mode-map)
22276         (todo-font-lock-keywords, todo-global-current-todo-file)
22277         (todo-insertion-commands, todo-insertion-commands-arg-key-list)
22278         (todo-insertion-commands-args)
22279         (todo-insertion-commands-args-genlist)
22280         (todo-insertion-commands-names, todo-insertion-map)
22281         (todo-key-bindings-t, todo-key-bindings-t+a)
22282         (todo-key-bindings-t+a+f, todo-key-bindings-t+f, todo-mode-map)
22283         (todo-multiple-filter-files, todo-multiple-filter-files-widget)
22284         (todo-nondiary-face, todo-print-buffer, todo-time-face)
22285         (todo-visited): New variables.
22287 2013-06-21  Glenn Morris  <rgm@gnu.org>
22289         * play/cookie1.el (cookie-apropos): Add optional display argument.
22290         * obsolete/yow.el (apropos-zippy): Use cookie-apropos.
22291         (psychoanalyze-pinhead): Use cookie-doctor.
22293 2013-06-21  Juanma Barranquero  <lekktu@gmail.com>
22295         * emacs-lisp/package.el (tar-get-file-descriptor)
22296         (tar--extract): Declare.
22298 2013-06-21  Eduard Wiebe  <usenet@pusto.de>
22300         Extend flymake's warning predicate to be a function (bug#14217).
22301         * progmodes/flymake.el (flymake-warning-predicate): New.
22302         (flymake-parse-line): Use it.
22303         (flymake-warning-re): Make obsolete alias to
22304         `flymake-warning-predicate'.
22306 2013-06-21  Stefan Monnier  <monnier@iro.umontreal.ca>
22308         * emacs-lisp/package.el (package-alist): Include obsolete packages.
22309         (package-obsolete-list): Remove.
22310         (package-activate): Remove min-version argument.  Add `force' argument.
22311         Adjust to new package-alist format.
22312         (package-mark-obsolete): Remove.
22313         (package-unpack): Force reload of the package's autoloads.
22314         (package-installed-p): Check builtins if the installed package is not
22315         recent enough.
22316         (package-initialize): Don't reset package-obsolete-list.
22317         Don't specify which package version to activate.
22318         (package-process-define-package, describe-package-1)
22319         (package-menu--generate): Adjust to new package-alist format.
22321 2013-06-21  Juanma Barranquero  <lekktu@gmail.com>
22323         * allout-widgets.el (allout-widgets-mode-off)
22324         (allout-widgets-mode-on, allout-widgets-pre-command-business)
22325         (allout-widgets-post-command-business)
22326         (allout-widgets-after-copy-or-kill-function)
22327         (allout-widgets-after-undo-function, allout-test-range-overlaps)
22328         (allout-decorate-item-and-context)
22329         (allout-graphics-modification-handler): Fix typos in docstrings.
22330         (allout-get-or-create-parent-widget): Use `looking-at-p'.
22332         * cmuscheme.el (scheme-start-file): Doc fix.
22333         (inferior-scheme-mode, switch-to-scheme): Fix typos in docstrings.
22334         (scheme-input-filter): Use `string-match-p'.
22336         * composite.el (compose-gstring-for-terminal): Fix typo in docstring.
22338         * dired-x.el: Use Dired consistently in docstrings.
22340         * dired.el: Use Dired consistently in docstrings.
22341         (dired-readin, dired-mode): Use `setq-local'.
22342         (dired-switches-alist): Make defvar-local.
22343         (dired-buffers-for-dir): Use `zerop'.
22344         (dired-safe-switches-p, dired-switches-escape-p)
22345         (dired-insert-old-subdirs, dired-move-to-end-of-filename)
22346         (dired-glob-regexp, dired-in-this-tree, dired-goto-file-1)
22347         (dired-sort-set-mode-line, dired-sort-toggle, dired-sort-R-check)
22348         (dired-goto-next-nontrivial-file): Use `string-match-p'.
22349         (dired-align-file, dired-insert-directory, dired-mark-files-in-region)
22350         (dired-toggle-marks, dired-mark-files-containing-regexp)
22351         (dired-mark-symlinks, dired-mark-directories, dired-mark-executables)
22352         (dired-flag-auto-save-files, dired-flag-backup-files):
22353         Use `looking-at-p'.
22354         (dired-mark-files-regexp, dired-build-subdir-alist):
22355         Use `string-match-p', `looking-at-p'.
22357         * dos-w32.el (untranslated-canonical-name, untranslated-file-p)
22358         (direct-print-region-helper): Use `string-match-p'.
22360 2013-06-21  Leo Liu  <sdl.web@gmail.com>
22362         * comint.el (comint-redirect-results-list-from-process):
22363         Fix infinite loop.
22365 2013-06-21  Lars Magne Ingebrigtsen  <larsi@gnus.org>
22367         * net/eww.el (eww-update-header-line-format): Quote % characters.
22369 2013-06-21  Glenn Morris  <rgm@gnu.org>
22371         * play/cookie1.el (cookie): New custom group.
22372         (cookie-file): New option.
22373         (cookie-check-file): New function.
22374         (cookie): Make it interactive.  Make start and end messages optional.
22375         Interactively, display the result.  Default to cookie-file.
22376         (cookie-insert): Default to cookie-file.
22377         (cookie-snarf): Make start and end messages optional.
22378         Default to cookie-file.  Use with-temp-buffer.
22379         (cookie-read): Rename from read-cookie.
22380         Make start and end messages optional.  Default to cookie-file.
22381         (cookie-shuffle-vector): Rename from shuffle-vector.  Use dotimes.
22382         Do not autoload it.
22383         (cookie-apropos, cookie-doctor): New functions, copied from yow.el
22384         * obsolete/yow.el (read-zippyism): Use new name for read-cookie.
22386 2013-06-21  Leo Liu  <sdl.web@gmail.com>
22388         * progmodes/octave.el (octave-mode): Backward compatibility fix.
22390 2013-06-21  Glenn Morris  <rgm@gnu.org>
22392         * font-lock.el (lisp-font-lock-keywords-2): Add with-eval-after-load.
22394 2013-06-21  Stefan Monnier  <monnier@iro.umontreal.ca>
22395             Daniel Hackney  <dan@haxney.org>
22397         * emacs-lisp/package.el: Use tar-mode rather than tar executable.
22398         Consolidate the single-file vs tarball code.
22399         (package-desc-suffix): New function.
22400         (package-desc-full-name): Don't bother inlining it.
22401         (package-load-descriptor): Return the new package-desc.
22402         (package-mark-obsolete): Remove unused arg `package'.
22403         (package-unpack): Make it work for single files as well.
22404         Make it update package-alist.
22405         (package--make-autoloads-and-stuff): Rename from
22406         package--make-autoloads-and-compile.  Don't compile any more.
22407         (package--compile): New function.
22408         (package-generate-description-file): New function, extracted from
22409         package-unpack-single.
22410         (package-unpack-single): Remove.
22411         (package--with-work-buffer): Add indentation and debugging info.
22412         (package-download-single): Remove.
22413         (package-install-from-archive): Rename from package-download-tar, make
22414         it take a pkg-desc, and make it work for single files as well.
22415         (package-download-transaction): Simplify.
22416         (package-tar-file-info): Remove `file' arg.  Rewrite not to use an
22417         external tar program.
22418         (package-install-from-buffer): Remove `pkg-desc' argument.
22419         Use package-tar-file-info for tar-mode buffers.
22420         (package-install-file): Simplify accordingly.
22421         (package-archive-base): Change to take a pkg-desc.
22422         * tar-mode.el (tar--check-descriptor): New function, extracted from
22423         tar-get-descriptor.
22424         (tar-get-descriptor): Use it.
22425         (tar-get-file-descriptor): New function.
22426         (tar--extract): New function, extracted from tar-extract.
22427         (tar--extract): Use it.
22428         * emacs-lisp/package-x.el (package-upload-file): Decode the file, in
22429         case the summary uses non-ascii.  Adjust to new calling convention of
22430         package-tar-file-info.
22432 2013-06-21  Leo Liu  <sdl.web@gmail.com>
22434         * comint.el (comint-redirect-results-list-from-process):
22435         Fix random delay.  (Bug#14681)
22437 2013-06-21  Juanma Barranquero  <lekktu@gmail.com>
22439         * profiler.el (profiler-format-number): Use log, not log10.
22441 2013-06-20  Juanma Barranquero  <lekktu@gmail.com>
22443         * term/x-win.el (emacs-session-filename): Use `locate-user-emacs-file'.
22445 2013-06-20  Stefan Monnier  <monnier@iro.umontreal.ca>
22447         * emacs-lisp/cl-loaddefs.el: Don't version-control any more.
22448         * emacs-lisp/cl-lib.el: Load cl-macs when cl-loaddefs is not
22449         yet available.
22450         * Makefile.in (AUTOGEN_VCS): Move cl-loaddefs.el...
22451         (AUTOGENEL): ... here.
22452         * emacs-lisp/cl-macs.el (cl--sublis): New function.
22453         (cl--defsubst-expand): Use it.
22455 2013-06-20  Paul Eggert  <eggert@cs.ucla.edu>
22457         * subr.el (log10): Move here from C code, and declare as obsolete.
22458         All uses of (log10 X) replaced with (log X 10).
22460 2013-06-20  Juanma Barranquero  <lekktu@gmail.com>
22462         * emacs-lisp/tabulated-list.el (tabulated-list-format): Fix typo.
22463         Declare with `defvar-local'.
22464         (tabulated-list-use-header-line, tabulated-list-entries)
22465         (tabulated-list-padding, tabulated-list-printer)
22466         (tabulated-list-sort-key): Declare with `defvar-local'.
22467         (tabulated-list-init-header, tabulated-list-print-fake-header):
22468         Use `setq-local'.
22470 2013-06-20  Michael Albinus  <michael.albinus@gmx.de>
22472         * arc-mode.el (archive-mode): Add `archive-write-file' to
22473         `write-contents-functions' also for remote files.  (Bug#14652)
22475 2013-06-20  Juanma Barranquero  <lekktu@gmail.com>
22477         * cus-edit.el (custom-commands): Fix typos.
22478         (custom-display): Fix tooltip text.
22479         (custom-magic-alist, custom-filter-face-spec, custom-group-members):
22480         Fix typos in docstrings.
22481         (custom--initialize-widget-variables, Custom-mode): Use `setq-local'.
22482         (custom-unlispify-menu-entry, custom-magic-value-create)
22483         (custom-add-see-also, custom-group-value-create): Use ?\s.
22484         (custom-guess-type, customize-apropos, editable-field)
22485         (custom-face-value-create): Use `string-match-p'.
22486         (custom-save-variables, custom-save-faces): Use `looking-at-p'.
22488         * custom.el (custom-load-symbol): Use `string-match-p'.
22490         * ansi-color.el: Convert to lexical binding.
22491         (ansi-colors): Fix URL.
22492         (ansi-color-context, ansi-color-context-region): Use defvar-local.
22493         (ansi-color-apply-sequence, ansi-color-map): Fix typos in docstrings.
22494         (ansi-color-make-color-map): Rename local var ansi-color-map to map.
22496 2013-06-19  Lars Magne Ingebrigtsen  <larsi@gnus.org>
22498         * net/eww.el (eww-process-text-input): Display passwords as asterisks.
22500         * net/shr.el (shr-make-table-1): Protect against invalid column-spans.
22502 2013-06-19  Tom Tromey  <tromey@redhat.com>
22504         * net/eww.el (eww-top-url): Remove.
22505         (eww-home-url, eww-start-url, eww-contents-url): New defvars.
22506         (eww-render): Set new variables.  Don't set eww-top-url.
22507         (eww-handle-link): Handle "prev", "home", and "contents".
22508         Downcase the rel text.
22509         (eww-top-url): Choose best top URL.
22511 2013-06-19  Lars Magne Ingebrigtsen  <larsi@gnus.org>
22513         * net/eww.el: Rewrite to implement form elements "by hand" instead of
22514         relying in widget.el.  Using widget.el leads to too many
22515         user interface inconsistencies.
22516         (eww-self-insert): Implement entering commands in text fields.
22517         (eww-process-text-input): New function to make text input field editing
22518         work.
22519         (eww-submit): Rewrite to use the new-style form methods.
22520         (eww-select-display): Display the correct selected item.
22521         (eww-change-select): Implement changing the select value.
22522         (eww-toggle-checkbox): Implement radio/checkboxes.
22523         (eww-update-field): Fix compilation error.
22524         (eww-tag-textarea): Implement <textarea>.
22526         * net/shr.el (shr-urlify): Use `keymap' instead of `local-map' so that
22527         we don't shadow mode-specific bindings.
22529         * net/eww.el (eww-browse-url): Don't push stuff onto history if there's
22530         nothing to push.
22532         * net/shr.el (shr-map): Bind [down-mouse-1] to browse URLs.
22534 2013-06-19  Glenn Morris  <rgm@gnu.org>
22536         * emacs-lisp/eieio.el (defclass): Make it eval-and-compile once more.
22538 2013-06-19  Michael Albinus  <michael.albinus@gmx.de>
22540         * net/tramp-adb.el (tramp-adb-get-toolbox): Remove function, it is
22541         not needed.
22543         * net/tramp-sh.el (tramp-find-shell): Don't set "busybox" property.
22545 2013-06-19  Lars Magne Ingebrigtsen  <larsi@gnus.org>
22547         * net/browse-url.el (browse-url-browser-function):
22548         `eww-browse-url' has the right calling signature, `eww' does not.
22550 2013-06-19  Glenn Morris  <rgm@gnu.org>
22552         * emacs-lisp/bytecomp.el (byte-compile-file-form-autoload):
22553         Only eval autoloaded macros.
22554         (byte-compile-autoload): Only give the macro warning for macros.
22556         * progmodes/cperl-mode.el (ps-bold-faces, ps-italic-faces)
22557         (ps-underlined-faces): Declare.
22559         * progmodes/idlwave.el (func-menu): Only set it up on XEmacs.
22560         (speedbar-add-supported-extension): Declare.
22562         * international/titdic-cnv.el (tit-process-header, miscdic-convert):
22563         Don't include a date stamp in the header of the generated file;
22564         it leads to needless differences between output files.
22566 2013-06-19  Michael Albinus  <michael.albinus@gmx.de>
22568         * net/secrets.el (secrets-struct-secret-content-type):
22569         Replace check of introspection data by a test call of "CreateItem".
22570         Some servers do not offer introspection.
22572 2013-06-19  Stefan Monnier  <monnier@iro.umontreal.ca>
22574         * electric.el (electric-pair-mode): Improve interaction with
22575         electric-layout-mode.
22576         (electric-pair-default-inhibit): Don't assume (eq char (char-before)).
22577         (electric-pair-syntax): Use text-mode-syntax-table in comments
22578         and strings.
22579         (electric-pair--insert): New function.
22580         (electric-pair-post-self-insert-function): Use it and
22581         electric--after-char-pos.
22583 2013-06-19  Leo Liu  <sdl.web@gmail.com>
22585         * progmodes/octave.el (octave-help): Fix regexp.
22587 2013-06-18  Lars Magne Ingebrigtsen  <larsi@gnus.org>
22589         * net/shr.el (shr-make-table-1): Implement <td rowspan>.
22590         (shr-table-horizontal-line): Allow nil as a value, and change the
22591         default.
22592         (shr-insert-table-ruler): Respect the nil value.
22594 2013-06-18  Tom Tromey  <tromey@barimba>
22596         * net/eww.el (eww-next-url, eww-previous-url, eww-up-url, eww-top-url):
22597         New defvars.
22598         (eww-open-file): New defun.
22599         (eww-render): Initialize new variables.
22600         (eww-display-html): Handle "link" and "a".
22601         (eww-handle-link, eww-tag-link, eww-tag-a): New defuns.
22602         (eww-mode-map): Move "p" to "l".  Bind "p", "n", "t", and "u".
22603         (eww-back-url): Rename from eww-previous-url.
22604         (eww-next-url, eww-previous-url, eww-up-url, eww-top-url):
22605         New defuns.
22607 2013-06-18  Dmitry Gutov  <dgutov@yandex.ru>
22609         * progmodes/ruby-mode.el (ruby-syntax-before-regexp-re):
22610         Distinguish ternary operator tokens from slash symbol and slash
22611         char literal.
22613 2013-06-18  Juanma Barranquero  <lekktu@gmail.com>
22615         Convert symbol prettification into minor mode and global minor mode.
22617         * progmodes/prog-mode.el (prettify-symbols-alist): Rename from
22618         `prog-prettify-symbols', and make a local defvar instead of defcustom.
22619         (prettify-symbols--keywords): Rename from
22620         `prog-prettify-symbols-alist' and make a local defvar.
22621         (prettify-symbols--compose-symbol): Rename from
22622         `prog--prettify-font-lock-compose-symbol'.
22623         (prettify-symbols--make-keywords): Rename from
22624         `prog-prettify-font-lock-symbols-keywords' and simplify.
22625         (prog-prettify-install): Remove.
22626         (prettify-symbols-mode): New minor mode, based on
22627         `prog-prettify-install'.
22628         (turn-on-prettify-symbols-mode): New function.
22629         (global-prettify-symbols-mode): New globalized minor mode.
22631         * emacs-lisp/lisp-mode.el (lisp-mode-variables):
22632         * progmodes/cfengine.el (cfengine3-mode):
22633         * progmodes/perl-mode.el (perl-mode): Don't call
22634         `prog-prettify-install'; set `prettify-symbols-alist' instead.
22636 2013-06-18  Juri Linkov  <juri@jurta.org>
22638         * files-x.el (modify-file-local-variable-message): New function.
22639         (modify-file-local-variable)
22640         (modify-file-local-variable-prop-line): Add arg INTERACTIVE
22641         and call `modify-file-local-variable-message' when it's non-nil.
22642         (add-file-local-variable, delete-file-local-variable)
22643         (add-file-local-variable-prop-line)
22644         (delete-file-local-variable-prop-line): Add arg INTERACTIVE
22645         and use it.  (Bug#9820)
22647 2013-06-18  Juri Linkov  <juri@jurta.org>
22649         * emulation/vi.el (vi-shell-op):
22650         * emulation/vip.el (vip-execute-com, ex-command):
22651         * emulation/viper-cmd.el (viper-exec-bang):
22652         * emulation/viper-ex.el (ex-command): Add non-nil arg REPLACE to
22653         the call of `shell-command-on-region'.  (Bug#14637)
22655         * simple.el (shell-command-on-region): Doc fix.
22657 2013-06-18  Stefan Monnier  <monnier@iro.umontreal.ca>
22659         * emacs-lisp/eieio-custom.el: Remove misleading Version: header
22660         (bug#14633).
22662 2013-06-18  Glenn Morris  <rgm@gnu.org>
22664         * net/eww.el, net/shr.el, net/shr-color.el: Move here from gnus/.
22666         * newcomment.el (comment-search-forward, comment-search-backward):
22667         Doc fix.  (Bug#14376)
22669 2013-06-18  Juanma Barranquero  <lekktu@gmail.com>
22671         * face-remap.el (buffer-face-toggle): Fix typo in docstring.
22672         (buffer-face-mode-invoke): Doc fix.
22674 2013-06-18  Matthias Meulien  <orontee@gmail.com>
22676         * tabify.el (untabify, tabify): With prefix, apply to entire buffer.
22677         <http://lists.gnu.org/archive/html/emacs-devel/2013-03/msg00545.html>
22679 2013-06-18  Glenn Morris  <rgm@gnu.org>
22681         * generic-x.el (bat-generic-mode, rc-generic-mode, rul-generic-mode):
22682         Replace obsolete function generic-make-keywords with its expansion.
22684         * progmodes/python.el (ffap-alist): Declare.
22686         * textmodes/reftex.el (bibtex-mode-map): Declare.
22688 2013-06-18  Stefan Monnier  <monnier@iro.umontreal.ca>
22690         * emacs-lisp/package.el: Update package-alist after install (bug#14632).
22691         (package-unpack, package-unpack-single): Return the pkg-dir.
22692         (package-download-transaction): Use it to update package-alist.
22694 2013-06-17  Lars Magne Ingebrigtsen  <larsi@gnus.org>
22696         * net/browse-url.el (browse-url-browser-function): Add `eww' as a
22697         possible choice.
22699 2013-06-17  Juri Linkov  <juri@jurta.org>
22701         * net/webjump.el (webjump-sample-sites): Add DuckDuckGo.
22703 2013-06-17  Dmitry Gutov  <dgutov@yandex.ru>
22705         * emacs-lisp/package.el (package-load-descriptor):
22706         Remove `with-syntax-table' call, `read' doesn't need it.
22707         http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00539.html
22709 2013-06-17  Juanma Barranquero  <lekktu@gmail.com>
22711         * startup.el (command-line): Expand package name returned by
22712         `package--description-file' (bug#14639).
22714 2013-06-17  Dmitry Gutov  <dgutov@yandex.ru>
22716         * emacs-lisp/package.el (package-load-descriptor): Do not call
22717         `emacs-lisp-mode', just use its syntax table.
22719 2013-06-17  Juanma Barranquero  <lekktu@gmail.com>
22721         * progmodes/prog-mode.el (prog-prettify-install): Add `composition' to
22722         `font-lock-extra-managed-props' if any prettifying keyword is added.
22723         (prog--prettify-font-lock-compose-symbol): Use ?\s instead of ?\ .
22724         (prog-mode): Use `setq-local'.
22726 2013-06-17  Stefan Monnier  <monnier@iro.umontreal.ca>
22728         * international/characters.el (standard-case-table): Set syntax of ?»
22729         and ?« to punctuation.
22731 2013-06-16  Juanma Barranquero  <lekktu@gmail.com>
22733         * progmodes/prog-mode.el (prog--prettify-font-lock-compose-symbol):
22734         Save relevant match data before calling `syntax-ppss' (bug#14595).
22736 2013-06-15  Juri Linkov  <juri@jurta.org>
22738         * files-x.el (modify-file-local-variable-prop-line): Add local
22739         variables to the end of the existing comment on the first line.
22740         Use `file-auto-mode-skip' to skip interpreter magic line,
22741         and also skip XML declaration.
22743 2013-06-15  Stefan Monnier  <monnier@iro.umontreal.ca>
22745         * startup.el (package--builtin-versions): New var.
22746         (package-subdirectory-regexp): Remove.
22747         (package--description-file): Hard code its value instead.
22749         * emacs-lisp/package.el: Don't activate packages older than builtin.
22750         (package-obsolete-list): Rename from package-obsolete-alist, and make
22751         it into a simple list of package-desc.
22752         (package-strip-version): Remove.
22753         (package-built-in-p): Use package--builtin-versions.
22754         (package-mark-obsolete): Simplify.
22755         (package-process-define-package): Mark it obsolete if older than the
22756         builtin version.
22757         (package-handle-response): Use line-end-position.
22758         (package-read-archive-contents, package--download-one-archive):
22759         Simplify.
22760         (package--add-to-archive-contents): Skip if older than the builtin or
22761         installed version.
22762         (package-menu-describe-package): Fix last change.
22763         (package-list-unversioned): New var.
22764         (package-menu--generate): Use it.
22766         * emacs-lisp/autoload.el: Manage package--builtin-versions.
22767         (autoload--insert-text, autoload--insert-cookie-text): New functions.
22768         (autoload-builtin-package-versions): New variable.
22769         (autoload-generate-file-autoloads): Use them.
22770         Remove the list of autoloaded functions/macros from the
22771         (autoload...) comments.
22773         * Makefile.in (autoloads): Set autoload-builtin-package-versions.
22775 2013-06-15  Eli Zaretskii  <eliz@gnu.org>
22777         * simple.el (line-move-partial): Don't jump to the next screen
22778         line as soon as it becomes visible.  Instead, continue enlarging
22779         the vscroll until the portion of a tall screen line that's left on
22780         display is about the height of the frame's default font.
22781         (Bug#14567)
22783 2013-06-15  Glenn Morris  <rgm@gnu.org>
22785         * vc/vc-dispatcher.el (vc-compilation-mode): Avoid making
22786         compilation-error-regexp-alist void, or local while let-bound.
22788         * progmodes/make-mode.el (makefile-mode-syntax-table):
22789         Treat "=" as punctuation.  (Bug#14614)
22791 2013-06-15  Juanma Barranquero  <lekktu@gmail.com>
22793         * help-fns.el (describe-variable):
22794         Add extra line for permanent-local variables.
22796 2013-06-15  Simen Heggestøyl  <simenheg@ifi.uio.no>  (tiny change)
22798         * progmodes/scheme.el (scheme-font-lock-keywords-2):
22799         Add export, import, library.  (Bug#9164)
22800         (library): Set indent function.
22802 2013-06-14  Glenn Morris  <rgm@gnu.org>
22804         * term/xterm.el (xterm--query):
22805         Stop after first matching handler.  (Bug#14615)
22807 2013-06-14  Ivan Kanis  <ivan@kanis.fr>
22809         Add support for dired in saveplace.
22810         * dired.el (dired-initial-position-hook): New variable.
22811         (dired-initial-position): Call hook to place cursor position.
22812         * saveplace.el (save-place-to-alist): Add dired position.
22813         (save-place-dired-hook): New function.
22815 2013-06-14  Stefan Monnier  <monnier@iro.umontreal.ca>
22817         * subr.el (eval-after-load, set-temporary-overlay-map): Use indirection
22818         through a symbol rather than letrec.
22820         * emacs-lisp/package.el: Don't recompute dir.  Use pkg-descs more.
22821         (package-desc): Add `dir' field.
22822         (package-desc-full-name): New function.
22823         (package-load-descriptor): Combine the two arguments.  Don't use `load'.
22824         (package-maybe-load-descriptor): Remove.
22825         (package-load-all-descriptors): Just call package-load-descriptor.
22826         (package--disabled-p): New function.
22827         (package-desc-vers, package-desc-doc): Remove aliases.
22828         (package--dir): Remove function.
22829         (package-activate): Check if a package is disabled.
22830         (package-process-define-package): New function, extracted from
22831         define-package.
22832         (define-package): Turn into a place holder.
22833         (package-unpack-single, package-tar-file-info):
22834         Use package--description-file.
22835         (package-compute-transaction): Use package--disabled-p.
22836         (package-download-transaction): Don't call
22837         package-maybe-load-descriptor since they're all loaded anyway.
22838         (package-install): Change argument to be a pkg-desc.
22839         (package-delete): Use a single pkg-desc argument.
22840         (describe-package-1): Use package-desc-dir instead of package--dir.
22841         Use package-desc property instead of package-symbol.
22842         (package-install-button-action): Adjust accordingly.
22843         (package--push): Rewrite.
22844         (package-menu--print-info): Adjust accordingly.  Change the ID format
22845         to be a pkg-desc.
22846         (package-menu-describe-package, package-menu-get-status)
22847         (package-menu--find-upgrades, package-menu-mark-upgrades)
22848         (package-menu-execute, package-menu--name-predicate):
22849         Adjust accordingly.
22850         * startup.el (package--description-file): New function.
22851         (command-line): Use it.
22852         * emacs-lisp/package-x.el (package-upload-buffer-internal):
22853         Use package-desc-version.
22855         * emacs-lisp/bytecomp.el (byte-compile-force-lexical-warnings): New var.
22856         (byte-compile-preprocess): Use it.
22857         (byte-compile-file-form-defalias): Try a bit harder to use macros we
22858         can't quite recognize.
22859         (byte-compile-add-to-list): Remove.
22860         * emacs-lisp/cconv.el (cconv-warnings-only): New function.
22861         (cconv-closure-convert): Add assertion.
22863         * emacs-lisp/map-ynp.el: Use lexical-binding.
22864         (map-y-or-n-p): Remove unused vars `tail' and `object'.
22865         Factor out some repeated code.
22867 2013-06-13  Stefan Monnier  <monnier@iro.umontreal.ca>
22869         * subr.el (with-eval-after-load): New macro.
22870         (eval-after-load): Allow form to be a function.
22871         take advantage of lexical-binding.
22872         (do-after-load-evaluation): Use dolist and adjust to new format.
22873         * simple.el (bad-packages-alist): Use dolist and with-eval-after-load.
22875 2013-06-13  Juri Linkov  <juri@jurta.org>
22877         * replace.el (perform-replace): Display "symbol " and other search
22878         modes from `isearch-message-prefix' in the *Help* buffer.
22880         * isearch.el (isearch-query-replace): Add " symbol" and other
22881         possible search modes from `isearch-message-prefix' to the prompt.
22882         (isearch-occur): Use `with-isearch-suspended' to not exit Isearch
22883         when reading a regexp to collect.
22885 2013-06-13  Juri Linkov  <juri@jurta.org>
22887         * isearch.el (word-search-regexp): Match whitespace if the search
22888         string begins or ends in whitespace.  The LAX arg is applied to
22889         both ends of the search string.  Use `regexp-quote' and explicit
22890         \< and \> instead of \b.  Use \` and \' instead of ^ and $.
22891         (isearch-symbol-regexp): Sync with `word-search-regexp' where word
22892         boundaries are replaced with symbol boundaries, and characters
22893         between symbols match non-word non-symbol syntax.  (Bug#14602)
22895 2013-06-13  Juri Linkov  <juri@jurta.org>
22897         * isearch.el (isearch-del-char): Don't exceed the length of
22898         `isearch-string' by the prefix arg.  (Bug#14563)
22900 2013-06-13  Juri Linkov  <juri@jurta.org>
22902         * isearch.el (isearch-yank-word, isearch-yank-line)
22903         (isearch-char-by-name, isearch-quote-char)
22904         (isearch-printing-char, isearch-process-search-char):
22905         Add optional count prefix arg.  (Bug#14563)
22907         * international/isearch-x.el
22908         (isearch-process-search-multibyte-characters):
22909         Add optional count prefix arg.
22911 2013-06-13  Stefan Monnier  <monnier@iro.umontreal.ca>
22913         * subr.el (internal-push-keymap, internal-pop-keymap): New functions.
22914         (set-temporary-overlay-map): Use them (bug#14095); and take advantage of
22915         lexical-binding.
22917 2013-06-13  Vitalie Spinu  <spinuvit@gmail.com>
22919         * subr.el (set-temporary-overlay-map): Add on-exit argument.
22921 2013-06-13  Glenn Morris  <rgm@gnu.org>
22923         * startup.el (tty-handle-args):
22924         Don't just discard "--" and anything after.  (Bug#14608)
22926         * emacs-lisp/lisp.el (forward-sexp, backward-sexp): Doc fixes.
22928 2013-06-13  Michael Albinus  <michael.albinus@gmx.de>
22930         Implement changes in Secret Service API.  Make it backward compatible.
22931         * net/secrets.el (secrets-struct-secret-content-type): New defonst.
22932         (secrets-create-item): Use it.  Prefix properties with interface.
22934 2013-06-13  Michael Hoffman  <9qobl2n02@sneakemail.com>  (tiny change)
22936         * term.el (term-suppress-hard-newline): New option.  (Bug#12017)
22937         (term-emulate-terminal): Respect term-suppress-hard-newline.
22939 2013-06-13  E Sabof  <esabof@gmail.com>  (tiny change)
22941         * image-dired.el (image-dired-dired-toggle-marked-thumbs):
22942         Only remove a `thumb-file' overlay.  (Bug#14548)
22944 2013-06-12  Grégoire Jadi  <daimrod@gmail.com>
22946         * mail/reporter.el (reporter-submit-bug-report):
22947         Handle missing package-name.  (Bug#14600)
22949 2013-06-12  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
22951         * textmodes/reftex-cite.el (reftex-cite-regexp-hist)
22952         (reftex-citation-prompt, reftex-default-bibliography)
22953         (reftex-bib-or-thebib, reftex-get-bibfile-list)
22954         (reftex-pop-to-bibtex-entry, reftex-extract-bib-entries)
22955         (reftex-bib-sort-author, reftex-bib-sort-year)
22956         (reftex-bib-sort-year-reverse, reftex-get-crossref-alist)
22957         (reftex-extract-bib-entries-from-thebibliography)
22958         (reftex-get-bibkey-default, reftex-get-bib-names)
22959         (reftex-parse-bibtex-entry, reftex-get-bib-field)
22960         (reftex-format-bib-entry, reftex-parse-bibitem)
22961         (reftex-format-bibitem, reftex-do-citation)
22962         (reftex-figure-out-cite-format, reftex-offer-bib-menu)
22963         (reftex-restrict-bib-matches, reftex-extract-bib-file)
22964         (reftex-insert-bib-matches, reftex-format-citation)
22965         (reftex-make-cite-echo-string, reftex-bibtex-selection-callback)
22966         (reftex-create-bibtex-file): Add docstrings, mostly by converting
22967         existing comments into docstrings.
22969 2013-06-12  Xue Fuqiao  <xfq.free@gmail.com>
22971         * ibuf-ext.el (ibuffer-mark-help-buffers): Doc fix.
22973 2013-06-12  Andreas Schwab  <schwab@suse.de>
22975         * international/mule.el (auto-coding-alist): Use utf-8-emacs-unix
22976         for auto-save files.
22978 2013-06-12  Glenn Morris  <rgm@gnu.org>
22980         * ido.el (ido-delete-ignored-files): Remove.
22981         (ido-wide-find-dirs-or-files, ido-make-file-list-1):
22982         Go back to calling ido-ignore-item-p directly.
22984 2013-06-12  Eyal Lotem  <eyal.lotem@gmail.com>  (tiny change)
22986         * ido.el (ido-wide-find-dirs-or-files): Respect ido-case-fold.
22988         * ido.el (ido-delete-ignored-files): New function,
22989         split from ido-make-file-list-1.
22990         (ido-wide-find-dirs-or-files): Maybe ignore files.  (Bug#13003)
22991         (ido-make-file-list-1): Use ido-delete-ignored-files.
22993 2013-06-12  Leo Liu  <sdl.web@gmail.com>
22995         * progmodes/octave.el (inferior-octave-startup)
22996         (inferior-octave-completion-table)
22997         (inferior-octave-track-window-width-change)
22998         (octave-eldoc-function-signatures, octave-help)
22999         (octave-find-definition): Use single quoted strings.
23000         (inferior-octave-startup-args): Change default value.
23001         (inferior-octave-startup): Do not hard code "-i" and
23002         "--no-line-editing".
23003         (inferior-octave-resync-dirs): Add optional arg NOERROR.
23004         (inferior-octave-directory-tracker): Use it.
23005         (octave-goto-function-definition): Robustify.
23006         (octave-help): Support highlighting operators in 'See also'.
23007         (octave-find-definition): Find subfunctions only in Octave mode.
23009 2013-06-12  Stefan Monnier  <monnier@iro.umontreal.ca>
23011         * help-fns.el (help-fns--compiler-macro): If the handler function is
23012         named, then put a link to it.
23013         * help-mode.el (help-function-cmacro): Adjust regexp for cl-lib names.
23014         * emacs-lisp/cl-macs.el (cl--compiler-macro-typep): New function.
23015         (cl-typep): Use it.
23016         (cl-eval-when): Simplify debug spec.
23017         (cl-define-compiler-macro): Use eval-and-compile.  Give a name to the
23018         compiler-macro function instead of setting `compiler-macro-file'.
23020 2013-06-12  Xue Fuqiao  <xfq.free@gmail.com>
23022         * vc/vc-cvs.el (vc-cvs-stay-local): Doc fix.
23023         * vc/vc-hooks.el (vc-stay-local): Doc fix.
23025 2013-06-12  Stefan Monnier  <monnier@iro.umontreal.ca>
23026             Daniel Hackney  <dan@haxney.org>
23028         First part of Daniel Hackney's patch to package.el.
23029         * emacs-lisp/package.el: Use defstruct.
23030         (package-desc): New, main struct.
23031         (package--bi-desc, package--ac-desc): New structs, used to describe the
23032         format in external files.
23033         (package-desc-vers): Replace with package-desc-version accessor.
23034         (package-desc-doc): Replace with package-desc-summary accessor.
23035         (package-activate-1): Remove `package' arg since the pkg-vec now
23036         includes the name.
23037         (define-package): Use package-desc-from-define.
23038         (package-unpack-single): Change file-name arg to be a symbol.
23039         (package--add-to-archive-contents): Use package-desc-create and new
23040         accessor functions to package--ac-desc.
23041         (package-buffer-info, package-tar-file-info): Return a package-desc.
23042         (package-install-from-buffer): Remove `type' argument.  Change pkg-info
23043         arg to be a package-desc.
23044         (package-install-file): Adjust accordingly.  Use \' to match EOS.
23045         (package--from-builtin): New function.
23046         (describe-package-1, package-menu--generate): Use it.
23047         (package--make-autoloads-and-compile): Change name arg to be a symbol.
23048         (package-generate-autoloads): Idem and return the name of the file.
23049         * emacs-lisp/package-x.el (package-upload-buffer-internal):
23050         Change pkg-info arg to be a package-desc.
23051         Use package-make-ac-desc.
23052         (package-upload-file): Use \' to match EOS.
23053         * finder.el (finder-compile-keywords): Use package-make-builtin.
23055 2013-06-11  Stefan Monnier  <monnier@iro.umontreal.ca>
23057         * vc/vc.el (vc-deduce-fileset): Change error message.
23058         (vc-read-backend): New function.
23059         (vc-next-action): Use it.
23061         * subr.el (function-arity): Remove (mistakenly added) (bug#14590).
23063         * progmodes/prolog.el (prolog-make-keywords-regexp): Remove.
23064         (prolog-font-lock-keywords): Use regexp-opt instead.
23065         Don't manually highlight strings.
23066         (prolog-mode-variables): Simplify comment-start-skip.
23067         (prolog-consult-compile): Use display-buffer.  Remove unused old-filter.
23069         * emacs-lisp/generic.el (generic--normalise-comments)
23070         (generic-set-comment-syntax, generic-set-comment-vars): New functions.
23071         (generic-mode-set-comments): Use them.
23072         (generic-bracket-support): Use setq-local.
23073         (generic-make-keywords-list): Declare obsolete.
23075 2013-06-11  Glenn Morris  <rgm@gnu.org>
23077         * emacs-lisp/lisp-mode.el (lisp-mode-variables):
23078         Prettify after setting font-lock-defaults.  (Bug#14574)
23080 2013-06-11  Juanma Barranquero  <lekktu@gmail.com>
23082         * replace.el (query-replace, occur-read-regexp-defaults-function)
23083         (replace-search):
23084         * subr.el (declare-function, number-sequence, local-set-key)
23085         (substitute-key-definition, locate-user-emacs-file)
23086         (with-silent-modifications, split-string, eval-after-load):
23087         Fix typos, remove unneeded backslashes and reflow some docstrings.
23089 2013-06-11  Stefan Monnier  <monnier@iro.umontreal.ca>
23091         * international/mule-conf.el (file-coding-system-alist): Use utf-8 as
23092         default for Elisp files.
23094 2013-06-11  Glenn Morris  <rgm@gnu.org>
23096         * vc/log-view.el (log-view-mode-map): Inherit from special-mode-map,
23097         although define-derived-mode was doing this anyway.  (Bug#14583)
23099 2013-06-10  Juanma Barranquero  <lekktu@gmail.com>
23101         * allout.el (allout-encryption-plaintext-sanitization-regexps):
23102         Fix make-variable-buffer-local call to refer to the correct variable.
23104 2013-06-10  Aidan Gauland  <aidalgol@amuri.net>
23106         * eshell/em-term.el (eshell-visual-commands)
23107         (eshell-visual-subcommands, eshell-visual-options):
23108         Add summary line to docstrings.  Add cross-references.
23110 2013-06-10  Glenn Morris  <rgm@gnu.org>
23112         * epa.el (epa-read-file-name): New function.  (Bug#14510)
23113         (epa-decrypt-file): Make plain-file optional.  Use epa-read-file-name.
23115 2013-06-09  Aidan Gauland  <aidalgol@amuri.net>
23117         * eshell/em-term.el (eshell-visual-command-p): Fix bug that caused
23118         output redirection to be ignored with visual commands.
23120 2013-06-09  Aidan Gauland  <aidalgol@amuri.net>
23122         * eshell/em-term.el (eshell-visual-command-p): New function.
23123         (eshell-term-initialize): Move long lambda to separate function
23124         eshell-visual-command-p.
23125         * eshell/em-dirs.el (eshell-dirs-initialize):
23126         * eshell/em-script.el (eshell-script-initialize):
23127         Add missing #' to lambda.
23129 2013-06-08  Leo Liu  <sdl.web@gmail.com>
23131         * progmodes/octave.el (octave-add-log-current-defun): New function.
23132         (octave-mode): Set add-log-current-defun-function.
23133         (octave-goto-function-definition): Do not move point if not found.
23134         (octave-find-definition): Enhance to try subfunctions first.
23136 2013-06-08  Glenn Morris  <rgm@gnu.org>
23138         * emacs-lisp/bytecomp.el (byte-compile-char-before)
23139         (byte-compile-backward-char, byte-compile-backward-word):
23140         Improve previous change, to handle non-explicit nil.
23142 2013-06-07  Stefan Monnier  <monnier@iro.umontreal.ca>
23144         * emacs-lisp/smie.el: Improve show-paren-mode behavior.
23145         (smie--opener/closer-at-point): New function.
23146         (smie--matching-block-data): Use it.  Don't match from right after an
23147         opener or right before a closer.  Obey smie-blink-matching-inners.
23148         Don't signal a mismatch for repeated inners like "switch..case..case".
23150 2013-06-07  Leo Liu  <sdl.web@gmail.com>
23152         * progmodes/octave.el (octave-mode): Set comment-use-global-state
23153         to t.  (Bug#14303)
23154         (octave-function-header-regexp): Fix.  (Bug#14570)
23155         (octave-help-mode-finish-hook, octave-help-mode-finish):
23156         Remove.  Just use temp-buffer-show-hook.
23158         * newcomment.el (comment-search-backward): Revert last change.
23159         (Bug#14434)
23161         * emacs-lisp/smie.el (smie--matching-block-data): Minor simplification.
23163 2013-06-07  Eli Zaretskii  <eliz@gnu.org>
23165         * Makefile.in (TAGS TAGS-LISP): Pass the (long) list of *.el files
23166         through xargs, to avoid failure due to MS-Windows limitations on
23167         command-line length.
23169 2013-06-06  Glenn Morris  <rgm@gnu.org>
23171         * font-lock.el (lisp-font-lock-keywords-2):
23172         Treat user-error like error.
23174         * emacs-lisp/bytecomp.el (byte-compile-char-before)
23175         (byte-compile-backward-char, byte-compile-backward-word):
23176         Handle explicit nil arguments.  (Bug#14565)
23178 2013-06-05  Alan Mackenzie  <acm@muc.de>
23180         * isearch.el (isearch-allow-prefix): New user option.
23181         (isearch-other-meta-char): Don't exit isearch when a prefix
23182         argument is typed whilst `isearch-allow-prefix' is non-nil.
23183         (Bug#9706)
23185 2013-06-05  Stefan Monnier  <monnier@iro.umontreal.ca>
23187         * autorevert.el (auto-revert-notify-handler): Use memq.
23188         Hide assertion failure.
23190         * skeleton.el: Use cl-lib.
23191         (skeleton-further-elements): Use defvar-local.
23192         (skeleton-insert): Use cl-progv.
23194 2013-06-05  Teodor Zlatanov  <tzz@lifelogs.com>
23196         * progmodes/prog-mode.el (prog-prettify-symbols)
23197         (prog-prettify-install): Update docstrings.
23199 2013-06-05  Stefan Monnier  <monnier@iro.umontreal.ca>
23201         * simple.el: Move all the prog-mode code to prog-mode.el.
23202         * progmodes/prog-mode.el: New file.
23203         * loadup.el: Add prog-mode.el.
23205 2013-06-05  Teodor Zlatanov  <tzz@lifelogs.com>
23207         * simple.el (prog-prettify-symbols): Add version.
23208         (prog-prettify-install): Add convenience function to prettify symbols.
23210         * progmodes/perl-mode.el (perl--augmented-font-lock-keywords)
23211         (perl--augmented-font-lock-keywords-1)
23212         (perl--augmented-font-lock-keywords-2, perl-mode): Remove unneeded
23213         variables and use it.
23215         * progmodes/cfengine.el (cfengine3--augmented-font-lock-keywords)
23216         (cfengine3-mode): Remove unneeded variable and use it.
23218         * emacs-lisp/lisp-mode.el (lisp--augmented-font-lock-keywords)
23219         (lisp--augmented-font-lock-keywords-1)
23220         (lisp--augmented-font-lock-keywords-2, lisp-mode-variables):
23221         Remove unneeded variables and use it.
23223 2013-06-05  João Távora  <joaotavora@gmail.com>
23225         * net/tls.el (open-tls-stream): Remove unneeded buffer contents up
23226         to point when opening the connection.  (Bug#14380)
23228 2013-06-05  Stefan Monnier  <monnier@iro.umontreal.ca>
23230         * subr.el (load-history-regexp, load-history-filename-element)
23231         (eval-after-load, after-load-functions, do-after-load-evaluation)
23232         (eval-next-after-load, display-delayed-warnings)
23233         (collapse-delayed-warnings, delayed-warnings-hook): Move after the
23234         definition of save-match-data.
23235         (overriding-local-map): Remove accidental obsolescence declaration.
23237         * emacs-lisp/edebug.el (edebug-result): Move before first use.
23239 2013-06-05  Teodor Zlatanov  <tzz@lifelogs.com>
23241         Generalize symbol prettify support to prog-mode and implement it
23242         for perl-mode, cfengine3-mode, and emacs-lisp-mode.
23243         * simple.el (prog-prettify-symbols-alist, prog-prettify-symbols)
23244         (prog--prettify-font-lock-compose-symbol)
23245         (prog-prettify-font-lock-symbols-keywords): New variables and
23246         functions to support symbol prettification.
23247         * emacs-lisp/lisp-mode.el (lisp--augmented-font-lock-keywords)
23248         (lisp--augmented-font-lock-keywords-1)
23249         (lisp--augmented-font-lock-keywords-2, lisp-mode-variables)
23250         (lisp--prettify-symbols-alist): Implement prettify of lambda.
23251         * progmodes/cfengine.el (cfengine3--augmented-font-lock-keywords)
23252         (cfengine3--prettify-symbols-alist, cfengine3-mode):
23253         Implement prettify of -> => :: strings.
23254         * progmodes/perl-mode.el (perl-prettify-symbols)
23255         (perl--font-lock-compose-symbol)
23256         (perl--font-lock-symbols-keywords): Move to prog-mode.
23257         (perl--prettify-symbols-alist): Prettify -> => :: strings.
23258         (perl-font-lock-keywords-1)
23259         (perl-font-lock-keywords-2): Remove explicit prettify support.
23260         (perl--augmented-font-lock-keywords)
23261         (perl--augmented-font-lock-keywords-1)
23262         (perl--augmented-font-lock-keywords-2, perl-mode):
23263         Implement prettify support.
23265 2013-06-05  Leo Liu  <sdl.web@gmail.com>
23267         Re-implement SMIE matching block highlight using
23268         show-paren-data-function.  (Bug#14395)
23269         * emacs-lisp/smie.el (smie-matching-block-highlight)
23270         (smie--highlight-matching-block-overlay)
23271         (smie--highlight-matching-block-lastpos)
23272         (smie-highlight-matching-block)
23273         (smie-highlight-matching-block-mode): Remove.
23274         (smie--matching-block-data-cache): New variable.
23275         (smie--matching-block-data): New function.
23276         (smie-setup): Use smie--matching-block-data for
23277         show-paren-data-function.
23279         * progmodes/octave.el (octave-mode-menu): Fix.
23280         (octave-find-definition): Skip garbage lines.
23282 2013-06-05  Stefan Monnier  <monnier@iro.umontreal.ca>
23284         Fix compilation error with simultaneous dynamic+lexical scoping.
23285         Add warning when a defvar appears after the first let-binding.
23286         * emacs-lisp/bytecomp.el (byte-compile-lexical-variables): New var.
23287         (byte-compile-close-variables): Initialize it.
23288         (byte-compile--declare-var): New function.
23289         (byte-compile-file-form-defvar)
23290         (byte-compile-file-form-define-abbrev-table)
23291         (byte-compile-file-form-custom-declare-variable): Use it.
23292         (byte-compile-make-lambda-lexenv): Change the argument.  Simplify.
23293         (byte-compile-lambda): Share call to byte-compile-arglist-vars.
23294         (byte-compile-bind): Handle dynamic bindings that shadow
23295         lexical bindings.
23296         (byte-compile-unbind): Make arg non-optional.
23297         (byte-compile-let): Simplify.
23298         * emacs-lisp/cconv.el (byte-compile-lexical-variables): Declare var.
23299         (cconv--analyse-function, cconv-analyse-form): Populate it.
23300         Protect byte-compile-bound-variables to limit the scope of defvars.
23301         (cconv-analyse-form): Add missing rule for (defvar <foo>).
23302         Remove unneeded rule for `declare'.
23304         * emacs-lisp/cl-macs.el (cl--compiler-macro-adjoin): Use macroexp-let2
23305         so as to avoid depending on cl-adjoin at run-time.
23306         * emacs-lisp/cl-lib.el (cl-pushnew): Use backquotes.
23308         * emacs-lisp/macroexp.el (macroexp--compiling-p): New function.
23309         (macroexp--warn-and-return): Use it.
23311 2013-06-05  Stefan Monnier  <monnier@iro.umontreal.ca>
23313         * subr.el: Convert to lexical binding.
23314         (overriding-local-map): Make obsolete.
23315         (add-to-list): Doc fix.  Add compiler macro.
23316         (read-key): Swap values of local maps.
23318 2013-06-05  Leo Liu  <sdl.web@gmail.com>
23320         * eshell/esh-mode.el (eshell-mode): Fix key bindings.
23322 2013-06-04  Leo Liu  <sdl.web@gmail.com>
23324         * progmodes/compile.el (compile-goto-error): Add optional arg NOMSG.
23325         (compilation-auto-jump): Suppress the "Mark set" message to give
23326         way to exit message.
23328 2013-06-04  Alan Mackenzie  <acm@muc.de>
23330         Remove faulty optimization from indentation calculation.
23331         * progmodes/cc-engine.el (c-guess-basic-syntax): Don't calculate
23332         search limit based on 2000 characters back from indent-point.
23334 2013-06-03  Tassilo Horn  <tsdh@gnu.org>
23336         * eshell/em-term.el (cl-lib): Require `cl-lib'.
23338 2013-06-03  Stefan Monnier  <monnier@iro.umontreal.ca>
23340         * emacs-lisp/lisp.el: Use lexical-binding.
23341         (lisp--local-variables-1, lisp--local-variables): New functions.
23342         (lisp--local-variables-completion-table): New var.
23343         (lisp-completion-at-point): Use it complete let-bound vars.
23345         * emacs-lisp/lisp-mode.el (eval-sexp-add-defvars): Expand macros
23346         eagerly (bug#14422).
23348 2013-06-03  Michael Albinus  <michael.albinus@gmx.de>
23350         * autorevert.el (auto-revert-notify-enabled)
23351         (auto-revert-notify-rm-watch, auto-revert-notify-add-watch)
23352         (auto-revert-notify-event-p, auto-revert-notify-event-file-name)
23353         (auto-revert-notify-handler): Handle also gfilenotify.
23355         * subr.el (file-notify-handle-event): New defun.  Replacing ...
23356         (inotify-event-p, inotify-handle-event, w32notify-handle-event):
23357         Remove.
23359 2013-06-03  Juri Linkov  <juri@jurta.org>
23361         * bindings.el (search-map): Bind `highlight-symbol-at-point' to
23362         `M-s h .'.  (Bug#14427)
23364         * hi-lock.el (highlight-symbol-at-point): New alias for the new
23365         command `hi-lock-face-symbol-at-point'.
23366         (hi-lock-face-symbol-at-point): New command.
23367         (hi-lock-map): Bind `highlight-symbol-at-point' to `C-x w .'.
23368         (hi-lock-menu): Add `highlight-symbol-at-point'.
23369         (hi-lock-mode): Doc fix.
23371         * isearch.el (isearch-forward-symbol-at-point): New command.
23372         (search-map): Bind `isearch-forward-symbol-at-point' to `M-s .'.
23373         (isearch-highlight-regexp): Add a regexp which matches
23374         words/symbols for word/symbol mode.
23376         * subr.el (find-tag-default-bounds): New function with the body
23377         mostly moved from `find-tag-default'.
23378         (find-tag-default): Move most code to `find-tag-default-bounds',
23379         call it and apply `buffer-substring-no-properties' afterwards.
23381 2013-06-03  Tassilo Horn  <tsdh@gnu.org>
23383         * eshell/em-term.el (eshell-term-initialize):
23384         Use `cl-intersection' rather than `intersection'.
23386 2013-06-02  Xue Fuqiao  <xfq.free@gmail.com>
23388         * vc/log-view.el: Doc fix.
23389         (log-view-mode-map): Copy keymap from `special-mode-map'.
23391 2013-06-02  Eric Ludlam  <zappo@gnu.org>
23393         * emacs-lisp/eieio.el (eieio--defalias, eieio-hook)
23394         (eieio-error-unsupported-class-tags, eieio-skip-typecheck)
23395         (eieio-optimize-primary-methods-flag, eieio-initializing-object)
23396         (eieio-unbound, eieio-default-superclass)
23397         (eieio--define-field-accessors, method-static, method-before)
23398         (method-primary, method-after, method-num-lists)
23399         (method-generic-before, method-generic-primary)
23400         (method-generic-after, method-num-slots)
23401         (eieio-specialized-key-to-generic-key)
23402         (eieio--check-type, class-v, class-p)
23403         (eieio-class-name, define-obsolete-function-alias)
23404         (eieio-class-parents-fast, eieio-class-children-fast)
23405         (same-class-fast-p, class-constructor, generic-p)
23406         (generic-primary-only-p, generic-primary-only-one-p)
23407         (class-option-assoc, class-option, eieio-object-p)
23408         (class-abstract-p, class-method-invocation-order)
23409         (eieio-defclass-autoload-map, eieio-defclass-autoload)
23410         (eieio-class-un-autoload, eieio-defclass)
23411         (eieio-eval-default-p, eieio-perform-slot-validation-for-default)
23412         (eieio-add-new-slot, eieio-copy-parents-into-subclass)
23413         (eieio--defgeneric-init-form, eieio-defgeneric-form)
23414         (eieio-defgeneric-reset-generic-form)
23415         (eieio-defgeneric-form-primary-only)
23416         (eieio-defgeneric-reset-generic-form-primary-only)
23417         (eieio-defgeneric-form-primary-only-one)
23418         (eieio-defgeneric-reset-generic-form-primary-only-one)
23419         (eieio-unbind-method-implementations)
23420         (eieio--defmethod, eieio--typep)
23421         (eieio-perform-slot-validation, eieio-validate-slot-value)
23422         (eieio-validate-class-slot-value, eieio-barf-if-slot-unbound)
23423         (eieio-oref, eieio-oref-default, eieio-default-eval-maybe)
23424         (eieio-oset, eieio-oset-default, eieio-slot-originating-class-p)
23425         (eieio-slot-name-index, eieio-class-slot-name-index)
23426         (eieio-set-defaults, eieio-initarg-to-attribute)
23427         (eieio-attribute-to-initarg, eieio-c3-candidate)
23428         (eieio-c3-merge-lists, eieio-class-precedence-c3)
23429         (eieio-class-precedence-dfs, eieio-class-precedence-bfs)
23430         (eieio-class-precedence-list, eieio-generic-call-methodname)
23431         (eieio-generic-call-arglst, eieio-generic-call-key)
23432         (eieio-generic-call-next-method-list)
23433         (eieio-pre-method-execution-functions, eieio-generic-call)
23434         (eieio-generic-call-primary-only, eieiomt-method-list)
23435         (eieiomt-optimizing-obarray, eieiomt-install)
23436         (eieiomt-add, eieiomt-next, eieiomt-sym-optimize)
23437         (eieio-generic-form, eieio-defmethod, make-obsolete)
23438         (eieio-defgeneric, make-obsolete): Move to eieio-core.el.
23439         (defclass): Remove `eval-and-compile' from macro.
23440         (call-next-method, shared-initialize): Instead of using
23441         `scoped-class' variable, use new eieio--scoped-class, and
23442         eieio--with-scoped-class.
23443         (initialize-instance): Rename local variable 'scoped-class' to
23444         'this-class' to remove ambiguitity from old global.
23446         * emacs-lisp/eieio-core.el: New file.  Derived from key parts of
23447         eieio.el.
23448         (eieio--scoped-class-stack): New variable.
23449         (eieio--scoped-class): New fcn.
23450         (eieio--with-scoped-class): New scoping macro.
23451         (eieio-defclass): Use pushnew instead of add-to-list.
23452         (eieio-defgeneric-form-primary-only-one, eieio-oset-default)
23453         (eieio-slot-name-index, eieio-set-defaults, eieio-generic-call)
23454         (eieio-generic-call-primary-only, eieiomt-add): Instead of using
23455         `scoped-class' variable, use new eieio--scoped-class, and
23456         eieio--with-scoped-class.
23458         * emacs-lisp/eieio-base.el (cl-lib): Require during compile.
23460 2013-06-02  Tassilo Horn  <tsdh@gnu.org>
23462         * eshell/esh-ext.el (eshell-external-command): Pass args to
23463         `eshell-find-interpreter'.
23464         (eshell-find-interpreter): Add new second parameter ARGS.
23466         * eshell/em-script.el (eshell-script-initialize): Add second arg
23467         to the function added as MATCH to `eshell-interpreter-alist'.
23469         * eshell/em-dirs.el (eshell-dirs-initialize): Add second arg to
23470         the function added as MATCH to `eshell-interpreter-alist'.
23472         * eshell/em-term.el (eshell-visual-subcommands): New defcustom.
23473         (eshell-visual-options): New defcustom.
23474         (eshell-escape-control-x): Adapt docstring.
23475         (eshell-term-initialize): Test `eshell-visual-subcommands' and
23476         `eshell-visual-options' in addition to `eshell-visual-commands'.
23477         (eshell-exec-visual): Pass args to `eshell-find-interpreter'.
23479 2013-06-01  Fabián Ezequiel Gallina  <fgallina@gnu.org>
23481         * progmodes/python.el (python-indent-block-enders): Add break,
23482         continue and raise keywords.
23484 2013-06-01  Glenn Morris  <rgm@gnu.org>
23486         * pcmpl-gnu.el (pcomplete/tar): Check obsolete variable is bound.
23488         Plain (f)boundp silences compilation warnings since Emacs 22.1.
23489         * progmodes/cc-cmds.el (delete-forward-p):
23490         * progmodes/cc-defs.el (buffer-syntactic-context-depth):
23491         * progmodes/cc-engine.el (buffer-syntactic-context):
23492         * progmodes/cc-fonts.el (face-property-instance):
23493         * progmodes/cc-mode.el (set-keymap-parents):
23494         * progmodes/cc-vars.el (get-char-table): No need for cc-bytecomp-defun.
23495         * progmodes/cc-defs.el (c-set-region-active, c-beginning-of-defun-1)
23496         * progmodes/cc-mode.el (c-make-inherited-keymap): Use plain fboundp.
23497         * progmodes/cc-defs.el (zmacs-region-stays, zmacs-regions)
23498         (lookup-syntax-properties): Remove unecessary cc-bytecomp-defvar.
23500         * progmodes/cc-vars.el (other): Emacs has this widget since
23501         at least 21.1, so don't (re)define it.
23503         * eshell/em-cmpl.el (eshell-cmpl-initialize):
23504         Replace the obsolete alias pcomplete-arg-quote-list.
23506 2013-06-01  Leo Liu  <sdl.web@gmail.com>
23508         * progmodes/octave.el (octave-mode-syntax-table): Give `.'
23509         punctuation syntax.
23510         (inferior-octave-minimal-columns)
23511         (inferior-octave-last-column-width): New variables.
23512         (inferior-octave-track-window-width-change): New function.
23513         (inferior-octave-mode): Adjust column width so that Octave output,
23514         for example from 'ls', can fit into the window nicely.
23516 2013-05-31  Dmitry Gutov  <dgutov@yandex.ru>
23518         * progmodes/ruby-mode.el (ruby-syntax-expansion-allowed-p):
23519         Highlight expansions inside regexp literals.
23521 2013-05-31  Glenn Morris  <rgm@gnu.org>
23523         * obsolete/sym-comp.el (symbol-complete):
23524         Replace obsolete completion-annotate-function.
23526         * progmodes/cc-vars.el (c-make-macro-with-semi-re): Silence compiler.
23528 2013-05-31  Dmitry Gutov  <dgutov@yandex.ru>
23530         * progmodes/ruby-mode.el (ruby-syntax-expansion-allowed-p):
23531         New function, checks if point is inside a literal that allows
23532         expression expansion.
23533         (ruby-syntax-propertize-expansion): Use it.
23534         (ruby-syntax-propertize-function): Bind `case-fold-search' to nil
23535         around the body.
23537 2013-05-30  Juri Linkov  <juri@jurta.org>
23539         * isearch.el (isearch-mode-map): Bind `isearch-toggle-invisible'
23540         to "\M-si".
23541         (isearch-invisible): New variable.
23542         (isearch-forward): Doc fix.
23543         (isearch-mode): Set `isearch-invisible'
23544         to the value of `search-invisible'.
23545         (isearch-toggle-case-fold): Doc fix.
23546         (isearch-toggle-invisible): New command.
23547         (isearch-query-replace): Let-bind `search-invisible'
23548         to the value of `isearch-invisible'.
23549         (isearch-search): Use `isearch-invisible' instead of
23550         `search-invisible'.  Let-bind `search-invisible'
23551         to the value of `isearch-invisible'.  (Bug#11378)
23553 2013-05-30  Juri Linkov  <juri@jurta.org>
23555         * replace.el (perform-replace): Avoid `isearch-range-invisible'
23556         call when `query-flag' is nil and `search-invisible' is non-nil.
23557         (Bug#11746)
23559 2013-05-30  Glenn Morris  <rgm@gnu.org>
23561         * progmodes/gdb-mi.el (gdb-wait-for-pending): Fix typo.
23563         * progmodes/cc-bytecomp.el (cc-bytecomp-noruntime-functions): New.
23564         (cc-require): Suppress spurious "noruntime" warnings.
23565         (cc-require-when-compile): Use fboundp, for sake of compiler.
23567         * progmodes/cc-mode.el: Move load of cc-vars before that of
23568         cc-langs (which in turn loads cc-vars), to quieten compiler.
23570 2013-05-30  Stefan Monnier  <monnier@iro.umontreal.ca>
23572         * paren.el: Simplify the code.
23573         (show-paren-mode): Always start the timer.
23574         (show-paren--idle-timer): Rename from show-paren-idle-timer.
23575         (show-paren--overlay, show-paren--overlay-1): Rename from
23576         show-paren-overlay and show-paren-overlay-1, and initialize to an
23577         overlay rather than to nil.
23578         (show-paren-function): Misc cleanup and simplifications.
23580 2013-05-30  Stefan Monnier  <monnier@iro.umontreal.ca>
23582         * paren.el (show-paren-data-function): New hook.
23583         (show-paren--default): New function, extracted from show-paren-function.
23584         (show-paren-function): Use show-paren-data-function.
23586 2013-05-30  Glenn Morris  <rgm@gnu.org>
23588         * ielm.el (ielm-map, ielm-complete-symbol):
23589         Use completion-at-point rather than obsolete functions.
23590         (inferior-emacs-lisp-mode): Doc fix.
23591         Set completion-at-point-functions, rather than
23592         comint-dynamic-complete-functions.
23594         * eshell/em-cmpl.el (eshell-complete-lisp-symbol): New function.
23595         (eshell-cmpl-initialize, eshell-complete-parse-arguments):
23596         Replace obsolete lisp-complete-symbol with eshell-complete-lisp-symbol.
23598         * image.el (image-animated-p): Tweak definition.
23600         * net/rlogin.el (rlogin-program, rlogin-explicit-args): Default to ssh.
23601         (rlogin-process-connection-type): Tweak default.  Add set-after.
23602         (rlogin-host): Doc fix.
23603         (rlogin): Tweak prompt.
23604         (rlogin-tab-or-complete): Use completion-at-point rather than alias.
23606         * net/net-utils.el (nslookup-mode-map, ftp-mode-map):
23607         * progmodes/tcl.el (inferior-tcl-mode-map):
23608         Use completion-at-point rather than obsolete alias.
23610         * emacs-lisp/eieio.el (eieio-eval-default-p): Move before use.
23612         * minibuffer.el (read-file-name-completion-ignore-case):
23613         Move before completion--in-region, for eager macro expansion.
23615 2013-05-29  Juri Linkov  <juri@jurta.org>
23617         * replace.el (occur-engine): Rename `globalcount' to `global-lines'
23618         for total count of matching lines.  Add `global-matches' for total
23619         count of matches.  Rename `matches' to `lines' for count of
23620         matching lines.  Add `matches' for count of matches.
23621         Rename `lines' to `curr-line' for line count.  Rename `prev-lines'
23622         to `prev-line' for line number of prev match endpt.
23623         Increment `matches' for every match.  Print the number of
23624         matching lines in the header.
23625         (occur-context-lines): Rename `lines' to `curr-line'.
23626         Rename `prev-lines' to `prev-line'.  (Bug#14017)
23628 2013-05-29  Juri Linkov  <juri@jurta.org>
23630         * replace.el (perform-replace): Add `skip-read-only-count',
23631         `skip-filtered-count', `skip-invisible-count' let-bound to 0.
23632         Increment them for corresponding conditions and report the number
23633         of skipped occurrences in the final message.  (Bug#11746)
23634         (query-replace, query-replace-regexp, query-replace-regexp-eval)
23635         (replace-string, replace-regexp): Doc fix.
23637 2013-05-29  Stefan Monnier  <monnier@iro.umontreal.ca>
23639         * emacs-lisp/trace.el (trace--read-args): Provide a default.
23641         * emacs-lisp/lisp-mode.el (lisp-mode-shared-map): Inherit from
23642         prog-mode-map (bug#14504).
23644 2013-05-29  Leo Liu  <sdl.web@gmail.com>
23646         * progmodes/octave.el (octave-indent-comment): Tweak regexps.
23647         (octave-help): Small simplification.
23649         * emacs-lisp/smie.el (smie-highlight-matching-block): Always turn
23650         off the highlight first.
23652 2013-05-29  Glenn Morris  <rgm@gnu.org>
23654         * progmodes/idlwave.el (idlwave-concatenate-rinfo-lists):
23655         Handle idlwave-last-system-routine-info-cons-cell being nil.
23657         * progmodes/idlwave.el (idlwave-scan-user-lib-files)
23658         (idlwave-write-paths): Simplify via with-temp-buffer.
23660         * emulation/cua-gmrk.el: Also load cua-base, cua-rect at run time.
23661         * emulation/cua-rect.el: Also load cua-base at run time.
23663         * progmodes/cperl-mode.el (imenu-choose-buffer-index)
23664         (file-of-tag, etags-snarf-tag, etags-goto-tag-location): Declare.
23665         (cperl-imenu-on-info): Require imenu.
23667 2013-05-28  Alan Mackenzie  <acm@muc.de>
23669         Handle "capitalised keywords" correctly.
23670         * progmodes/cc-mode.el (c-after-change): Bind case-fold-search to nil.
23672 2013-05-28  Aidan Gauland  <aidalgol@amuri.net>
23674         * eshell/em-unix.el: Add -r option to cp.
23676 2013-05-28  Glenn Morris  <rgm@gnu.org>
23678         * vc/vc-arch.el (vc-exec-after): Declare.
23679         (vc-switches): Autoload.
23680         * vc/vc-bzr.el: No need to require vc when compiling.
23681         (vc-exec-after, vc-set-async-update, vc-default-dir-printer)
23682         (vc-resynch-buffer, vc-dir-refresh): Declare.
23683         (vc-setup-buffer, vc-switches): Autoload.
23684         * vc/vc-cvs.el (vc-exec-after, vc-coding-system-for-diff)
23685         (vc-resynch-buffer): Declare.
23686         (vc-switches, vc-default-revert, vc-version-backup-file): Autoload.
23687         * vc/vc-dir.el (desktop-missing-file-warning): Declare.
23688         * vc/vc-git.el (vc-exec-after, vc-set-async-update)
23689         (grep-read-regexp, grep-read-files, grep-expand-template)
23690         (vc-dir-refresh): Declare.
23691         (vc-setup-buffer, vc-switches, vc-resynch-buffer): Autoload.
23692         * vc/vc-hg.el (vc-exec-after, vc-set-async-update): Declare.
23693         (vc-setup-buffer, vc-switches, vc-do-async-command): Autoload.
23694         * vc/vc-mtn.el (vc-exec-after): Declare.
23695         (vc-switches): Autoload.
23696         * vc/vc-rcs.el (vc-expand-dirs, vc-switches)
23697         (vc-tag-precondition, vc-buffer-sync, vc-rename-master): Autoload.
23698         (vc-file-tree-walk): Declare.
23699         * vc/vc-sccs.el (vc-file-tree-walk): Declare.
23700         (vc-expand-dirs, vc-switches, vc-setup-buffer, vc-delistify)
23701         (vc-tag-precondition, vc-rename-master): Autoload.
23702         * vc/vc-svn.el (vc-exec-after): Declare.
23703         (vc-switches, vc-setup-buffer): Autoload.
23704         * obsolete/vc-mcvs.el (vc-checkout, vc-switches, vc-default-revert):
23705         Autoload.
23706         (vc-resynch-buffer): Declare.
23708         * obsolete/fast-lock.el (byte-compile-warnings):
23709         Don't warn about obsolete features in this obsolete file.
23711         * progmodes/cc-vars.el (c-macro-names-with-semicolon):
23712         Move definition before use.
23714         * play/dunnet.el (byte-compile-warnings): Don't disable them all.
23715         (dun-unix-verbs): Remove dun-zippy.
23716         (dun-zippy): Remove function.
23718         * emacs-lisp/bytecomp.el (byte-compile-warnings): Doc fix.
23720 2013-05-27  Juri Linkov  <juri@jurta.org>
23722         * replace.el (replace-search): New function with code moved out
23723         from `perform-replace'.
23724         (replace-highlight, replace-dehighlight): Move function definitions
23725         up closer to `replace-search'.  (Bug#11746)
23727 2013-05-27  Juri Linkov  <juri@jurta.org>
23729         * replace.el (perform-replace): Ignore invisible matches.
23730         In addition to checking `query-replace-skip-read-only', also
23731         filter out matches by calling `run-hook-with-args-until-failure'
23732         on `isearch-filter-predicates', and also check `search-invisible'
23733         for t or call `isearch-range-invisible'.
23734         (replace-dehighlight): Call `isearch-clean-overlays'.  (Bug#11746)
23736 2013-05-27  Juri Linkov  <juri@jurta.org>
23738         * isearch.el (isearch-filter-predicates): Rename from
23739         `isearch-filter-predicate'.  Doc fix.  (Bug#11378)
23740         (isearch-message-prefix): Display text from the property
23741         `isearch-message-prefix' of the currently active filters.
23742         (isearch-search): Don't compare `isearch-filter-predicate' with
23743         `isearch-filter-visible'.  Call `run-hook-with-args-until-failure'
23744         on `isearch-filter-predicates'.  Also check `search-invisible' for t
23745         or call `isearch-range-invisible'.
23746         (isearch-filter-visible): Make obsolete.
23747         (isearch-lazy-highlight-search):
23748         Call `run-hook-with-args-until-failure' on
23749         `isearch-filter-predicates' and use `isearch-range-invisible'.
23751         * info.el (Info-search): Call `run-hook-with-args-until-failure' on
23752         `isearch-filter-predicates' instead of `funcall'ing
23753         `isearch-filter-predicate'.
23754         (Info-mode): Set `Info-isearch-filter' to
23755         `isearch-filter-predicates' instead of `isearch-filter-predicate'.
23757         * dired-aux.el (dired-isearch-filter-predicate-orig):
23758         Remove variable.
23759         (dired-isearch-filenames-toggle, dired-isearch-filenames-setup)
23760         (dired-isearch-filenames-end): Add and remove
23761         `dired-isearch-filter-filenames' in `isearch-filter-predicates'
23762         instead of changing the value of `isearch-filter-predicate'.
23763         Rebind `dired-isearch-filenames-toggle' from "\M-sf" to "\M-sff".
23764         (dired-isearch-filter-filenames): Don't use `isearch-filter-visible'.
23765         Put property `isearch-message-prefix' to "filename " on
23766         `dired-isearch-filter-filenames'.
23768         * wdired.el (wdired-change-to-wdired-mode):
23769         Add `isearch-filter-predicates' to `wdired-isearch-filter-read-only'
23770         locally instead of changing `isearch-filter-predicate'.
23771         (wdired-isearch-filter-read-only): Don't use `isearch-filter-visible'.
23773 2013-05-27  Dmitry Gutov  <dgutov@yandex.ru>
23775         * vc/vc-git.el (vc-git-working-revision): When in detached mode,
23776         return the commit hash (Bug#14459).  Also set the
23777         `vc-git-detached' property.
23778         (vc-git--rev-parse): Extract from `vc-git-previous-revision'.
23779         (vc-git-mode-line-string): Use the same help-echo format whether
23780         in detached mode or not, because we know the actual revision now.
23781         When in detached mode, shorten the revision to 7 chars.
23783 2013-05-27  Stefan Monnier  <monnier@iro.umontreal.ca>
23785         * emacs-lisp/easy-mmode.el (define-minor-mode):
23786         * emacs-lisp/derived.el (define-derived-mode): Always defvar the
23787         mode hook and provide a docstring.
23789 2013-05-27  Alan Mackenzie  <acm@muc.de>
23791         Remove spurious syntax-table text properties inserted by C-y.
23792         * progmodes/cc-mode.el (c-after-change): Also clear hard
23793         syntax-table property with value nil.
23795 2013-05-27  Michael Albinus  <michael.albinus@gmx.de>
23797         * net/dbus.el (dbus-call-method): Let-bind `inhibit-redisplay'
23798         when reading the events; the buffer layout shall not be changed.
23800 2013-05-27  Leo Liu  <sdl.web@gmail.com>
23802         * progmodes/octave.el (inferior-octave-directory-tracker-resync):
23803         New variable.
23804         (inferior-octave-directory-tracker): Automatically re-sync
23805         default-directory.
23806         (octave-help): Improve handling of 'See also'.
23808 2013-05-27  Stefan Monnier  <monnier@iro.umontreal.ca>
23810         * doc-view.el: Minor naming convention tweaks.
23811         (desktop-buffer-mode-handlers): Don't add to it repeatedly.
23813         * image-mode.el (image-mode-reapply-winprops): Call image-mode-winprops
23814         even if there's no `display' property yet (bug#14435).
23816 2013-05-25  Eli Zaretskii  <eliz@gnu.org>
23818         * subr.el (unmsys--file-name): Rename from reveal-filename.
23820         * Makefile.in (custom-deps, finder-data, autoloads)
23821         ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
23822         ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
23823         ($(CAL_DIR)/hol-loaddefs.el): All users changed.
23825 2013-05-25  Stefan Monnier  <monnier@iro.umontreal.ca>
23827         * emacs-lisp/lisp.el (lisp-completion-at-point): Don't use
23828         error-completion on the first 2 args of condition-case (bug#14446).
23829         Don't burp at EOB.
23831 2013-05-25  Leo Liu  <sdl.web@gmail.com>
23833         * comint.el (comint-previous-matching-input): Do not flood the
23834         *Messages* buffer with trivial messages.
23836 2013-05-25  Stefan Monnier  <monnier@iro.umontreal.ca>
23838         * progmodes/flymake.el (flymake-nop): Don't return a string.
23839         (flymake-set-at): Fix typo.
23841         * simple.el (read--expression): New function, extracted from
23842         eval-expression.  Set completion-at-point-functions (bug#14465).
23843         (eval-expression, eval-minibuffer): Use it.
23845 2013-05-25  Xue Fuqiao  <xfq.free@gmail.com>
23847         * progmodes/flymake.el (flymake-save-buffer-in-file)
23848         (flymake-makehash, flymake-posn-at-point-as-event, flymake-nop)
23849         (flymake-selected-frame, flymake-log, flymake-ins-after)
23850         (flymake-set-at, flymake-get-buildfile-from-cache)
23851         (flymake-add-buildfile-to-cache, flymake-clear-buildfile-cache)
23852         (flymake-find-possible-master-files, flymake-save-buffer-in-file):
23853         Refine the doc string.
23854         (flymake-get-file-name-mode-and-masks): Reformat.
23855         (flymake-get-real-file-name-function): Fix a minor bug.
23857 2013-05-24  Juri Linkov  <juri@jurta.org>
23859         * progmodes/grep.el (grep-mode-font-lock-keywords):
23860         Support =linenumber= format used by git-grep for lines with
23861         function names.  (Bug#13549)
23863 2013-05-24  Stefan Monnier  <monnier@iro.umontreal.ca>
23865         * progmodes/octave.el (octave-smie-rules): Return nil rather than
23866         0 after a semi-colon; it works better for smie-auto-fill.
23867         (octave--indent-new-comment-line): New function.
23868         (octave-indent-new-comment-line): Use it (indirectly).
23869         (octave-mode): Don't disable smie-auto-fill.  Use add-function to
23870         modify comment-line-break-function.
23872         * emacs-lisp/smie.el (smie-auto-fill): Rework to be more robust.
23873         (smie-setup): Use add-function to set it.
23875 2013-05-24  Sam Steingold  <sds@gnu.org>
23877         * sort.el (delete-duplicate-lines): Accept an optional `keep-blanks'
23878         argument (before the `interactive' argument).
23880 2013-05-24  Stefan Monnier  <monnier@iro.umontreal.ca>
23882         * image-mode.el (image-mode-winprops): Add winprops to
23883         image-mode-winprops-alist before running
23884         image-mode-new-window-functions.
23885         * doc-view.el (doc-view-new-window-function): Don't delay
23886         doc-view-goto-page via timers (bug#14435).
23888 2013-05-24  Tassilo Horn  <tsdh@gnu.org>
23890         * doc-view.el: Integrate with desktop.el.  (Bug#14435)
23891         (doc-view-desktop-save-buffer): New function.
23892         (doc-view-restore-desktop-buffer): New function.
23893         (desktop-buffer-mode-handlers):
23894         Add `doc-view-restore-desktop-buffer' as desktop.el buffer mode
23895         handler.
23896         (doc-view-mode): Set `doc-view-desktop-save-buffer' as custom
23897         `desktop-save-buffer' function.
23899 2013-05-24  Michael Albinus  <michael.albinus@gmx.de>
23901         * net/tramp-gvfs.el (tramp-gvfs-enabled): New defconst.
23902         (tramp-gvfs-file-name-handler): Raise a user error when
23903         `tramp-gvfs-enabled' is nil.
23904         (top): Register signals only when `tramp-gvfs-enabled' is non-nil.
23905         Do not raise a user error when loading package.  (Bug#14447)
23907         * net/xesam.el: Move to obsolete/.
23909 2013-05-24  Glenn Morris  <rgm@gnu.org>
23911         * font-lock.el (lisp-font-lock-keywords-2): Add with-coding-priority.
23913         * emacs-lisp/chart.el (chart-sort): Replace obsolete `object-name'.
23915         * progmodes/cperl-mode.el (cperl-mode): Use fboundp.
23916         (Info-find-node, Man-getpage-in-background): Declare.
23918         * mail/unrmail.el (unrmail):
23919         Replace obsolete detect-coding-with-priority.
23921         * net/socks.el (socks-split-string): Use this rather than split-string.
23922         (socks-nslookup-host): Update for above change.
23923         (dynamic-choice, s5-dynamic-choice-match)
23924         (s5-dynamic-choice-match-inline, s5-widget-value-create):
23925         Comment out unused code.
23927         * tooltip.el (tooltip-use-echo-area): Warn only on 'set.
23928         * progmodes/gud.el (gud-gdb-completion-function): Move before use.
23929         (gud-tooltip-echo-area): Make obsolete.
23930         (gud-tooltip-process-output, gud-tooltip-tips): Also check tooltip-mode.
23932         * progmodes/js.el (js--optimize-arglist): Declare.
23934         * progmodes/ruby-mode.el (ruby-syntax-propertize-expansion): Declare.
23936         * progmodes/which-func.el (ediff-window-A, ediff-window-B)
23937         (ediff-window-C): Declare.
23939         * obsolete/pgg-gpg.el, obsolete/pgg-pgp.el, obsolete/pgg-pgp5.el:
23940         Tweak requires to silence compiler.
23942         * obsolete/sym-comp.el: No need to load hipper-exp when compiling.
23943         (he-search-string, he-tried-table, he-expand-list)
23944         (he-init-string, he-string-member, he-substitute-string)
23945         (he-reset-string): Declare.
23947         * obsolete/options.el (list-options): Use custom-variable-p,
23948         rather than obsolete alias.
23950 2013-05-23  Sam Steingold  <sds@gnu.org>
23952         * simple.el (shell-command-on-region): Pass the `replace' argument
23953         down to `call-process-region' to comply with the doc as reported on
23954         <http://stackoverflow.com/questions/16720458/emacs-noninteractive-call-to-shell-command-on-region-always-deletes-region>
23956 2013-05-23  Stefan Monnier  <monnier@iro.umontreal.ca>
23958         * emacs-lisp/smie.el (smie-indent-forward-token)
23959         (smie-indent-backward-token): Handle string tokens (bug#14381).
23961 2013-05-23  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
23963         * ielm.el (ielm-menu): New menu.
23964         (inferior-emacs-lisp-mode): Set comment-start.
23966 2013-05-23  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
23968         * textmodes/reftex.el (reftex-ref-style-toggle):
23969         Fix deactivate action.
23971         * textmodes/reftex-vars.el (reftex-ref-style-alist):
23972         Add cleveref macros.
23974         * textmodes/reftex-parse.el (reftex-locate-bibliography-files):
23975         Accept options for bibliography commands.
23976         * textmodes/reftex-vars.el (reftex-bibliography-commands):
23977         Add addbibresource.  Basic Biblatex support.
23979 2013-05-23  Michael Albinus  <michael.albinus@gmx.de>
23981         * net/tramp-gvfs.el (top):
23982         * net/xesam.el (xesam-dbus-unique-names): Suppress D-Bus errors
23983         when loading package.  (Bug#14447)
23985 2013-05-23  Glenn Morris  <rgm@gnu.org>
23987         * progmodes/js.el: No need to load comint when compiling.
23988         (ring-insert, comint-send-string, comint-send-input)
23989         (comint-last-input-end, ido-chop): Declare.
23991         * vc/ediff-diff.el, vc/ediff-merg.el: Require ediff-util at run-time.
23992         * vc/ediff-mult.el: Adjust requires.
23993         (ediff-directories-internal, ediff-directory-revisions-internal)
23994         (ediff-patch-file-internal): Declare.
23995         * vc/ediff-ptch.el: Adjust requires.
23996         (ediff-use-last-dir, ediff-buffers-internal): Declare.
23997         (ediff-find-file): Autoload.
23998         * vc/ediff-util.el: No need to load ediff when compiling.
23999         (ediff-regions-internal): Declare.
24000         * vc/ediff-wind.el: Adjust requires.
24001         (ediff-compute-toolbar-width): Define when compiling.
24002         (ediff-setup-control-buffer, ediff-make-bottom-toolbar): Declare.
24003         * vc/ediff.el: No need to load dired, ediff-ptch when compiling.
24004         (dired-get-filename, dired-get-marked-files)
24005         (ediff-last-dir-patch, ediff-patch-default-directory)
24006         (ediff-get-patch-buffer, ediff-dispatch-file-patching-job)
24007         (ediff-patch-buffer-internal): Declare.
24009         * emacs-lisp/checkdoc.el: No need to load ispell when compiling.
24010         (ispell-process, ispell-buffer-local-words, lm-summary)
24011         (lm-section-start, lm-section-end): Declare.
24012         (checkdoc-ispell-init): Simplify.
24014         * progmodes/vera-mode.el (he-init-string, he-dabbrev-beg)
24015         (he-string-member, he-reset-string, he-substitute-string): Declare.
24017         * eshell/em-ls.el: Adjust requires.
24018         (eshell-glob-regexp): Declare.
24019         * eshell/em-tramp.el: Adjust requires.
24020         (eshell-parse-command): Autoload.
24021         * eshell/em-xtra.el: Adjust requires.
24022         (eshell-parse-command): Autoload.
24023         * eshell/esh-ext.el: Adjust requires.
24024         (eshell-parse-command, eshell-close-handles): Autoload.
24025         * eshell/esh-io.el: Adjust requires.
24026         (eshell-output-filter): Autoload.
24027         * eshell/esh-util.el: No need to load tramp when compiling.
24028         (tramp-file-name-structure, ange-ftp-ls, ange-ftp-file-modtime):
24029         Declare.
24030         (eshell-parse-ange-ls): Require ange-ftp and tramp.
24031         * eshell/em-alias.el, eshell/em-banner.el, eshell/em-basic.el:
24032         * eshell/em-cmpl.el, eshell/em-glob.el, eshell/em-pred.el:
24033         * eshell/em-prompt.el, eshell/em-rebind.el, eshell/em-smart.el:
24034         * eshell/em-term.el, eshell/esh-arg.el, eshell/esh-mode.el:
24035         * eshell/esh-opt.el, eshell/esh-proc.el:
24036         * eshell/esh-var.el: Adjust requires.
24037         * eshell/eshell.el: Do not require esh-util twice.
24038         (eshell-add-input-to-history): Declare.
24039         (eshell-command): Check history module is active before using it.
24041         * eshell/em-ls.el (eshell-ls-dir): Fix -A handling.
24043 2013-05-22  Leo Liu  <sdl.web@gmail.com>
24045         * progmodes/octave.el (inferior-octave-startup): Fix bug#14433.
24047 2013-05-22  Michael Albinus  <michael.albinus@gmx.de>
24049         * autorevert.el (auto-revert-notify-add-watch)
24050         (auto-revert-notify-handler): Add `attrib' for the inotify case,
24051         it indicates changes in file modification time.
24053 2013-05-22  Glenn Morris  <rgm@gnu.org>
24055         * emacs-lisp/bytecomp.el (byte-compile-file-form-autoload):
24056         Always delete the autoloaded function from the noruntime and
24057         unresolved functions lists.
24059         * allout.el: No need to load epa, epg, overlay when compiling.
24060         (epg-context-set-passphrase-callback, epg-list-keys)
24061         (epg-decrypt-string, epg-encrypt-string, epg-user-id-string)
24062         (epg-key-user-id-list): Declare.
24064         * emulation/viper-cmd.el (viper-set-searchstyle-toggling-macros)
24065         (viper-set-parsing-style-toggling-macro)
24066         (viper-set-emacs-state-searchstyle-macros):
24067         Use called-interactively-p on Emacs.
24068         (viper-looking-back): Make it an obsolete alias.  Update callers.
24069         * emulation/viper-ex.el: Load viper-keym, not viper-cmd.
24070         Use looking-back rather than viper-looking-back.
24071         (viper-tmp-insert-at-eob, viper-enlarge-region)
24072         (viper-read-string-with-history, viper-register-to-point)
24073         (viper-append-to-register, viper-change-state-to-vi)
24074         (viper-backward-char-carefully, viper-forward-char-carefully)
24075         (viper-Put-back, viper-put-back, viper-add-newline-at-eob-if-necessary)
24076         (viper-change-state-to-emacs): Declare.
24077         * emulation/viper-macs.el: Load viper-mous, viper-ex, not viper-cmd.
24078         (viper-change-state-to-insert, viper-change-state-to-vi): Declare.
24079         * emulation/viper-mous.el: Do not load viper-cmd.
24080         (viper-backward-char-carefully, viper-forward-char-carefully)
24081         (viper-forward-word, viper-adjust-window): Declare.
24083         * vc/ediff.el (ediff-version): Use called-interactively-p on Emacs.
24085         * progmodes/idlw-help.el (idlwave-help-fontify):
24086         Use called-interactively-p.
24088         * term/w32console.el (w32-get-console-codepage)
24089         (w32-get-console-output-codepage): Declare.
24091         * dframe.el (x-sensitive-text-pointer-shape, x-pointer-shape):
24092         Remove unnecessary declarations.
24093         (dframe-message): Doc fix.
24095         * info.el (dframe-select-attached-frame, dframe-current-frame):
24096         Declare.
24098         * speedbar.el (speedbar-message): Make it an obsolete alias.
24099         Update all callers.
24100         (speedbar-with-attached-buffer)
24101         (speedbar-maybee-jump-to-attached-frame): Make these aliases obsolete.
24102         (speedbar-with-writable): Use backquote.
24103         * emacs-lisp/eieio-opt.el (eieio-describe-class-sb):
24104         * emacs-lisp/eieio-speedbar.el (eieio-speedbar-handle-click):
24105         Use dframe-with-attached-buffer, dframe-maybee-jump-to-attached-frame
24106         rather than speedbar- aliases.
24107         * mail/rmail.el: Load dframe rather than speedbar when compiling.
24108         (speedbar-make-specialized-keymap, speedbar-insert-button)
24109         (dframe-select-attached-frame, dframe-maybee-jump-to-attached-frame)
24110         (speedbar-do-function-pointer): Declare.
24111         (rmail-speedbar-button, rmail-speedbar-find-file)
24112         (rmail-speedbar-move-message):
24113         Use dframe-with-attached-buffer rather than speedbar- alias.
24114         * progmodes/gud.el: Load dframe rather than speedbar when compiling.
24115         (dframe-message, speedbar-make-specialized-keymap)
24116         (speedbar-add-expansion-list, speedbar-mode-functions-list)
24117         (speedbar-make-tag-line, speedbar-remove-localized-speedbar-support)
24118         (speedbar-insert-button, dframe-select-attached-frame)
24119         (dframe-maybee-jump-to-attached-frame)
24120         (speedbar-change-initial-expansion-list)
24121         (speedbar-previously-used-expansion-list-name): Declare.
24122         (gud-speedbar-item-info, gud-gdb-goto-stackframe):
24123         Use dframe-message, dframe-with-attached-buffer rather than
24124         speedbar- aliases.
24125         (gud-sentinel): Silence compiler.
24126         * progmodes/vhdl-mode.el (speedbar-refresh)
24127         (speedbar-do-function-pointer, speedbar-add-supported-extension)
24128         (speedbar-add-mode-functions-list, speedbar-make-specialized-keymap)
24129         (speedbar-change-initial-expansion-list, speedbar-add-expansion-list)
24130         (speedbar-extension-list-to-regex, speedbar-directory-buttons)
24131         (speedbar-file-lists, speedbar-make-tag-line)
24132         (speedbar-line-directory, speedbar-goto-this-file)
24133         (speedbar-center-buffer-smartly, speedbar-change-expand-button-char)
24134         (speedbar-delete-subblock, speedbar-position-cursor-on-line)
24135         (speedbar-make-button, speedbar-reset-scanners)
24136         (speedbar-files-item-info, speedbar-line-text)
24137         (speedbar-find-file-in-frame, speedbar-set-timer)
24138         (dframe-maybee-jump-to-attached-frame, speedbar-line-file): Declare.
24139         (speedbar-with-writable): Do not (re)define it.
24140         (vhdl-speedbar-find-file): Use dframe-maybee-jump-to-attached-frame
24141         rather than speedbar- alias.
24143 2013-05-21  Leo Liu  <sdl.web@gmail.com>
24145         * progmodes/octave.el (octave-mode-menu): Update and re-organize
24146         menu items.
24147         (octave-mode): Tweak fill-nobreak-predicate.
24148         (inferior-octave-startup): Check process to avoid infinite loop.
24149         (inferior-octave): Pop to buffer first to show abornmal process
24150         exit information.
24152 2013-05-21  Glenn Morris  <rgm@gnu.org>
24154         * printing.el (pr-menu-bar): Define when compiling.
24156 2013-05-21  Leo Liu  <sdl.web@gmail.com>
24158         * progmodes/octave.el (octave-auto-fill): Remove.
24159         (octave-indent-new-comment-line): Improve.
24160         (octave-mode): Use auto fill mode through
24161         comment-line-break-function and fill-nobreak-predicate.
24162         (octave-goto-function-definition): Support DEFUN_DLD.
24163         (octave-beginning-of-defun): Small tweak.
24164         (octave-help): Show parent directory.
24166 2013-05-21  Glenn Morris  <rgm@gnu.org>
24168         * files.el (dired-unmark):
24169         * progmodes/gud.el (gdb-input): Update declarations.
24171         * calculator.el (electric, ehelp): No need to load when compiling.
24172         (Electric-command-loop, electric-describe-mode): Declare.
24174         * doc-view.el (doc-view-current-converter-processes): Move before use.
24176         * emacs-lisp/easy-mmode.el (define-globalized-minor-mode):
24177         Move MODE-set-explicitly definition before use.
24179         * international/mule-diag.el (mule-diag):
24180         Don't use obsolete window-system-version.
24182         * mail/feedmail.el (smtpmail): No need to load when compiling.
24183         (smtpmail-via-smtp, smtpmail-smtp-server): Declare.
24185         * mail/mail-utils.el (rfc822): No need to load when compiling.
24186         (rfc822-addresses): Autoload it.
24187         (mail-strip-quoted-names): Trivial simplification.
24189         * mail/rmail.el (rmail-mime-message-p, rmail-mime-toggle-raw): Declare.
24190         (rmail-retry-failure): Don't assume that rmail-mime-feature == rmailmm.
24192         * net/snmp-mode.el (tempo): Don't duplicate requires.
24194         * progmodes/prolog.el (info): No need to load when compiling.
24195         (comint): Require before shell requires it.
24196         (Info-goto-node): Autoload it.
24197         (Info-follow-nearest-node): Declare.
24198         (prolog-help-info, prolog-goto-predicate-info): No need to require info.
24200         * textmodes/artist.el (picture-mode-exit): Declare.
24202         * textmodes/reftex-parse.el (reftex-parse-from-file):
24203         Trivial rewrite so the compiler can parse it better.
24205 2013-05-20  Leo Liu  <sdl.web@gmail.com>
24207         * progmodes/octave.el (octave-help-mode-map)
24208         (octave-help-mode-finish-hook): New variables.
24209         (octave-help-mode, octave-help-mode-finish): New functions.
24210         (octave-help): Use octave-help-mode.
24212 2013-05-20  Glenn Morris  <rgm@gnu.org>
24214         * format-spec.el (format-spec): Allow spec chars with nil.  (Bug#14420)
24216 2013-05-19  Dmitry Gutov  <dgutov@yandex.ru>
24218         * progmodes/ruby-mode.el (ruby-expression-expansion-re): Allow to
24219         start at point, so that expansion starting right after opening
24220         slash in a regexp is recognized.
24221         (ruby-syntax-before-regexp-re): New defvar, extracted from
24222         ruby-syntax-propertize-function.  Since the value of this regexp
24223         is looked up at runtime now, we should be able to turn
24224         `ruby-syntax-methods-before-regexp' into a defcustom later.
24225         (ruby-syntax-propertize-function): Split regexp matching into two
24226         parts, for opening and closing slashes.  That allows us to skip
24227         over string interpolations and support multiline regexps.
24228         Don't call `ruby-syntax-propertize-expansions', instead use another rule
24229         for them, which calls `ruby-syntax-propertize-expansion'.
24230         (ruby-syntax-propertize-expansions): Move `remove-text-properties'
24231         call to `ruby-syntax-propertize-function'.
24232         (ruby-syntax-propertize-expansion): Extracted from
24233         `ruby-syntax-propertize-expansions'.  Handles one expansion.
24234         (ruby-syntax-propertize-percent-literal): Leave point right after
24235         the percent symbol, so that the expression expansion rule can
24236         propertize the contents.
24237         (ruby-syntax-propertize-heredoc): Leave point at bol following the
24238         heredoc openers.
24239         (ruby-syntax-propertize-expansions): Remove.
24241 2013-05-18  Juri Linkov  <juri@jurta.org>
24243         * man.el (Man-default-man-entry): Remove `-' from the end
24244         of the default value.  (Bug#14400)
24246 2013-05-18  Glenn Morris  <rgm@gnu.org>
24248         * comint.el (comint-password-prompt-regexp):
24249         Allow "password for XXX" where XXX contains colons (eg https://...).
24251 2013-05-18  Leo Liu  <sdl.web@gmail.com>
24253         * progmodes/octave.el (inferior-octave-startup): Use OCTAVE_SRCDIR
24254         instead.  Include "--no-gui" to prevent hangs for Octave > 3.7.
24255         (octave-source-directories): Don't check process.
24256         (octave-source-directories, octave-find-definition): Doc fix.
24258 2013-05-18  Glenn Morris  <rgm@gnu.org>
24260         * progmodes/vhdl-mode.el (vhdl-mode-map-init):
24261         Remove backspace/delete bindings.  (Bug#14392)
24263         * cus-dep.el (custom-make-dependencies): Sort the output.
24264         (custom-versions-load-alist): Convert comment to doc.
24266 2013-05-17  Leo Liu  <sdl.web@gmail.com>
24268         * newcomment.el (comment-search-backward): Stricter in finding
24269         comment start.  (Bug#14303)
24271         * progmodes/octave.el (octave-comment-start): Remove the SPC char.
24272         (octave-comment-start-skip): Properly anchored.
24274 2013-05-17  Leo Liu  <sdl.web@gmail.com>
24276         * emacs-lisp/smie.el (smie-highlight-matching-block-mode):
24277         Clean up when turned off.  (Bug#14395)
24278         (smie--highlight-matching-block-overlay): No longer buffer-local.
24279         (smie-highlight-matching-block): Adjust.
24281 2013-05-17  Paul Eggert  <eggert@cs.ucla.edu>
24283         Doc string fix for "nanoseconds" (Bug#14406).
24284         * emacs-lisp/timer.el (timer-relative-time, timer-inc-time):
24285         Fix doc string typo that had "nanoseconds" instead of "microseconds".
24287 2013-05-17  Jay Belanger  <jay.p.belanger@gmail.com>
24289         * calc/calc-units.el (math-extract-units): Preserve powers
24290         of units.
24292 2013-05-17  Leo Liu  <sdl.web@gmail.com>
24294         * subr.el (delete-consecutive-dups): New function.
24295         * ido.el (ido-set-matches-1): Use it.
24296         * progmodes/octave.el (inferior-octave-completion-table): Use it.
24297         * ido.el (ido-remove-consecutive-dups): Remove.
24299 2013-05-17  Stefan Monnier  <monnier@iro.umontreal.ca>
24301         * progmodes/f90.el (f90-keywords-re, f90-keywords-level-3-re)
24302         (f90-hpf-keywords-re, f90-constants-re): Use \\_< rather than
24303         regexp-opt's `words'.
24305 2013-05-16  Leo Liu  <sdl.web@gmail.com>
24307         * emacs-lisp/smie.el (smie-matching-block-highlight): New face.
24308         (smie--highlight-matching-block-overlay)
24309         (smie--highlight-matching-block-lastpos)
24310         (smie--highlight-matching-block-timer): New variables.
24311         (smie-highlight-matching-block): New function.
24312         (smie-highlight-matching-block-mode): New minor mode.  (Bug#14395)
24313         (smie-setup): Conditionally enable smie-blink-matching-open.
24315 2013-05-16  Wilson Snyder  <wsnyder@wsnyder.org>
24317         Sync with upstream verilog-mode r840.
24318         * progmodes/verilog-mode.el (verilog-mode-version)
24319         (verilog-mode-release-date): Update.
24320         (verilog-auto-lineup, verilog-auto-reset): Doc fixes.
24321         (verilog-sig-tieoff): Fix string error on
24322         AUTORESET with colon define, bug594.  Reported by Andrew Hou.
24323         (verilog-read-decls): Fix parameters confusing
24324         AUTOINST interfaces, bug565.  Reported by Leith Johnson.
24326 2013-05-16  Eli Zaretskii  <eliz@gnu.org>
24328         * subr.el (reveal-filename): New function.
24330         * loadup.el: Compute Emacs executable versions on MS-Windows,
24331         where executables have the .exe extension.  Add a hard link
24332         emacs-XX.YY.ZZ.exe on MS-Windows.
24334         * Makefile.in (XARGS_LIMIT): New variable.
24335         (custom-deps, finder-data, autoloads)
24336         ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
24337         ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
24338         ($(CAL_DIR)/hol-loaddefs.el): Use reveal-filename.
24339         (compile-main): Limit xargs according to $(XARGS_LIMIT).
24341 2013-05-16  Leo Liu  <sdl.web@gmail.com>
24343         * progmodes/octave.el (octave-indent-defun): Mark obsolete.
24344         (octave-mode-menu, octave-mode-map): Remove its uses.
24346 2013-05-16  Reto Zimmermann  <reto@gnu.org>
24348         Sync with upstream vhdl mode v3.34.2.
24349         * progmodes/vhdl-mode.el: Use `push' throughout.
24350         (vhdl-version, vhdl-time-stamp, vhdl-doc-release-notes): Update.
24351         (vhdl-compiler-alist): Replace "\t\n" by "\\t\\n".
24352         Add IBM & Quartus compiler.  Enhance entry for ADVance MS compiler.
24353         (vhdl-actual-generic-name): New option to derive actual generic name.
24354         (vhdl-port-paste-signals): Replace formal by actual generics.
24355         (vhdl-beautify): New name for old group vhdl-align.  Update users.
24356         (vhdl-beautify-options): New option.
24357         (vhdl-last-input-event): New compat alias.  Use throughout.
24358         (vhdl-goto-line): Replace user level function `goto-line'.
24359         (vhdl-mode-map): Add bindings for vhdl-fix-statement-region,
24360         vhdl-fix-statement-buffer.
24361         (vhdl-create-mode-menu): Add some entries.
24362         (vhdl-align-region-groups): Respect vhdl-beautify-options.
24363         (vhdl-align-inline-comment-region-1): Handle "--" inside string.
24364         (vhdl-fixup-whitespace-region): Handle symbols at EOL.
24365         (vhdl-fix-statement-region, vhdl-fix-statement-buffer): New commands,
24366         to force statements on one line.
24367         (vhdl-remove-trailing-spaces-region):
24368         New, split from vhdl-remove-trailing-spaces.
24369         (vhdl-beautify-region): Fix statements, trailing spaces, ^M character.
24370         Respect vhdl-beautify-options.
24371         (vhdl-update-sensitivity-list-buffer): If non-interactive save buffer.
24372         (vhdl-update-sensitivity-list): Not add with index if exists without.
24373         Not include array index with signal.  Ignore keywords in comments.
24374         (vhdl-get-visible-signals): Regexp tweaks.
24375         (vhdl-template-component-inst): Handle empty library.
24376         (vhdl-template-type): Add template for 'enum' type.
24377         (vhdl-port-paste-generic-map, vhdl-port-paste-constants):
24378         Use vhdl-replace-string.
24379         (vhdl-port-paste-signals): Use vhdl-prepare-search-1.
24380         (vhdl-speedbar-mode-map): Rename from vhdl-speedbar-key-map.
24381         (vhdl-speedbar-initialize): Update for above name change.
24382         (vhdl-compose-wire-components): Fix in handling of constants.
24383         (vhdl-error-regexp-emacs-alist): New variable.
24384         (vhdl-error-regexp-add-emacs): New function;
24385         adds support for new compile.el (Emacs 22+)
24386         (vhdl-generate-makefile-1): Change target order for single lib. units.
24387         Allow use of absolute file names.
24389 2013-05-16  Leo Liu  <sdl.web@gmail.com>
24391         * simple.el (prog-indent-sexp): Indent enclosing defun.
24393 2013-05-15  Glenn Morris  <rgm@gnu.org>
24395         * cus-start.el (show-trailing-whitespace): Move to editing basics.
24396         * faces.el (trailing-whitespace): Don't use whitespace-faces group.
24397         * obsolete/old-whitespace.el (whitespace-faces): Remove group.
24398         (whitespace-highlight): Move to whitespace group.
24400         * comint.el (comint-source):
24401         * pcmpl-linux.el (pcmpl-linux):
24402         * shell.el (shell-faces):
24403         * eshell/esh-opt.el (eshell-opt):
24404         * international/ccl.el (ccl): Remove empty custom groups.
24406         * completion.el (dynamic-completion-mode):
24407         * jit-lock.el (jit-lock-debug-mode):
24408         * minibuffer.el (completion-in-region-mode):
24409         * type-break.el (type-break-mode-line-message-mode)
24410         (type-break-query-mode):
24411         * emulation/tpu-edt.el (tpu-edt-mode):
24412         * progmodes/subword.el (global-subword-mode, global-superword-mode):
24413         * progmodes/vhdl-mode.el (vhdl-electric-mode, vhdl-stutter-mode):
24414         * term/vt100.el (vt100-wide-mode): Specify explicit :group.
24416         * term/xterm.el (xterm): Change parent group to terminals.
24418         * master.el (master): Remove empty custom group.
24419         (master-mode): Remove unused :group argument.
24420         * textmodes/refill.el (refill): Remove empty custom group.
24421         (refill-mode): Remove unused :group argument.
24423         * textmodes/rst.el (rst-compile-toolsets): Use rst-compile group.
24425         * cus-dep.el: Provide a feature.
24426         (custom-make-dependencies): Ignore dotfiles (dir-locals).
24427         Don't mistakenly ignore files whose basenames match a basename
24428         from preloaded-file-list (eg cedet/ede/simple.el).
24429         Add a fallback method for getting :group.
24431 2013-05-15  Juri Linkov  <juri@jurta.org>
24433         * isearch.el (isearch-char-by-name): Rename from
24434         `isearch-insert-char-by-name'.  Doc fix.
24435         (isearch-forward): Mention `isearch-char-by-name' in
24436         the docstring.  (Bug#13348)
24438         * isearch.el (minibuffer-local-isearch-map): Bind "\r" to
24439         `exit-minibuffer' instead of
24440         `isearch-nonincremental-exit-minibuffer'.
24441         (isearch-edit-string): Remove mention of
24442         `isearch-nonincremental-exit-minibuffer' from docstring.
24443         (isearch-nonincremental-exit-minibuffer): Mark as obsolete.
24444         (isearch-forward-exit-minibuffer)
24445         (isearch-reverse-exit-minibuffer): Add docstring.  (Bug#13348)
24447 2013-05-15  Stefan Monnier  <monnier@iro.umontreal.ca>
24449         * loadup.el: Just use unversioned DOC.
24451         * nxml/nxml-mode.el: Treat unclosed <[[, <?, comment, and other
24452         literals as extending to EOB.
24453         (nxml-last-fontify-end): Remove unused variable.
24454         (nxml-after-change1): Use with-silent-modifications.
24455         (nxml-extend-after-change-region): Simplify.
24456         (nxml-extend-after-change-region1): Remove function.
24457         (nxml-after-change1): Don't adjust for dependent regions.
24458         (nxml-fontify-matcher): Simplify.
24459         * nxml/xmltok.el (xmltok-dependent-regions): Remove variable.
24460         (xmltok-add-dependent): Remove function.
24461         (xmltok-scan-after-lt, xmltok-scan-after-processing-instruction-open)
24462         (xmltok-scan-after-comment-open, xmltok-scan-prolog-literal)
24463         (xmltok-scan-prolog-after-processing-instruction-open): Treat
24464         unclosed <[[, <?, comment, and other literals as extending to EOB.
24465         * nxml/rng-valid.el (rng-mark-xmltok-dependent-regions)
24466         (rng-mark-xmltok-dependent-region, rng-dependent-region-changed):
24467         Remove functions.
24468         (rng-do-some-validation-1): Don't mark dependent regions.
24469         * nxml/nxml-rap.el (nxml-adjust-start-for-dependent-regions)
24470         (nxml-mark-parse-dependent-regions, nxml-mark-parse-dependent-region)
24471         (nxml-clear-dependent-regions): Remove functions.
24472         (nxml-scan-after-change, nxml-scan-prolog, nxml-tokenize-forward)
24473         (nxml-ensure-scan-up-to-date):
24474         Don't clear&mark dependent regions.
24476 2013-05-15  Leo Liu  <sdl.web@gmail.com>
24478         * progmodes/octave.el (octave-goto-function-definition):
24479         Improve and fix callers.
24481 2013-05-15  Stefan Monnier  <monnier@iro.umontreal.ca>
24483         * emacs-lisp/cl-extra.el (cl-getf): Return the proper value in
24484         the setter (bug#14387).
24486         * progmodes/f90.el (f90-blocks-re): Include the terminating \> in the
24487         surrounding group (bug#14402).
24489 2013-05-14  Juri Linkov  <juri@jurta.org>
24491         * subr.el (find-tag-default-as-regexp): Return nil if `tag' is nil.
24492         (Bug#14390)
24494 2013-05-14  Glenn Morris  <rgm@gnu.org>
24496         * progmodes/f90.el (f90-imenu-generic-expression):
24497         Fix typo in 2013-05-08 change.  (Bug#14402)
24499 2013-05-14  Jean-Philippe Gravel  <jpgravel@gmail.com>
24501         * progmodes/gdb-mi.el (gdb-running, gdb-starting):
24502         Remove signals for which replies are never received.
24504 2013-05-14  Jean-Philippe Gravel  <jpgravel@gmail.com>
24506         * progmodes/gdb-mi.el: Fix non-responsive gud commands (bug#13845)
24507         (gdb-handler-alist, gdb-handler-number): Remove variables.
24508         (gdb-handler-list): New variable.
24509         (gdb-add-handler, gdb-delete-handler, gdb-get-handler-function)
24510         (gdb-pending-handler-p, gdb-handle-reply)
24511         (gdb-remove-all-pending-triggers): New functions.
24512         (gdb-discard-unordered-replies): New defcustom.
24513         (gdb-handler): New defstruct.
24514         (gdb-wait-for-pending): Fix invalid backquote.  Use gdb-handler-list.
24515         instead of gdb-pending-triggers.  Update docstring.
24516         (gdb-init-1): Remove dead variables.  Initialize gdb-handler-list.
24517         (gdb-speedbar-update, gdb-speedbar-timer-fn, gdb-var-update)
24518         (gdb-var-update-handler, def-gdb-auto-update-trigger)
24519         (def-gdb-auto-update-handler, gdb-get-changed-registers)
24520         (gdb-changed-registers-handler, gdb-get-main-selected-frame)
24521         (gdb-frame-handler): Pending triggers are now automatically managed.
24522         (def-gdb-trigger-and-handler, def-gdb-auto-update-handler):
24523         Remove argument.
24524         (gdb-input): Automatically handles pending triggers.  Update docstring.
24525         (gdb-resync): Replace gdb-pending-triggers by gdb-handler-list.
24526         (gdb-thread-exited, gdb-thread-selected, gdb-register-names-handler):
24527         Update comments.
24528         (gdb-done-or-error): Now use gdb-handle-reply.
24530 2013-05-14  Jean-Philippe Gravel  <jpgravel@gmail.com>
24532         * progmodes/gdb-mi.el (gdb-input): Include token numbers in
24533         gdb-debug-log.
24535 2013-05-14  Glenn Morris  <rgm@gnu.org>
24537         * subr.el (user-emacs-directory-warning): New option.
24538         (locate-user-emacs-file): Handle non-accessible .emacs.d.  (Bug#13930)
24540 2013-05-14  Leo Liu  <sdl.web@gmail.com>
24542         * progmodes/octave.el (octave-font-lock-keywords): Fix error
24543         during redisplay.
24544         (octave-goto-function-definition, octave-find-definition): Minor tweaks.
24545         (octave-font-lock-texinfo-comment): Fix invalid search bound
24546         error: wrong side of point.
24548 2013-05-14  Glenn Morris  <rgm@gnu.org>
24550         * progmodes/flymake.el (flymake-xml-program): New option.
24551         (flymake-xml-init): Use it.
24553         * term/xterm.el: Provide a feature.
24555         * term/sup-mouse.el: Move to obsolete/.  Provide a feature.
24557 2013-05-13  Glenn Morris  <rgm@gnu.org>
24559         * cus-dep.el (defcustom-mh, defgroup-mh, defface-mh):
24560         Add compat aliases as a hack workaround.  (Bug#14384)
24562 2013-05-13  Leo Liu  <sdl.web@gmail.com>
24564         * progmodes/octave.el (octave-indent-comment): Fix indentation for
24565         ###, and %!.
24566         (octave-mode-map): Bind octave-indent-defun to C-c C-q instead of
24567         C-M-q.
24568         (octave-comment-start-skip): Include %!.
24569         (octave-mode): Set comment-start-skip to octave-comment-start-skip.
24571 2013-05-12  Leo Liu  <sdl.web@gmail.com>
24573         * progmodes/octave.el (inferior-octave-startup): Store the value
24574         of __octave_srcdir__ for octave-source-directories.
24575         (inferior-octave-check-process): New function refactored out of
24576         inferior-octave-send-list-and-digest.
24577         (octave-source-directories)
24578         (octave-find-definition-filename-function): New variables.
24579         (octave-source-directories)
24580         (octave-find-definition-default-filename): New functions.
24581         (octave-find-definition): Improve to find functions implemented in C++.
24583 2013-05-12  Glenn Morris  <rgm@gnu.org>
24585         * calendar/diary-lib.el (diary-outlook-format-1):
24586         Don't include dayname in the output.  (Bug#14349)
24588 2013-05-11  Glenn Morris  <rgm@gnu.org>
24590         * emacs-lisp/autoload.el (generated-autoload-load-name): Doc fix.
24592         * cus-dep.el (custom-make-dependencies): Only use safe local variables.
24593         Treat cc-provide like provide.
24595 2013-05-11  Kevin Ryde  <user42@zip.com.au>
24597         * cus-dep.el (custom-make-dependencies):
24598         Use generated-autoload-load-name for the sake of files such
24599         such cedet/semantic/bovine/c.el, where the base file name
24600         is not in load-path.  (Bug#5277)
24602 2013-05-11  Glenn Morris  <rgm@gnu.org>
24604         * dos-vars.el, emacs-lisp/cl-indent.el, emulation/tpu-extras.el:
24605         Provide features.
24607 2013-05-11  Leo Liu  <sdl.web@gmail.com>
24609         * progmodes/octave.el (octave-indent-comment): Improve.
24610         (octave-eldoc-message-style, octave-eldoc-cache): New variables.
24611         (octave-eldoc-function-signatures, octave-eldoc-function):
24612         New functions.
24613         (octave-mode, inferior-octave-mode): Add eldoc support.
24615 2013-05-11  Richard Stallman  <rms@gnu.org>
24617         * epa.el (epa-decrypt-file): Take output file name as argument
24618         and read it using `interactive'.
24620 2013-05-11  Leo Liu  <sdl.web@gmail.com>
24622         * progmodes/octave.el (octave-beginning-of-line)
24623         (octave-end-of-line): Check before using up-list because it jumps
24624         out of more syntactic contructs since moving to smie.
24625         (octave-indent-comment): New function.
24626         (octave-mode): Use it in smie-indent-functions.  (Bug#14350)
24627         (octave-begin-keywords, octave-end-keywords)
24628         (octave-reserved-words, octave-smie-bnf-table)
24629         (octave-smie-rules): Add new keywords from Octave 3.6.4.
24631 2013-05-11  Glenn Morris  <rgm@gnu.org>
24633         * faces.el (internal-face-x-get-resource):
24634         * frame.el (ns-display-monitor-attributes-list):
24635         * calc/calc-aent.el (math-to-radians-2):
24636         * emacs-lisp/package.el (tar-header-name, tar-header-link-type):
24637         Fix declarations.
24639         * calc/calc-menu.el: Make it loadable in isolation.
24641         * net/eudcb-bbdb.el: Make it loadable without bbdb.
24642         (eudc-bbdb-filter-non-matching-record, eudc-bbdb-extract-phones)
24643         (eudc-bbdb-extract-addresses, eudc-bbdb-format-record-as-result)
24644         (eudc-bbdb-query-internal): Require 'bbdb.
24646         * lpr.el (lpr-headers-switches):
24647         * emacs-lisp/testcover.el (testcover-compose-functions): Fix :type.
24649         * progmodes/sql.el (sql-login-params): Fix and improve :type.
24651         * emulation/edt-mapper.el: In batch mode, error rather than hang.
24653         * term.el (term-set-escape-char): Make it idempotent.
24655 2013-05-10  Leo Liu  <sdl.web@gmail.com>
24657         * progmodes/octave.el (inferior-octave-completion-table):
24658         No longer a function and all uses changed.  Use cache to speed up
24659         completion due to bug#11906.
24660         (octave-beginning-of-defun): Re-write to be more general.
24662 2013-05-10  Glenn Morris  <rgm@gnu.org>
24664         * emacs-lisp/cl-macs.el (cl-loop): Doc fix.
24666 2013-05-09  Stefan Monnier  <monnier@iro.umontreal.ca>
24668         * comint.el (comint-redirect-send-command-to-process): Use :around
24669         rather than :override for comint-redirect-filter.
24670         (comint-redirect-filter): Add the corresponding `orig-filter' argument.
24671         Call it instead of comint-redirect-original-filter-function (which
24672         is gone).  Reported by Juanma Barranquero <lekktu@gmail.com>.
24674 2013-05-09  Jan Djärv  <jan.h.d@swipnet.se>
24676         * frame.el (display-monitor-attributes-list): Add NS case.
24677         (ns-display-monitor-attributes-list): Declare.
24679 2013-05-09  Ulrich Mueller  <ulm@gentoo.org>
24681         * descr-text.el (describe-char): Fix %d/%x typo.  (Bug#14360)
24683 2013-05-09  Glenn Morris  <rgm@gnu.org>
24685         * international/fontset.el (vertical-centering-font-regexp):
24686         Set standard-value.
24688         * tar-mode.el (tar-superior-buffer, tar-superior-descriptor): Add doc.
24690         * bookmark.el (bookmark-search-delay):
24691         * cus-start.el (vertical-centering-font-regexp):
24692         * ps-mule.el (ps-mule-font-info-database-default):
24693         * ps-print.el (ps-default-fg, ps-default-bg):
24694         * type-break.el (type-break-good-break-interval):
24695         * whitespace.el (whitespace-indentation-regexp)
24696         (whitespace-space-after-tab-regexp):
24697         * emacs-lisp/testcover.el (testcover-1value-functions)
24698         (testcover-noreturn-functions, testcover-progn-functions)
24699         (testcover-prog1-functions):
24700         * emulation/viper-init.el (viper-emacs-state-cursor-color):
24701         * eshell/em-glob.el (eshell-glob-translate-alist):
24702         * play/tetris.el (tetris-tty-colors):
24703         * progmodes/cpp.el (cpp-face-default-list):
24704         * progmodes/flymake.el (flymake-allowed-file-name-masks):
24705         * progmodes/idlw-help.el (idlwave-help-browser-generic-program)
24706         (idlwave-help-browser-generic-args):
24707         * progmodes/make-mode.el (makefile-special-targets-list):
24708         * progmodes/python.el (python-shell-virtualenv-path):
24709         * progmodes/verilog-mode.el (verilog-active-low-regexp)
24710         (verilog-auto-input-ignore-regexp, verilog-auto-inout-ignore-regexp)
24711         (verilog-auto-output-ignore-regexp, verilog-auto-tieoff-ignore-regexp)
24712         (verilog-auto-unused-ignore-regexp, verilog-typedef-regexp):
24713         * textmodes/reftex-vars.el (reftex-format-label-function):
24714         * textmodes/remember.el (remember-diary-file): Fix custom types.
24716         * jka-cmpr-hook.el (jka-compr-mode-alist-additions): Fix typo.
24717         Add :version.
24719 2013-05-09  Leo Liu  <sdl.web@gmail.com>
24721         * progmodes/octave.el (inferior-octave-completion-at-point):
24722         Restore file completion.  (Bug#14300)
24723         (inferior-octave-startup): Fix incorrect highlighting for the
24724         first prompt.
24726 2013-05-08  Stefan Monnier  <monnier@iro.umontreal.ca>
24728         * progmodes/ruby-mode.el: First cut at SMIE support.
24729         (ruby-use-smie): New var.
24730         (ruby-smie-grammar): New constant.
24731         (ruby-smie--bosp, ruby-smie--implicit-semi-p)
24732         (ruby-smie--forward-token, ruby-smie--backward-token)
24733         (ruby-smie-rules): New functions.
24734         (ruby-mode-variables): Setup SMIE if applicable.
24736 2013-05-08  Eli Zaretskii  <eliz@gnu.org>
24738         * simple.el (line-move-visual): Signal beginning/end of buffer
24739         only if vertical-motion moved less than it was requested.  Avoids
24740         silly incorrect error messages when there are display strings with
24741         multiple newlines at EOL.
24743 2013-05-08  Stefan Monnier  <monnier@iro.umontreal.ca>
24745         * progmodes/vera-mode.el (vera-underscore-is-part-of-word):
24746         * progmodes/prolog.el (prolog-underscore-wordchar-flag)
24747         (prolog-char-quote-workaround):
24748         * progmodes/cperl-mode.el (cperl-under-as-char):
24749         * progmodes/vhdl-mode.el (vhdl-underscore-is-part-of-word):
24750         Mark as obsolete.
24751         (vhdl-mode-syntax-table, vhdl-mode-ext-syntax-table): Initialize in
24752         their declaration.
24753         (vhdl-mode-syntax-table-init): Remove.
24755         * progmodes/m4-mode.el (m4-mode-syntax-table): Add comment on
24756         last change.
24758         * progmodes/ld-script.el (ld-script-mode-syntax-table): Use symbol
24759         syntax for "_".
24760         (ld-script-font-lock-keywords):
24761         Change regexps to use things like \_< and \_>.
24763         * progmodes/f90.el (f90-mode-syntax-table): Use symbol syntax for "_".
24764         Change all regexps to use things like \_< and \_>.
24766         * progmodes/autoconf.el (autoconf-definition-regexp)
24767         (autoconf-font-lock-keywords, autoconf-current-defun-function):
24768         Handle a _ with symbol syntax.
24769         (autoconf-mode): Don't change the syntax-table for imenu and font-lock.
24771         * progmodes/ada-mode.el (ada-mode-abbrev-table):
24772         Consolidate declaration.
24773         (ada-mode-syntax-table, ada-mode-symbol-syntax-table): Initialize in
24774         the declaration.
24775         (ada-create-syntax-table): Remove.
24776         (ada-capitalize-word): Don't mess with the syntax of "_" since it
24777         already has the right syntax nowadays.
24778         (ada-goto-next-word): Don't change the syntax of "_".
24780         * font-lock.el (lisp-font-lock-keywords-2): Don't highlight obsolete
24781         with-wrapper-hook.
24783 2013-05-08  Sam Steingold  <sds@gnu.org>
24785         * thingatpt.el (thing-at-point): Accept optional second argument
24786         NO-PROPERTIES to strip the text properties from the return value.
24787         * net/browse-url.el (browse-url-url-at-point): Pass NO-PROPERTIES
24788         to `thing-at-point' instead of stripping the properties ourselves.
24789         Also, when `thing-at-point' fails to find a url, prepend "http://"
24790         to the filename at point on the assumption that the user is
24791         pointing at something like gnu.org/gnu.
24793 2013-05-08  Juanma Barranquero  <lekktu@gmail.com>
24795         * emacs-lisp/bytecomp.el (byte-compile-insert-header):
24796         * faces.el (crm-separator):
24797         Silence byte-compiler.
24799         * progmodes/gud.el (gdb-speedbar-auto-raise, gud-tooltip-mode)
24800         (tool-bar-map): Remove unneeded defvars.
24802 2013-05-08  Leo Liu  <sdl.web@gmail.com>
24804         Re-work a fix for bug#10994 based on Le Wang's patch.
24805         * ido.el (ido-remove-consecutive-dups): New helper.
24806         (ido-completing-read): Use it.
24807         (ido-chop): Revert fix for bug#10994.
24809 2013-05-08  Adam Spiers  <emacs@adamspiers.org>
24811         * cus-edit.el (custom-save-variables):
24812         Pretty-print long values.  (Bug#14187)
24814 2013-05-08  Glenn Morris  <rgm@gnu.org>
24816         * progmodes/m4-mode.el (m4-program): Assume it is in PATH.
24817         (m4-mode-syntax-table): Init in the defvar.
24818         (m4-mode-abbrev-table): Let define-derived-mode define it.
24820 2013-05-08  Tom Tromey  <tromey@redhat.com>
24822         * progmodes/m4-mode.el (m4-mode-syntax-table):
24823         Do not treat "_" as word constituent.  (Bug#14167)
24825 2013-05-07  Glenn Morris  <rgm@gnu.org>
24827         * eshell/em-hist.el (eshell-isearch-map): Initialize in the defvar.
24828         Remove explicit eshell-isearch-cancel-map.
24830         * progmodes/f90.el (f90-smart-end-names): New option.
24831         (f90-smart-end): Doc fix.
24832         (f90-end-block-optional-name): New constant.
24833         (f90-block-match): Respect f90-smart-end-names.
24835 2013-05-07  Stefan Monnier  <monnier@iro.umontreal.ca>
24837         * progmodes/octave.el (octave-smie-forward-token): Be more careful
24838         about implicit semi-colons (bug#14218).
24840 2013-05-07  YAMAMOTO Mitsuharu  <mituharu@math.s.chiba-u.ac.jp>
24842         * frame.el (display-monitor-attributes-list)
24843         (frame-monitor-attributes): New functions.
24845 2013-05-06  Leo Liu  <sdl.web@gmail.com>
24847         * progmodes/octave.el (octave-syntax-propertize-function): Change
24848         \'s syntax to escape when inside double-quoted strings.  (Bug#14332)
24849         (octave-font-lock-keywords): Use octave-operator-regexp.
24850         (octave-completion-at-point): Rename from
24851         octave-completion-at-point-function.
24852         (inferior-octave-directory-tracker): Robustify.
24853         (octave-text-functions): Remove and fix its uses.  No such things
24854         any more.
24856 2013-05-06  Stefan Monnier  <monnier@iro.umontreal.ca>
24858         * emacs-lisp/trace.el (trace--display-buffer): New function.
24859         (trace-make-advice): Use it.
24861 2013-05-06  Juri Linkov  <juri@jurta.org>
24863         * emacs-lisp/lisp-mode.el (eval-defun-2): Doc fix.  (Bug#14344)
24864         (eval-defun-2, eval-defun, eval-last-sexp, eval-last-sexp-1):
24865         Doc fix.
24866         (emacs-lisp-mode-map): Replace "minibuffer" with "echo area"
24867         in the help string.  (Bug#12985)
24869 2013-05-06  Kelly Dean  <kellydeanch@yahoo.com>  (tiny change)
24871         * simple.el (shell-command-on-region): Doc fix.  (Bug#14279)
24873 2013-05-06  Stefan Monnier  <monnier@iro.umontreal.ca>
24875         * progmodes/perl-mode.el: Add support for here documents.
24876         (perl-syntax-propertize-function): Match here-doc markers.
24877         (perl-syntax-propertize-special-constructs): Find their end.
24878         (perl-imenu-generic-expression): Use [:alnum:].
24880         * emacs-lisp/nadvice.el (advice--member-p): Return the advice if found.
24881         (advice--add-function): Refresh the advice if already present
24882         (bug#14317).
24884 2013-05-06  Ivan Andrus  <darthandrus@gmail.com>
24886         * find-file.el (cc-other-file-alist): Add ".m" for ObjC.  (Bug#14339)
24888 2013-05-06  Glenn Morris  <rgm@gnu.org>
24890         * w32-fns.el (w32-charset-info-alist): Declare.
24892         * eshell/em-cmpl.el: Simply require pcomplete; eg we use a bunch
24893         of its defcustom properties.
24894         (eshell-cmpl-initialize): No need to load pcomplete.
24896         * generic-x.el: No need to require comint when compiling.
24898         * net/eudc-export.el: Make it loadable without bbdb.
24899         (top-level): Use require rather than load-library.
24900         (eudc-create-bbdb-record, eudc-bbdbify-phone)
24901         (eudc-batch-export-records-to-bbdb)
24902         (eudc-insert-record-at-point-into-bbdb, eudc-try-bbdb-insert):
24903         Require bbdb.
24905 2013-05-06  Stefan Monnier  <monnier@iro.umontreal.ca>
24907         * progmodes/octave.el (octave-texinfo-font-lock-keywords): Remove.
24908         (octave-font-lock-texinfo-comment): Use texinfo-font-lock-keywords with
24909         some tweaks, instead.
24911 2013-05-05  Leo Liu  <sdl.web@gmail.com>
24913         * progmodes/octave.el (octave-font-lock-keywords)
24914         (octave-font-lock-texinfo-comment): Adjust for the byte-compiler.
24915         (inferior-octave-send-list-and-digest): Improve error message.
24916         (octave-mode, inferior-octave-mode): Use setq-local.
24917         (octave-help): Set info-lookup-mode.
24919 2013-05-05  Richard Stallman  <rms@gnu.org>
24921         * vc/compare-w.el (compare-windows-whitespace):
24922         Treat no-break space as whitespace.
24924         * mail/rmailsum.el (rmail-summary-rmail-update):
24925         Detect empty summary and don't change selected message.
24926         (rmail-summary-goto-msg): Likewise.
24928         * mail/rmailsum.el (rmail-new-summary, rmail-new-summary-1):
24929         Doc fixes, rename args.
24931 2013-05-05  Alan Mackenzie  <acm@muc.de>
24933         * progmodes/cc-defs.el (c-version): Increment to 5.32.5.
24935 2013-05-05  Juri Linkov  <juri@jurta.org>
24937         * info.el (Info-read-subfile): Use (point-min) instead of (point)
24938         to not add the length of the summary segment to the return value.
24939         (Bug#14125)
24941 2013-05-05  Leo Liu  <sdl.web@gmail.com>
24943         * progmodes/octave.el (inferior-octave-strip-ctrl-g)
24944         (inferior-octave-output-filter): Remove.
24945         (octave-send-region, inferior-octave-startup): Fix callers.
24946         (inferior-octave-mode-map): Don't use comint-dynamic-complete.
24947         (octave-binary-file-extensions): New user variable.
24948         (octave-find-definition): Confirm if opening binary files.
24949         (octave-help-file): Use octave-find-definition to get the binary
24950         confirmation.
24951         (octave-help): Adjust for octave-help-file change.
24953 2013-05-05  Stefan Monnier  <monnier@iro.umontreal.ca>
24955         * progmodes/pascal.el (pascal-font-lock-keywords): Use backquotes.
24956         Merge the two entries that handle function definitions.
24957         (pascal--syntax-propertize): New const.
24958         (pascal-mode): Use it.  Use setq-local.
24960 2013-05-04  Glenn Morris  <rgm@gnu.org>
24962         * calendar/diary-lib.el (diary-from-outlook-function): New variable.
24963         (diary-from-outlook): Respect diary-from-outlook-function.
24965 2013-05-04  Stefan Monnier  <monnier@iro.umontreal.ca>
24967         * simple.el (read-expression-map): Use completion-at-point (bug#14255).
24968         Move the declaration from C.
24969         (read-minibuffer, eval-minibuffer): Move from C.
24970         (completion-setup-function): Avoid minibuffer-completion-contents.
24972 2013-05-03  Leo Liu  <sdl.web@gmail.com>
24974         * progmodes/octave.el (octave-font-lock-keywords): Do not
24975         dehighlight 'end' in comments or strings.
24976         (octave-completing-read, octave-goto-function-definition):
24977         New helpers.
24978         (octave-help-buffer): New user variable.
24979         (octave-help-file, octave-help-function): New button types.
24980         (octave-help): New command and bind it to C-h ;.
24981         (octave-find-definition): New command and bind it to M-.
24982         (user-error): Alias to error if not defined.
24984 2013-05-02  Leo Liu  <sdl.web@gmail.com>
24986         * progmodes/octave.el (octave-mode-syntax-table): Correct syntax
24987         for \.  (bug#14332)
24988         (octave-font-lock-keywords): Include [ and {.
24990 2013-05-02  Leo Liu  <sdl.web@gmail.com>
24992         * progmodes/octave.el (inferior-octave-startup-file): Change default.
24993         (inferior-octave): Remove calling comint-mode and return the buffer.
24994         (inferior-octave-startup): Cosmetic changes.
24996 2013-05-02  Leo Liu  <sdl.web@gmail.com>
24998         * progmodes/octave.el (octave-syntax-propertize-function):
24999         Include the case when ' is at line beginning.  (Bug#14336)
25001 2013-05-02  Glenn Morris  <rgm@gnu.org>
25003         * vc/vc-dir.el (vc-dir-mode): Don't autoload it for everyone.
25004         * desktop.el (vc-dir-mode): Just autoload it here.
25006 2013-05-02  Alan Mackenzie  <acm@muc.de>
25008         Eliminate variable c-standard-font-lock-fontify-region-function.
25009         * progmodes/cc-mode.el
25010         (c-standard-font-lock-fontify-region-function): Remove.
25011         (c-font-lock-fontify-region, c-after-font-lock-init): Adapt.
25013 2013-05-01  Leo Liu  <sdl.web@gmail.com>
25015         * progmodes/octave.el: Compatible with older emacs-24 releases.
25016         (inferior-octave-has-built-in-variables): Remove.  Built-in
25017         variables were removed from Octave in 2007.
25018         (inferior-octave-startup): Fix uses.
25019         (comint-line-beginning-position): Remove compatibility code for
25020         emacs 21.
25022 2013-05-01  Juri Linkov  <juri@jurta.org>
25024         * isearch.el (isearch-forward, isearch-mode): Doc fix.  (Bug#13923)
25026 2013-05-01  Juri Linkov  <juri@jurta.org>
25028         * comint.el (comint-previous-matching-input): Don't print message
25029         "History item: %d" when `isearch-mode' is active.
25030         (comint-history-isearch-message): Print message "History item: %d"
25031         when `comint-input-ring-index' is not empty and this function is
25032         called from `isearch-update' with a nil `ellipsis'.  (Bug#13223)
25034 2013-05-01  Leo Liu  <sdl.web@gmail.com>
25036         * progmodes/octave.el (octave-abbrev-table): Remove abbrev
25037         definitions.  Use completion-at-point to insert keywords.
25038         (octave-abbrev-start): Remove.
25039         (inferior-octave-mode, octave-mode): Use :abbrev-table instead.
25041 2013-04-30  Leo Liu  <sdl.web@gmail.com>
25043         * progmodes/octave.el (inferior-octave-prompt-read-only): Fix last
25044         change.
25046 2013-04-30  Alan Mackenzie  <acm@muc.de>
25048         Handle arbitrarily long C++ member initialization lists.
25049         * progmodes/cc-engine.el (c-back-over-member-initializers):
25050         new function.
25051         (c-guess-basic-syntax): New CASE 5R (extracted from 5B) to handle
25052         (most) member init lists.
25054 2013-04-30  Rüdiger Sonderfeld  <ruediger@c-plusplus.de>
25056         * progmodes/octave.el (inferior-octave-prompt-read-only): New user
25057         variable.
25059 2013-04-30  Leo Liu  <sdl.web@gmail.com>
25061         * progmodes/octave.el (octave-variables): Remove.  No builtin
25062         variables any more.  All converted to functions.
25063         (octave-font-lock-keywords, octave-completion-at-point-function):
25064         Fix uses.
25065         (octave-font-lock-texinfo-comment): New user variable.
25066         (octave-texinfo-font-lock-keywords): New variable for texinfo
25067         comment block.
25068         (octave-function-comment-block): New face.
25069         (octave-font-lock-texinfo-comment): New function.
25070         (octave-mode): Font lock texinfo comment block.
25072 2013-04-29  Leo Liu  <sdl.web@gmail.com>
25074         * progmodes/octave.el (octave-font-lock-keywords): Handle 'end' in
25075         indexing expression.
25076         (octave-continuation-string): Do not use \.
25077         (inferior-octave-complete-impossible): Remove.
25078         (inferior-octave-completion-table)
25079         (inferior-octave-completion-at-point): Remove its uses.
25080         (inferior-octave-startup): completion_matches was introduced to
25081         Octave in 1996 so safe to assume it.
25082         (octave-function-file-comment): Improve to follow how Octave does it.
25083         (octave-update-function-file-comment): Tweak.
25085 2013-04-29  Leo Liu  <sdl.web@gmail.com>
25087         * progmodes/octave.el (inferior-octave-startup-hook): Obsolete.
25088         (inferior-octave-startup): Remove inferior-octave-startup-hook.
25089         (octave-function-file-comment): Fix typo.
25090         (octave-sync-function-file-names): Use read-char-choice.
25092 2013-04-28  Jay Belanger  <jay.p.belanger@gmail.com>
25094         * calc/calc.el (math-normalize): Don't set `math-normalize-error'
25095         to t for the less important warnings.
25097 2013-04-27  Darren Hoo  <darren.hoo@gmail.com>  (tiny change)
25099         * isearch.el (isearch-fail-pos): Check for empty `cmds'.  (Bug#14268)
25101 2013-04-27  Glenn Morris  <rgm@gnu.org>
25103         * vc/log-view.el (log-view-current-entry):
25104         Treat "---" separator lines as part of the following rev.  (Bug#14169)
25106 2013-04-27  Juri Linkov  <juri@jurta.org>
25108         * subr.el (read-number): Doc fix about using it by interactive
25109         code letter `n'.  (Bug#14254)
25111 2013-04-27  Juri Linkov  <juri@jurta.org>
25113         * desktop.el (desktop-auto-save-timeout): New option.
25114         (desktop-file-checksum): New variable.
25115         (desktop-save): Add optional arg `auto-save' and don't auto-save
25116         if nothing changed.
25117         (desktop-auto-save-timer): New variable.
25118         (desktop-auto-save, desktop-auto-save-set-timer): New functions.
25119         (after-init-hook): Call `desktop-auto-save-set-timer'.
25120         Suggested by Reuben Thomas <rrt@sc3d.org> in
25121         <http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00327.html>.
25123 2013-04-27  Leo Liu  <sdl.web@gmail.com>
25125         * progmodes/octave.el (octave-function-file-p)
25126         (octave-skip-comment-forward, octave-function-file-comment)
25127         (octave-update-function-file-comment): New functions.
25128         (octave-mode-map): Bind C-c ; to
25129         octave-update-function-file-comment.
25130         (octave-mode-menu): Add octave-update-function-file-comment.
25131         (octave-mode, inferior-octave-mode): Fix doc-string.
25132         (octave-insert-defun): Conform to Octave's coding convention.
25133         (Bug#14285)
25135         * files.el (basic-save-buffer): Don't let errors in
25136         before-save-hook prevent saving buffer.
25138 2013-04-20  Roland Winkler  <winkler@gnu.org>
25140         * faces.el (read-face-name): Use completing-read if arg multiple
25141         is nil.
25143 2013-04-27  Ingo Lohmar  <i.lohmar@gmail.com>  (tiny change)
25145         * ls-lisp.el (ls-lisp-insert-directory): If no files are
25146         displayed, move point to after the totals line.
25147         See http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00677.html
25148         for the details.
25150 2013-04-27  Stefan Monnier  <monnier@iro.umontreal.ca>
25152         * emacs-lisp/package.el (package-autoload-ensure-default-file):
25153         Add current dir to the load-path.
25154         (package-generate-autoloads): Don't rely on
25155         autoload-ensure-default-file.
25157 2013-04-26  Reuben Thomas  <rrt@sc3d.org>
25159         * textmodes/remember.el (remember-store-in-files): Document that
25160         the file name format is passed to `format-time-string'.
25162 2013-04-26  Leo Liu  <sdl.web@gmail.com>
25164         * progmodes/octave.el (octave-sync-function-file-names): New function.
25165         (octave-mode): Use it in before-save-hook.
25167 2013-04-26  Stefan Monnier  <monnier@iro.umontreal.ca>
25169         * emacs-lisp/tabulated-list.el (tabulated-list-mode): Disable undo
25170         (bug#14274).
25172         * progmodes/octave.el (octave-smie-forward-token): Properly skip
25173         \n and comment, even if it's not an implicit ; (bug#14218).
25175 2013-04-26  Glenn Morris  <rgm@gnu.org>
25177         * subr.el (read-number): Once more use `read' rather than
25178         `string-to-number', to trap non-numeric input.  (Bug#14254)
25180 2013-04-26  Erik Charlebois  <erikcharlebois@gmail.com>
25182         * emacs-lisp/syntax.el (syntax-propertize-multiline):
25183         Use `syntax-multiline' text property consistently instead of
25184         `font-lock-multiline'.  (Bug#14237)
25186 2013-04-26  Glenn Morris  <rgm@gnu.org>
25188         * emacs-lisp/shadow.el (list-load-path-shadows):
25189         No longer necessary to check for duplicate simple.el, since
25190         2012-07-07 change to init_lread to not include installation lisp
25191         directories in load-path when running uninstalled.  (Bug#14270)
25193 2013-04-26  Leo Liu  <sdl.web@gmail.com>
25195         * progmodes/octave.el (octave-submit-bug-report): Obsolete.
25196         (octave-mode, inferior-octave-mode): Use setq-local.
25197         (octave-not-in-string-or-comment-p): Rename to
25198         octave-in-string-or-comment-p.
25199         (octave-in-comment-p, octave-in-string-p)
25200         (octave-in-string-or-comment-p): Replace defsubst with defun.
25202 2013-04-25  Paul Eggert  <eggert@cs.ucla.edu>
25204         * Makefile.in (distclean): Remove $(lisp)/loaddefs.el~.
25206 2013-04-25  Bastien Guerry  <bzg@gnu.org>
25208         * textmodes/remember.el (remember-data-directory)
25209         (remember-directory-file-name-format): Fix custom types.
25211 2013-04-25  Leo Liu  <sdl.web@gmail.com>
25213         * progmodes/octave.el (octave-completion-at-point-function):
25214         Make use of inferior octave process.
25215         (octave-initialize-completions): Remove.
25216         (inferior-octave-completion-table): New function.
25217         (inferior-octave-completion-at-point): Use it.
25218         (octave-completion-alist): Remove.
25220 2013-04-25  Stefan Monnier  <monnier@iro.umontreal.ca>
25222         * progmodes/opascal.el: Use font-lock and syntax-propertize.
25223         (opascal-mode-syntax-table): New var.
25224         (opascal-literal-kind, opascal-is-literal-end)
25225         (opascal-literal-token-at): Rewrite.
25226         (opascal--literal-start-re, opascal-font-lock-keywords)
25227         (opascal--syntax-propertize): New constants.
25228         (opascal-font-lock-defaults): Adjust.
25229         (opascal-mode): Use them.  Set comment-<foo> variables as well.
25230         (delphi-comment-face, opascal-comment-face, delphi-string-face)
25231         (opascal-string-face, delphi-keyword-face, opascal-keyword-face)
25232         (delphi-other-face, opascal-other-face): Remove face variables.
25233         (opascal-save-state): Remove macro.
25234         (opascal-fontifying-progress-step): Remove constant.
25235         (opascal--ignore-changes): Remove var.
25236         (opascal-set-token-property, opascal-parse-next-literal)
25237         (opascal-is-stable-literal, opascal-complete-literal)
25238         (opascal-is-literal-start, opascal-face-of)
25239         (opascal-parse-region, opascal-parse-region-until-stable)
25240         (opascal-fontify-region, opascal-after-change)
25241         (opascal-debug-show-is-stable, opascal-debug-unparse-buffer)
25242         (opascal-debug-parse-region, opascal-debug-parse-window)
25243         (opascal-debug-parse-buffer, opascal-debug-fontify-window)
25244         (opascal-debug-fontify-buffer): Remove.
25245         (opascal-debug-mode-map): Adjust accordingly.
25247 2013-04-25  Leo Liu  <sdl.web@gmail.com>
25249         Merge octave-mod.el and octave-inf.el into octave.el with some
25250         cleanups.
25251         * progmodes/octave.el: New file renamed from octave-mod.el.
25252         * progmodes/octave-inf.el: Merged into octave.el.
25253         * progmodes/octave-mod.el: Renamed to octave.el.
25255 2013-04-25  Tassilo Horn  <tsdh@gnu.org>
25257         * textmodes/reftex-vars.el
25258         (reftex-label-ignored-macros-and-environments): New defcustom.
25260         * textmodes/reftex-parse.el (reftex-parse-from-file): Use it.
25262 2013-04-25  Stefan Monnier  <monnier@iro.umontreal.ca>
25264         * emacs-lisp/smie.el (smie-indent--hanging-p): Don't burp at EOB.
25265         (smie-indent-keyword): Improve the check to ensure that the next
25266         comment is really on the same line.
25267         (smie-indent-comment): Don't align with a subsequent closer (or eob).
25269         * progmodes/octave-mod.el (octave-smie-forward-token): Only emit
25270         semi-colons if the line is not otherwise empty (bug#14218).
25272 2013-04-25  Glenn Morris  <rgm@gnu.org>
25274         * vc/vc-bzr.el (vc-bzr-print-log): Tweak LIMIT = 1 case.
25276 2013-04-24  Stefan Monnier  <monnier@iro.umontreal.ca>
25278         * progmodes/opascal.el (opascal-set-token-property): Rename from
25279         opascal-set-text-properties and only set `token' (bug#14134).
25280         Suggested by Erik Knowles <eknowles@geosystemsoftware.com>.
25281         (opascal-literal-text-properties): Remove.
25282         (opascal-parse-next-literal, opascal-debug-unparse-buffer):
25283         Adjust callers.
25285 2013-04-24  Reuben Thomas  <rrt@sc3d.org>
25287         * textmodes/remember.el (remember-handler-functions): Add an
25288         option for a new handler `remember-store-in-files'.
25289         (remember-data-directory, remember-directory-file-name-format):
25290         New options.
25291         (remember-store-in-files): New function to store remember notes
25292         as separate files within a directory.
25294 2013-04-24  Magnus Henoch  <magnus.henoch@gmail.com>
25296         * progmodes/compile.el (compilation-next-error-function):
25297         Pass "formats" to compilation-find-file (bug#11777).
25299 2013-04-24  Glenn Morris  <rgm@gnu.org>
25301         * vc/vc-bzr.el (vc-bzr-print-log):
25302         * vc/vc-hg.el (vc-hg-print-log):
25303         * vc/vc-svn.el (vc-svn-print-log):
25304         Fix START-REVISION with LIMIT != 1.  (Bug#14168)
25306         * vc/vc-bzr.el (vc-bzr-print-log):
25307         * vc/vc-cvs.el (vc-cvs-print-log):
25308         * vc/vc-git.el (vc-git-print-log):
25309         * vc/vc-hg.el (vc-hg-print-log):
25310         * vc/vc-mtn.el (vc-mtn-print-log):
25311         * vc/vc-rcs.el (vc-rcs-print-log):
25312         * vc/vc-sccs.el (vc-sccs-print-log):
25313         * vc/vc-svn.el (vc-svn-print-log):
25314         * vc/vc.el (vc-print-log-internal): Doc fixes.
25316 2013-04-23  Glenn Morris  <rgm@gnu.org>
25318         * startup.el (normal-no-mouse-startup-screen, normal-about-screen):
25319         Remove venerable code attempting to avoid substitute-command-keys.
25321 2013-04-23  Tassilo Horn  <tsdh@gnu.org>
25323         * textmodes/reftex-vars.el (reftex-label-regexps):
25324         Call `reftex-compile-variables' after changes to this variable.
25326 2013-04-23  Stefan Monnier  <monnier@iro.umontreal.ca>
25328         * jit-lock.el: Fix signals in jit-lock-force-redisplay (bug#13542).
25329         Use lexical-binding.
25330         (jit-lock-force-redisplay): Use markers, check buffer's continued
25331         existence and beware narrowed buffers.
25332         (jit-lock-fontify-now): Adjust call accordingly.
25334 2013-04-22  Stefan Monnier  <monnier@iro.umontreal.ca>
25336         * minibuffer.el (minibuffer-completion-contents): Fix obsolescence info
25337         to avoid misleading the user.
25339 2013-04-22  Leo Liu  <sdl.web@gmail.com>
25341         * info-look.el: Prefer latex2e.info.  (Bug#14240)
25343 2013-04-22  Michael Albinus  <michael.albinus@gmx.de>
25345         Fix pack/unpack coding.  Reported by David Smith <davidsmith@acm.org>.
25347         * net/tramp-compat.el (tramp-compat-call-process): Move function ...
25348         * net/tramp.el (tramp-call-process): ... here.
25349         (tramp-set-completion-function, tramp-parse-putty):
25350         * net/tramp-adb.el (tramp-adb-execute-adb-command):
25351         * net/tramp-gvfs.el (tramp-gvfs-send-command):
25352         * net/tramp-sh.el (tramp-sh-handle-set-file-times)
25353         (tramp-set-file-uid-gid, tramp-sh-handle-write-region)
25354         (tramp-call-local-coding-command): Use `tramp-call-process'
25355         instead of `tramp-compat-call-process'.
25357         * net/tramp-sh.el (tramp-perl-pack, tramp-perl-unpack): New defconst.
25358         (tramp-local-coding-commands, tramp-remote-coding-commands): Use them.
25359         (tramp-sh-handle-file-local-copy, tramp-sh-handle-write-region)
25360         (tramp-find-inline-compress): Improve traces.
25361         (tramp-maybe-send-script): Check for Perl binary.
25362         (tramp-get-inline-coding): Do not redirect STDOUT for local decoding.
25364 2013-04-22  Daiki Ueno  <ueno@gnu.org>
25366         * epg.el (epg-context-pinentry-mode): New function.
25367         (epg-context-set-pinentry-mode): New function.
25368         (epg--start): Pass --pinentry-mode option to gpg command.
25370 2013-04-21  Xue Fuqiao  <xfq.free@gmail.com>
25372         * comint.el (comint-dynamic-complete-functions, comint-mode-map):
25373         `comint-dynamic-complete' is obsolete since 24.1, replaced by
25374         `completion-at-point'.  (Bug#13774)
25376         * startup.el (normal-no-mouse-startup-screen): Bug fix, the
25377         default key binding for `describe-distribution' has been moved to
25378         `C-h C-o'.  (Bug#13970)
25380 2013-04-21  Glenn Morris  <rgm@gnu.org>
25382         * vc/vc.el (vc-print-log-setup-buttons, vc-print-log-internal):
25383         Add doc strings.
25384         (vc-print-log): Clarify interactive prompt.
25386 2013-04-20  Glenn Morris  <rgm@gnu.org>
25388         * emacs-lisp/bytecomp.el (byte-compile-insert-header):
25389         No longer include timestamp etc information.
25391 2013-04-20  Roland Winkler  <winkler@gnu.org>
25393         * faces.el (read-face-name): Bug fix, return just one face if arg
25394         multiple is nil.  (Bug#14209)
25396 2013-04-20  Stefan Monnier  <monnier@iro.umontreal.ca>
25398         * emacs-lisp/nadvice.el (advice--where-alist): Add :override.
25399         (remove-function): Autoload.
25401         * comint.el (comint-redirect-original-filter-function): Remove.
25402         (comint-redirect-cleanup, comint-redirect-send-command-to-process):
25403         * vc/vc-cvs.el (vc-cvs-annotate-process-filter)
25404         (vc-cvs-annotate-command):
25405         * progmodes/octave-inf.el (inferior-octave-send-list-and-digest):
25406         * progmodes/prolog.el (prolog-consult-compile):
25407         * progmodes/gdb-mi.el (gdb, gdb--check-interpreter):
25408         Use add/remove-function instead.
25409         * progmodes/gud.el (gud-tooltip-original-filter): Remove.
25410         (gud-tooltip-process-output, gud-tooltip-tips):
25411         Use add/remove-function instead.
25412         * progmodes/xscheme.el (xscheme-previous-process-state): Remove.
25413         (scheme-interaction-mode, exit-scheme-interaction-mode):
25414         Use add/remove-function instead.
25416         * vc/vc-dispatcher.el: Use lexical-binding.
25417         (vc--process-sentinel): Rename from vc-process-sentinel.
25418         Change last arg to be the code to run.  Don't use vc-previous-sentinel
25419         and vc-sentinel-commands any more.
25420         (vc-exec-after): Allow code to be a function.  Use add/remove-function.
25421         (compilation-error-regexp-alist, view-old-buffer-read-only): Declare.
25423 2013-04-19  Masatake YAMATO  <yamato@redhat.com>
25425         * progmodes/sh-script.el (sh-imenu-generic-expression):
25426         Handle function names with a single character.  (Bug#14111)
25428 2013-04-19  Dima Kogan  <dima@secretsauce.net>  (tiny change)
25430         * progmodes/gud.el (gud-perldb-marker-filter): Understand position info
25431         for subroutines defined in an eval (bug#14182).
25433 2013-04-19  Thierry Volpiatto  <thierry.volpiatto@gmail.com>
25435         * bookmark.el (bookmark-completing-read): Improve handling of empty
25436         string (bug#14176).
25438 2013-04-19  Stefan Monnier  <monnier@iro.umontreal.ca>
25440         * vc/vc-dispatcher.el (vc-do-command): Get rid of default sentinel msg.
25442 2013-04-19  Fabián Ezequiel Gallina  <fgallina@gnu.org>
25444         New faster Imenu implementation (bug#14058).
25445         * progmodes/python.el (python-imenu-prev-index-position)
25446         (python-imenu-format-item-label-function)
25447         (python-imenu-format-parent-item-label-function)
25448         (python-imenu-format-parent-item-jump-label-function):
25449         New vars.
25450         (python-imenu-format-item-label)
25451         (python-imenu-format-parent-item-label)
25452         (python-imenu-format-parent-item-jump-label)
25453         (python-imenu--put-parent, python-imenu--build-tree)
25454         (python-imenu-create-index, python-imenu-create-flat-index)
25455         (python-util-popn): New functions.
25456         (python-mode): Set imenu-create-index-function to
25457         python-imenu-create-index.
25459 2013-04-18  Stefan Monnier  <monnier@iro.umontreal.ca>
25461         * winner.el (winner-active-region): Use region-active-p, activate-mark
25462         and deactivate-mark (bug#14225).
25464         * simple.el (deactivate-mark): Don't inline it.
25466 2013-04-18  Michael Albinus  <michael.albinus@gmx.de>
25468         * net/tramp-sh.el (tramp-remote-process-environment): Add "TMOUT=0".
25470 2013-04-18  Tassilo Horn  <tsdh@gnu.org>
25472         * files.el (auto-mode-alist): Delete OpenDocument and StarOffice
25473         file extensions from the archive-mode entry in order to prefer
25474         doc-view-mode-maybe with archive-mode as fallback (bug#14188).
25476 2013-04-18  Leo Liu  <sdl.web@gmail.com>
25478         * bindings.el (help-event-list): Add ?\?.
25480 2013-04-18  Stefan Monnier  <monnier@iro.umontreal.ca>
25482         * subr.el (with-wrapper-hook): Declare obsolete.
25483         * simple.el (filter-buffer-substring-function): New hook.
25484         (filter-buffer-substring): Use it.
25485         (filter-buffer-substring-functions): Mark obsolete.
25486         * minibuffer.el (completion-in-region-function): New hook.
25487         (completion-in-region): Use it.
25488         (completion-in-region-functions): Mark obsolete.
25489         * mail/mailabbrev.el (mail-abbrevs-setup): Use abbrev-expand-function.
25490         * abbrev.el (abbrev-expand-function): New hook.
25491         (expand-abbrev): Use it.
25492         (abbrev-expand-functions): Mark obsolete.
25493         * emacs-lisp/nadvice.el (advice--where-alist): Add :filter-args
25494         and :filter-return.
25496 2013-04-17  Fabián Ezequiel Gallina  <fgallina@gnu.org>
25498         * progmodes/python.el (python-nav--syntactically): Fix cornercases
25499         and do not care about match data.
25501 2013-04-17  Stefan Monnier  <monnier@iro.umontreal.ca>
25503         * emacs-lisp/lisp.el (lisp-completion-at-point): Provide specialized
25504         completion tables when completing error conditions and
25505         `declare' arguments.
25506         (lisp-complete-symbol, field-complete): Mark as obsolete.
25507         (check-parens): Unmatched parens are user errors.
25508         * minibuffer.el (minibuffer-completion-contents): Mark as obsolete.
25510 2013-04-17  Michal Nazarewicz  <mina86@mina86.com>
25512         * textmodes/flyspell.el (flyspell-check-pre-word-p): Return nil if
25513         command changed buffer (ie. `flyspell-pre-buffer' is not current
25514         buffer), which prevents making decisions based on invalid value of
25515         `flyspell-pre-point' in the wrong buffer.  Most notably, this used to
25516         cause an error when `flyspell-pre-point' was nil after switching
25517         buffers.
25518         (flyspell-post-command-hook): No longer needs to change buffers when
25519         checking pre-word.  While at it remove unnecessary progn.
25521 2013-04-17  Nicolas Richard  <theonewiththeevillook@yahoo.fr>  (tiny change)
25523         * textmodes/ispell.el (ispell-add-per-file-word-list):
25524         Fix `flyspell-correct-word-before-point' error when accepting
25525         words and `coment-padding' is an integer by using
25526         `comment-normalize-vars' (Bug #14214).
25528 2013-04-17  Fabián Ezequiel Gallina  <fgallina@gnu.org>
25530         New defun movement commands.
25531         * progmodes/python.el (python-nav--syntactically)
25532         (python-nav--forward-defun, python-nav-backward-defun)
25533         (python-nav-forward-defun): New functions.
25535 2013-04-17  Fabián Ezequiel Gallina  <fgallina@gnu.org>
25537         * progmodes/python.el (python-syntax--context-compiler-macro): New defun.
25538         (python-syntax-context): Use named compiler-macro for backwards
25539         compatibility with Emacs 24.x.
25541 2013-04-17  Leo Liu  <sdl.web@gmail.com>
25543         * progmodes/octave-mod.el (octave-mode-map): Fix key binding to
25544         octave-hide-process-buffer.
25546 2013-04-17  Stefan Monnier  <monnier@iro.umontreal.ca>
25548         * vc/vc-hg.el (vc-hg-annotate-re): Disallow ": " in file names
25549         (bug#14216).
25551 2013-04-17  Jean-Philippe Gravel  <jpgravel@gmail.com>
25553         * progmodes/gdb-mi.el (gdbmi-bnf-incomplete-record-result):
25554         Fix adjustment of offset when receiving incomplete responses from GDB
25555         (bug#14129).
25557 2013-04-16  Stefan Monnier  <monnier@iro.umontreal.ca>
25559         * progmodes/python.el (python-mode-skeleton-abbrev-table): Rename from
25560         python-mode-abbrev-table.
25561         (python-skeleton-define): Adjust accordingly.
25562         (python-mode-abbrev-table): New table that inherits from it so that
25563         python-skeleton-autoinsert does not affect non-skeleton abbrevs.
25565         * abbrev.el (abbrev--symbol): New function, extracted from abbrev-symbol.
25566         (abbrev-symbol): Use it.
25567         (abbrev--before-point): Use it since we already handle inheritance.
25569 2013-04-16  Leo Liu  <sdl.web@gmail.com>
25571         * progmodes/octave-mod.el (octave-mode-map): Remove redundant key
25572         binding to info-lookup-symbol.
25574 2013-04-16  Juanma Barranquero  <lekktu@gmail.com>
25576         * minibuffer.el (completion--twq-all):
25577         * term/ns-win.el (ns-initialize-window-system):
25578         * term/w32-win.el (w32-initialize-window-system): Silence byte-compiler.
25580 2013-04-16  Stefan Monnier  <monnier@iro.umontreal.ca>
25582         * emacs-lisp/nadvice.el (add-function): Default simple vars to their
25583         global bindings.
25585         * doc-view.el (doc-view-start-process): Handle url-handler directories.
25587 2013-04-15  Dmitry Gutov  <dgutov@yandex.ru>
25589         * progmodes/ruby-mode.el (ruby-beginning-of-defun)
25590         (ruby-end-of-defun, ruby-move-to-block): Bind `case-fold-search'
25591         to nil.
25592         (ruby-end-of-defun): Remove the unused arg, change the docstring
25593         to reflect that this function is only used as the value of
25594         `end-of-defun-function'.
25595         (ruby-beginning-of-defun): Remove "top-level" from the docstring,
25596         to reflect an earlier change that beginning/end-of-defun functions
25597         jump between methods in a class definition, as well as top-level
25598         functions.
25600 2013-04-15  Stefan Monnier  <monnier@iro.umontreal.ca>
25602         * minibuffer.el (minibuffer-complete): Don't just scroll
25603         a *Completions* that's been iconified.
25604         (minibuffer-force-complete): Make sure repetitions do cycle when going
25605         through completion-in-region -> minibuffer-complete.
25607 2013-04-15  Alan Mackenzie  <acm@muc.de>
25609         Correct the placement of c-cpp-delimiters when there're #s not at
25610         col 0.
25612         * progmodes/cc-langs.el (c-anchored-cpp-prefix): Reformulate and
25613         place a submatch around the #.
25614         * progmodes/cc-mode.el(c-neutralize-syntax-in-and-mark-CPP):
25615         Start a search at BOL.  Put the c-cpp-delimiter category text propertiy
25616         on the #, not BOL.
25618 2013-04-15  Stefan Monnier  <monnier@iro.umontreal.ca>
25620         * emacs-lisp/nadvice.el: Properly test names when adding advice.
25621         (advice--member-p): New arg `name'.
25622         (advice--add-function, advice-member-p): Use it (bug#14202).
25624 2013-04-15  Filipp Gunbin  <fgunbin@fastmail.fm>
25626         Reformulate java imenu-generic-expression.
25627         The old expression contained ill formed regexps.
25629         * progmodes/cc-menus.el (cc-imenu-java-ellipsis-regexp)
25630         (cc-imenu-java-type-spec-regexp, cc-imenu-java-comment-regexp)
25631         (cc-imenu-java-method-arg-regexp): New defconsts.
25632         (cc-imenu-java-build-type-args-regex): New defun.
25633         (cc-imenu-java-generic-expression): Fix, to remove "ambiguous"
25634         handling of spaces in the regexp.
25636 2013-03-15  Agustín Martín Domingo  <agustin.martin@hispalinux.es>
25638         * textmodes/ispell.el (ispell-command-loop): Remove
25639         flyspell highlight of a word when ispell accepts it (bug #14178).
25641 2013-04-15  Michael Albinus  <michael.albinus@gmx.de>
25643         * net/ange-ftp.el (ange-ftp-run-real-handler-orig): New defun,
25644         uses code from the previous `ange-ftp-run-real-handler'.
25645         (ange-ftp-run-real-handler): Set it to `tramp-run-real-handler'
25646         only in case that function exist.  This is needed for proper
25647         unloading of Tramp.
25649 2013-04-15  Tassilo Horn  <tsdh@gnu.org>
25651         * textmodes/reftex-vars.el (reftex-label-regexps): New defcustom.
25653         * textmodes/reftex.el (reftex-compile-variables): Use it.
25655 2013-04-14  Stefan Monnier  <monnier@iro.umontreal.ca>
25657         * files.el (normal-mode): Only use default major-mode if no other mode
25658         was specified.
25660         * emacs-lisp/trace.el (trace-values): New function.
25662         * files.el: Allow : in local variables (bug#14089).
25663         (hack-local-variable-regexp): New var.
25664         (hack-local-variables-prop-line, hack-local-variables): Use it.
25666 2013-04-13  Roland Winkler  <winkler@gnu.org>
25668         * textmodes/bibtex.el (bibtex-search-entries): Bug fix.  Use match
25669         data before it gets modified by bibtex-beginning-of-entry.
25671 2013-04-13  Roland Winkler  <winkler@gnu.org>
25673         * textmodes/bibtex.el (bibtex-url): Doc fix.
25675 2013-04-13  Roland Winkler  <winkler@gnu.org>
25677         * textmodes/bibtex.el (bibtex-initialize): If the current buffer
25678         does not visit a BibTeX file, exclude it from the list of buffers
25679         returned by bibtex-initialize.
25681 2013-04-13  Stephen Berman  <stephen.berman@gmx.net>
25683         * window.el (split-window): Remove interactive form, since as a
25684         command this function is a special case of split-window-below.
25685         Correct doc string.
25687 2013-04-12  Roland Winkler  <winkler@gnu.org>
25689         * faces.el (read-face-name): Do not override value of arg default.
25690         Allow single faces and strings as default values.  Remove those
25691         elements from return value that are not faces.
25692         (describe-face): Simplify.
25693         (face-at-point): New optional args thing and multiple so that this
25694         function can provide the same functionality previously provided by
25695         read-face-name.
25696         (make-face-bold, make-face-unbold, make-face-italic)
25697         (make-face-unitalic, make-face-bold-italic, invert-face)
25698         (modify-face, read-face-and-attribute): Use face-at-point.
25700         * cus-edit.el (customize-face, customize-face-other-window)
25701         * cus-theme.el (custom-theme-add-face)
25702         * face-remap.el (buffer-face-set)
25703         * facemenu.el (facemenu-set-face): Use face-at-point.
25705 2013-04-12  Michael Albinus  <michael.albinus@gmx.de>
25707         * info.el (Info-file-list-for-emacs): Add "tramp" and "dbus".
25709 2013-04-10  Tassilo Horn  <tsdh@gnu.org>
25711         * textmodes/reftex-cite.el (reftex-parse-bibtex-entry): Don't cut
25712         off leading { and trailing } from field values.
25714 2013-04-10  Stefan Monnier  <monnier@iro.umontreal.ca>
25716         * emacs-lisp/timer.el (timer--check): New function.
25717         (timer--time, timer-set-function, timer-event-handler): Use it.
25718         (timer-set-idle-time): Simplify.
25719         (timer--activate): CSE.
25720         (timer-event-handler): Give more info in error message.
25721         (internal-timer-start-idle): New function, moved from C.
25723         * mpc.el (mpc-proc): Add `restart' argument.
25724         (mpc-proc-cmd): Use it.
25725         (mpc--status-timer-run): Also catch signals from `mpc-proc'.
25726         (mpc-status-buffer-show, mpc-tagbrowser-dir-toggle): Call `mpc-proc'
25727         less often.
25729 2013-04-10  Masatake YAMATO  <yamato@redhat.com>
25731         * progmodes/sh-script.el: Implement `sh-mode' own
25732         `add-log-current-defun-function' (bug#14112).
25733         (sh-current-defun-name): New function.
25734         (sh-mode): Use the function.
25736 2013-04-09  Bastien Guerry  <bzg@gnu.org>
25738         * simple.el (choose-completion-string): Fix docstring (bug#14163).
25740 2013-04-08  Stefan Monnier  <monnier@iro.umontreal.ca>
25742         * emacs-lisp/edebug.el (edebug-mode): Fix typo (bug#14144).
25744         * emacs-lisp/timer.el (timer-event-handler): Don't retrigger a canceled
25745         timer (bug#14156).
25747 2013-04-07  Nic Ferrier  <nferrier@ferrier.me.uk>
25749         * emacs-lisp/ert.el (should, should-not, should-error): Add edebug
25750         declaration.
25752 2013-04-07  Leo Liu  <sdl.web@gmail.com>
25754         * pcmpl-x.el: New file.
25756 2013-04-06  Dmitry Antipov  <dmantipov@yandex.ru>
25758         Do not set x-display-name until X connection is established.
25759         This is needed to prevent from weird situation described at
25760         <http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00212.html>.
25761         * frame.el (make-frame): Set x-display-name after call to
25762         window system initialization function, not before.
25763         * term/x-win.el (x-initialize-window-system): Add optional
25764         display argument and use it.
25765         * term/w32-win.el (w32-initialize-window-system):
25766         * term/ns-win.el (ns-initialize-window-system):
25767         * term/pc-win.el (msdos-initialize-window-system):
25768         Add compatible optional display argument.
25770 2013-04-06  Eli Zaretskii  <eliz@gnu.org>
25772         * files.el (normal-backup-enable-predicate): On MS-Windows and
25773         MS-DOS compare truenames of temporary-file-directory and of the
25774         file, so that 8+3 aliases (usually found in $TEMP on Windows)
25775         don't fail comparison by compare-strings.  Also, compare file
25776         names case-insensitively on MS-Windows and MS-DOS.
25778 2013-04-05  Stefan Monnier  <monnier@iro.umontreal.ca>
25780         * emacs-lisp/package.el (package-compute-transaction): Fix last fix.
25781         Suggested by Donald Curtis <dcurtis@coe.edu> (bug#14082).
25783 2013-04-05  Dmitry Gutov  <dgutov@yandex.ru>
25785         * whitespace.el (whitespace-color-on, whitespace-color-off):
25786         Only call `font-lock-fontify-buffer' when `font-lock-mode' is on.
25788 2013-04-05  Jacek Chrząszcz  <chrzaszcz@mimuw.edu.pl>  (tiny change)
25790         * ispell.el (ispell-set-spellchecker-params):
25791         Really set `ispell-args' for all equivs.
25793 2013-04-05  Stefan Monnier  <monnier@iro.umontreal.ca>
25795         * ido.el (ido-completions): Use extra elements of ido-decorations
25796         (bug#14143).
25797         (ido-decorations): Update docstring.
25799 2013-04-05  Michael Albinus  <michael.albinus@gmx.de>
25801         * autorevert.el (auto-revert-mode, auto-revert-tail-mode)
25802         (global-auto-revert-mode): Let-bind `auto-revert-use-notify' to
25803         nil during initialization, in order not to miss changes since the
25804         file was opened.  (Bug#14140)
25806 2013-04-05  Leo Liu  <sdl.web@gmail.com>
25808         * kmacro.el (kmacro-call-macro): Fix bug#14135.
25810 2013-04-05  Jay Belanger  <jay.p.belanger@gmail.com>
25812         * calc/calc-units.el (calc-convert-units): Rewrite conditional.
25814 2013-04-04  Glenn Morris  <rgm@gnu.org>
25816         * electric.el (electric-pair-inhibit-predicate): Add :version.
25818 2013-04-04  Stefan Monnier  <monnier@iro.umontreal.ca>
25820         * emacs-lisp/package.el (package-compute-transaction): Fix ordering
25821         when a package is required several times (bug#14082).
25823 2013-04-04  Roland Winkler  <winkler@gnu.org>
25825         * faces.el (read-face-name): Behave as promised by the docstring.
25826         Assume that arg default is a list of faces.
25827         (describe-face): Call read-face-name with list of default faces.
25829 2013-04-04  Thierry Volpiatto  <thierry.volpiatto@gmail.com>
25831         * bookmark.el: Fix deletion of bookmarks (bug#13972).
25832         (bookmark-bmenu-list): Don't toggle filenames if alist is empty.
25833         (bookmark-bmenu-execute-deletions): Only skip first line if it's
25834         the header.
25835         (bookmark-exit-hook-internal): Save even if list is empty.
25837 2013-04-04  Yann Hodique  <yann.hodique@gmail.com>  (tiny change)
25839         * emacs-lisp/package.el (package-pinned-packages): New var.
25840         (package--add-to-archive-contents): Obey it (bug#14118).
25842 2013-04-03  Alan Mackenzie  <acm@muc.de>
25844         Handle `parse-partial-sexp' landing inside a comment opener (Bug#13244).
25845         Also adapt to the new values of element 7 of a parse state.
25847         * progmodes/cc-engine.el (c-state-pp-to-literal): New optional
25848         parameter `not-in-delimiter'.  Handle being inside comment opener.
25849         (c-invalidate-state-cache-1): Reckon with an extra "invalid"
25850         character in case we're typing a '*' after a '/'.
25851         (c-literal-limits): Handle the awkward "not-in-delimiter" cond arm
25852         instead by passing the parameter to c-state-pp-to-literal.
25854         * progmodes/cc-fonts.el (c-font-lock-doc-comments): New handling
25855         for elt. 7 of a parse state.
25857 2013-04-01  Paul Eggert  <eggert@cs.ucla.edu>
25859         Use UTF-8 for most files with non-ASCII characters (Bug#13936).
25860         * international/latin1-disp.el, international/mule-util.el:
25861         * language/cyril-util.el, language/european.el, language/ind-util.el:
25862         * language/lao-util.el, language/thai.el, language/tibet-util.el:
25863         * language/tibetan.el, language/viet-util.el:
25864         Switch from iso-2022-7bit to utf-8 or (if needed) utf-8-emacs.
25866 2013-04-01  Stefan Monnier  <monnier@iro.umontreal.ca>
25868         * electric.el (electric-pair-inhibit-predicate): New var (bug#14000).
25869         (electric-pair-post-self-insert-function): Use it.
25870         (electric-pair-default-inhibit): New function, extracted from
25871         electric-pair-post-self-insert-function.
25873 2013-03-31  Roland Winkler  <winkler@gnu.org>
25875         * emacs-lisp/crm.el (completing-read-multiple): Doc fix.
25877 2013-03-31  Stefan Monnier  <monnier@iro.umontreal.ca>
25879         * hi-lock.el (hi-lock-mode): Cleanup after revert-buffer (bug#13891).
25881 2013-03-30  Fabián Ezequiel Gallina  <fabian@anue.biz>
25883         Un-indent after "pass" and "return" statements (Bug#13888)
25884         * progmodes/python.el (python-indent-block-enders): New var.
25885         (python-indent-calculate-indentation): Use it.
25887 2013-03-30  Michael Albinus  <michael.albinus@gmx.de>
25889         * net/tramp.el (tramp-drop-volume-letter): Make it an ordinary
25890         defun.  Defining it as defalias could introduce too eager
25891         byte-compiler optimization.  (Bug#14030)
25893 2013-03-30  Chong Yidong  <cyd@gnu.org>
25895         * iswitchb.el (iswitchb-read-buffer): Fix typo.
25897 2013-03-30  Leo Liu  <sdl.web@gmail.com>
25899         * kmacro.el (kmacro-call-macro): Add optional arg MACRO.
25900         (kmacro-execute-from-register): Pass the keyboard macro to
25901         kmacro-call-macro or repeating won't work correctly.
25903 2013-03-30  Teodor Zlatanov  <tzz@lifelogs.com>
25905         * progmodes/subword.el: Back to using `forward-symbol'.
25907         * subr.el (forward-whitespace, forward-symbol)
25908         (forward-same-syntax): Move from thingatpt.el.
25910 2013-03-29  Leo Liu  <sdl.web@gmail.com>
25912         * kmacro.el (kmacro-to-register): New command.
25913         (kmacro-execute-from-register): New function.
25914         (kmacro-keymap): Bind to 'x'.  (Bug#14071)
25916 2013-03-29  Stefan Monnier  <monnier@iro.umontreal.ca>
25918         * mpc.el: Use defvar-local and setq-local.
25919         (mpc--proc-connect): Connection failures are not bugs.
25920         (mpc-mode-map): `follow-link' only applies to the buffer's content.
25921         (mpc-volume-map): Bind to the up-events.
25923 2013-03-29  Teodor Zlatanov  <tzz@lifelogs.com>
25925         * progmodes/subword.el (superword-mode): Use `forward-sexp'
25926         instead of `forward-symbol'.
25928 2013-03-28  Stefan Monnier  <monnier@iro.umontreal.ca>
25930         * emacs-lisp/edebug.el (edebug-mode): Make it a minor mode.
25931         (edebug--recursive-edit): Use it.
25932         (edebug-kill-buffer): Don't let-bind kill-buffer-hook.
25933         (edebug-temp-display-freq-count): Don't let-bind buffer-read-only.
25935 2013-03-28  Leo Liu  <sdl.web@gmail.com>
25937         * vc/vc-bzr.el (vc-bzr-revert): Don't backup.  (Bug#14066)
25939 2013-03-27  Eli Zaretskii  <eliz@gnu.org>
25941         * facemenu.el (list-colors-callback): New defvar.
25942         (list-colors-redisplay): New function.
25943         (list-colors-display): Install list-colors-redisplay as the
25944         revert-buffer-function.  (Bug#14063)
25946 2013-03-27  Stefan Monnier  <monnier@iro.umontreal.ca>
25948         * minibuffer.el (completion-pcm--merge-completions): Make sure prefixes
25949         and suffixes don't overlap (bug#14061).
25951         * case-table.el: Use lexical-binding.
25952         (case-table-get-table): New function.
25953         (get-upcase-table): Use it.  Mark as obsolete.  Adjust callers.
25955 2013-03-27  Teodor Zlatanov  <tzz@lifelogs.com>
25957         * progmodes/subword.el: Add `superword-mode' to do word motion
25958         over symbol_words (parallels and leverages `subword-mode' which
25959         does word motion inside MixedCaseWords).
25961 2013-03-27  Aidan Gauland  <aidalgol@no8wireless.co.nz>
25963         * eshell/em-unix.el: Move su and sudo to...
25964         * eshell/em-tramp.el: ...Eshell tramp module.
25966 2013-03-26  Stefan Monnier  <monnier@iro.umontreal.ca>
25968         * desktop.el (desktop--v2s): Rename from desktop-internal-v2s.
25969         Change return value to be a sexp.  Delay `get-buffer' to after
25970         restoring the desktop (bug#13951).
25972 2013-03-26  Leo Liu  <sdl.web@gmail.com>
25974         * register.el: Move semantic tag handling back to
25975         cedet/semantic/senator.el.  (Bug#14052)
25977 2013-03-26  Stefan Monnier  <monnier@iro.umontreal.ca>
25979         * eshell/em-prompt.el (eshell-emit-prompt): Make sure we can't insert
25980         into the prompt either (bug#13963).
25982 2013-03-25  Stefan Monnier  <monnier@iro.umontreal.ca>
25984         * font-lock.el (lisp-font-lock-keywords-2): Don't highlight the "error"
25985         part of "(error-foo)".
25987 2013-03-24  Juri Linkov  <juri@jurta.org>
25989         * replace.el (list-matching-lines-prefix-face): New defcustom.
25990         (occur-1): Pass `list-matching-lines-prefix-face' to the function
25991         `occur-engine' if `face-differs-from-default-p' returns t.
25992         (occur-engine): Add `,' inside backquote construct to evaluate
25993         `prefix-face'.  Propertize the prefix with the `prefix-face' face.
25994         Pass `prefix-face' to the functions `occur-context-lines' and
25995         `occur-engine-add-prefix'.
25996         (occur-engine-add-prefix, occur-context-lines): Add optional arg
25997         `prefix-face' and propertize the prefix with `prefix-face'.
25998         (Bug#14017)
26000 2013-03-24  Leo Liu  <sdl.web@gmail.com>
26002         * nxml/rng-valid.el (rng-validate-while-idle)
26003         (rng-validate-quick-while-idle): Guard against deleted buffer.
26004         (Bug#13999)
26006         * emacs-lisp/edebug.el (edebug-mode): Make sure edebug-kill-buffer
26007         is the last entry in kill-buffer-hook.
26009         * files.el (kill-buffer-hook): Doc fix.
26011 2013-03-23  Dmitry Gutov  <dgutov@yandex.ru>
26013         * emacs-lisp/lisp-mode.el (emacs-lisp-docstring-fill-column):
26014         Make it safe-local.
26016         * vc/diff-mode.el (diff-mode-shared-map): Unbind "/" (Bug#14034).
26018 2013-03-23  Leo Liu  <sdl.web@gmail.com>
26020         * nxml/nxml-util.el (nxml-with-unmodifying-text-property-changes):
26021         Remove.
26023         * nxml/rng-valid.el (rng-validate-mode)
26024         (rng-after-change-function, rng-do-some-validation):
26025         * nxml/rng-maint.el (rng-validate-buffer):
26026         * nxml/nxml-rap.el (nxml-tokenize-forward, nxml-ensure-scan-up-to-date):
26027         * nxml/nxml-outln.el (nxml-show-all, nxml-set-outline-state):
26028         * nxml/nxml-mode.el (nxml-mode, nxml-degrade, nxml-after-change)
26029         (nxml-extend-after-change-region): Use with-silent-modifications.
26031         * nxml/rng-nxml.el (rng-set-state-after): Do not let-bind
26032         timer-idle-list.
26034         * nxml/rng-valid.el (rng-validate-while-idle-continue-p)
26035         (rng-next-error-1, rng-previous-error-1): Do not let-bind
26036         timer-idle-list.  (Bug#13999)
26038 2013-03-23  Juri Linkov  <juri@jurta.org>
26040         * info.el (info-index-match): New face.
26041         (Info-index, Info-apropos-matches): Add a nested subgroup to the
26042         main pattern and add text properties with the new face to matches
26043         in index entries relative to the beginning of the index entry.
26044         (Bug#14015)
26046 2013-03-21  Eric Ludlam  <zappo@gnu.org>
26048         * emacs-lisp/eieio-datadebug.el (data-debug/eieio-insert-slots):
26049         Inhibit read only while inserting objects.
26051 2013-03-22  Teodor Zlatanov  <tzz@lifelogs.com>
26053         * progmodes/cfengine.el: Update docs to mention
26054         `cfengine-auto-mode'.  Use \_> and \_< instead of \> and \< for
26055         symbol motion.  Remove "_" from the word syntax.
26057 2013-03-21  Teodor Zlatanov  <tzz@lifelogs.com>
26059         * progmodes/cfengine.el (cfengine-common-syntax): Add "_" to word
26060         syntax for both `cfengine2-mode' and `cfengine3-mode'.
26062 2013-03-20  Juri Linkov  <juri@jurta.org>
26064         * info.el (Info-next-reference-or-link)
26065         (Info-prev-reference-or-link): New functions.
26066         (Info-next-reference, Info-prev-reference): Use them.
26067         (Info-try-follow-nearest-node): Handle footnote navigation.
26068         (Info-fontify-node): Fontify footnotes.  (Bug#13989)
26070 2013-03-20  Stefan Monnier  <monnier@iro.umontreal.ca>
26072         * subr.el (posn-point, posn-string): Fix it here instead (bug#13979).
26073         * mouse.el (mouse-on-link-p): Undo scroll-bar fix.
26075 2013-03-20  Paul Eggert  <eggert@cs.ucla.edu>
26077         Suppress unnecessary non-ASCII chatter during build process.
26078         * international/ja-dic-cnv.el (skkdic-collect-okuri-nasi)
26079         (batch-skkdic-convert): Suppress most of the chatter.
26080         It's not needed so much now that machines are faster,
26081         and its non-ASCII component was confusing; see Dmitry Gutov in
26082         <http://lists.gnu.org/archive/html/emacs-devel/2013-03/msg00508.html>.
26084 2013-03-20  Leo Liu  <sdl.web@gmail.com>
26086         * ido.el (ido-chop): Fix bug#10994.
26088 2013-03-19  Dmitry Gutov  <dgutov@yandex.ru>
26090         * whitespace.el (whitespace-font-lock, whitespace-font-lock-mode):
26091         Remove vars.
26092         (whitespace-color-on, whitespace-color-off):
26093         Use `font-lock-fontify-buffer' (Bug#13817).
26095 2013-03-19  Stefan Monnier  <monnier@iro.umontreal.ca>
26097         * mouse.el (mouse--down-1-maybe-follows-link): Fix follow-link
26098         remapping in mode-line.
26099         (mouse-on-link-p): Also check [mode-line follow-link] bindings.
26101 2013-03-19  Dmitry Gutov  <dgutov@yandex.ru>
26103         * whitespace.el (whitespace-color-on): Use `prepend' OVERRIDE
26104         value for `whitespace-line' face (Bug#13875).
26105         (whitespace-font-lock-keywords): Change description.
26106         (whitespace-color-on): Don't save `font-lock-keywords' value, save
26107         the constructed keywords instead.
26108         (whitespace-color-off): Use `font-lock-remove-keywords' (Bug#13817).
26110 2013-03-19  Leo Liu  <sdl.web@gmail.com>
26112         * progmodes/compile.el (compilation-display-error): New command.
26113         (compilation-mode-map, compilation-minor-mode-map): Bind it to
26114         C-o.  (Bug#13992)
26116 2013-03-18  Paul Eggert  <eggert@cs.ucla.edu>
26118         * term/x-win.el (x-keysym-pair): Add a Fixme (Bug#13936).
26120 2013-03-18  Jan Djärv  <jan.h.d@swipnet.se>
26122         * mouse.el (mouse-on-link-p): Check for scroll bar (Bug#13979).
26124 2013-03-18  Michael Albinus  <michael.albinus@gmx.de>
26126         * net/tramp-compat.el (tramp-compat-user-error): New defun.
26128         * net/tramp-adb.el (tramp-adb-handle-shell-command):
26129         * net/tramp-gvfs.el (top):
26130         * net/tramp.el (tramp-find-method, tramp-dissect-file-name)
26131         (tramp-handle-shell-command): Use it.
26132         (tramp-dissect-file-name): Raise an error when hostname is a
26133         method name, and neither method nor user is specified.
26135         * net/trampver.el: Update release number.
26137 2013-03-18  Leo Liu  <sdl.web@gmail.com>
26139         Make sure eldoc can be turned off properly.
26140         * emacs-lisp/eldoc.el (eldoc-schedule-timer): Conditionalize on
26141         eldoc-mode.
26142         (eldoc-display-message-p): Revert last change.
26143         (eldoc-display-message-no-interference-p)
26144         (eldoc-print-current-symbol-info): Tweak.
26146 2013-03-18  Tassilo Horn  <tsdh@gnu.org>
26148         * doc-view.el (doc-view-new-window-function): Check the new window
26149         overlay's display property instead the char property of the
26150         buffer's first char.  Use `with-selected-window' instead of
26151         `save-window-excursion' with `select-window'.
26152         (doc-view-document->bitmap): Check the current doc-view overlay's
26153         display property instead the char property of the buffer's first char.
26155 2013-03-18  Paul Eggert  <eggert@cs.ucla.edu>
26157         Automate the build of ja-dic.el (Bug#13984).
26158         * international/ja-dic-cnv.el (skkdic-convert): Remove the annotations
26159         from the input, rather than assume that it's been done for us by the
26160         SKK script unannotate.awk.  Switch ja-dic.el to UTF-8.  Don't put
26161         the current date into a ja-dic.el comment, as that complicates
26162         regression testing.
26164 2013-03-18  Stefan Monnier  <monnier@iro.umontreal.ca>
26166         * whitespace.el: Fix double evaluation.
26167         (whitespace-space, whitespace-hspace, whitespace-tab)
26168         (whitespace-newline, whitespace-trailing, whitespace-line)
26169         (whitespace-space-before-tab, whitespace-indentation)
26170         (whitespace-empty, whitespace-space-after-tab): Turn defcustoms into
26171         obsolete defvars.
26172         (whitespace-hspace-regexp): Fix regexp for emacs-unicode.
26173         (whitespace-color-on): Use a single font-lock-add-keywords call.
26174         Fix double-evaluation of face variables.
26176 2013-03-17  Michael Albinus  <michael.albinus@gmx.de>
26178         * net/tramp-adb.el (tramp-adb-parse-device-names):
26179         Use `start-process' instead of `call-process'.  Otherwise, the
26180         function might be blocked under MS Windows.  (Bug#13299)
26182 2013-03-17  Leo Liu  <sdl.web@gmail.com>
26184         Extend eldoc to display info in the mode-line.  (Bug#13978)
26185         * emacs-lisp/eldoc.el (eldoc-post-insert-mode): New minor mode.
26186         (eldoc-mode-line-string): New variable.
26187         (eldoc-minibuffer-message): New function.
26188         (eldoc-message-function): New variable.
26189         (eldoc-message): Use it.
26190         (eldoc-display-message-p)
26191         (eldoc-display-message-no-interference-p):
26192         Support eldoc-post-insert-mode.
26194         * simple.el (eval-expression-minibuffer-setup-hook): New hook.
26195         (eval-expression): Run it.
26197 2013-03-17  Roland Winkler  <winkler@gnu.org>
26199         * emacs-lisp/crm.el (completing-read-multiple): Ignore empty
26200         strings in the list of return values.
26202 2013-03-17  Jay Belanger  <jay.p.belanger@gmail.com>
26204         * calc/calc-ext.el (math-read-number-fancy): Check for an explicit
26205         radix before checking for HMS forms.
26207 2013-03-16  Leo Liu  <sdl.web@gmail.com>
26209         * progmodes/scheme.el: Add indentation and font-locking for λ.
26210         (Bug#13975)
26212 2013-03-16  Stefan Monnier  <monnier@iro.umontreal.ca>
26214         * emacs-lisp/smie.el (smie-auto-fill): Don't inf-loop if there's no
26215         token before point (bug#13942).
26217 2013-03-16  Leo Liu  <sdl.web@gmail.com>
26219         * thingatpt.el (end-of-sexp): Fix bug#13952.  Use syntax-after.
26221 2013-03-16  Eli Zaretskii  <eliz@gnu.org>
26223         * startup.el (command-line-normalize-file-name): Fix handling of
26224         backslashes in DOS and Windows file names.  Reported by Xue Fuqiao
26225         <xfq.free@gmail.com> in
26226         http://lists.gnu.org/archive/html/help-gnu-emacs/2013-03/msg00245.html.
26228 2013-03-15  Michael Albinus  <michael.albinus@gmx.de>
26230         Sync with Tramp 2.2.7.
26232         * net/trampver.el: Update release number.
26234 2013-03-14  Tassilo Horn  <tsdh@gnu.org>
26236         * doc-view.el: Fix bug#13887.
26237         (doc-view-insert-image): Don't modify overlay associated to
26238         non-live windows, and implement horizontal centering of image in
26239         case it's smaller than the window.
26240         (doc-view-new-window-function): Force redisplay of new windows on
26241         doc-view buffers.
26243 2013-03-13  Karl Fogel  <kfogel@red-bean.com>
26245         * saveplace.el (save-place-alist-to-file): Don't sort
26246         `save-place-alist', just pretty-print it (bug#13882).
26248 2013-03-13  Michael Albinus  <michael.albinus@gmx.de>
26250         * net/tramp-sh.el (tramp-sh-handle-insert-directory):
26251         Check whether `default-file-name-coding-system' is bound.
26252         It isn't in XEmacs.
26254 2013-03-13  Stefan Monnier  <monnier@iro.umontreal.ca>
26256         * emacs-lisp/byte-run.el (defun-declarations-alist): Don't use
26257         backquotes for `obsolete' (bug#13929).
26259         * international/mule.el (find-auto-coding): Include file name in
26260         obsolescence warning (bug#13922).
26262 2013-03-12  Teodor Zlatanov  <tzz@lifelogs.com>
26264         * progmodes/cfengine.el (cfengine-parameters-indent): New variable
26265         for CFEngine 3-specific indentation.
26266         (cfengine3-indent-line): Use it.  Fix up category regex.
26267         (cfengine3-font-lock-keywords): Add bundle and namespace characters.
26269 2013-03-12  Stefan Monnier  <monnier@iro.umontreal.ca>
26271         * type-break.el (type-break-file-name):
26272         * textmodes/remember.el (remember-data-file):
26273         * strokes.el (strokes-file):
26274         * shadowfile.el (shadow-initialize):
26275         * saveplace.el (save-place-file):
26276         * ps-bdf.el (bdf-cache-file):
26277         * progmodes/idlwave.el (idlwave-config-directory):
26278         * net/quickurl.el (quickurl-url-file):
26279         * international/kkc.el (kkc-init-file-name):
26280         * ido.el (ido-save-directory-list-file):
26281         * emulation/viper.el (viper-custom-file-name):
26282         * emulation/vip.el (vip-startup-file):
26283         * calendar/todo-mode.el (todo-file-do, todo-file-done, todo-file-top):
26284         * calendar/timeclock.el (timeclock-file): Use locate-user-emacs-file.
26286 2013-03-12  Paul Eggert  <eggert@cs.ucla.edu>
26288         Switch encodings of tutorials, thai-word to UTF-8 (Bug#13880).
26289         * language/thai-word.el: Switch to UTF-8.
26291 See ChangeLog.16 for earlier changes.
26293 ;; Local Variables:
26294 ;; coding: utf-8
26295 ;; End:
26297   Copyright (C) 2011-2017 Free Software Foundation, Inc.
26299   This file is part of GNU Emacs.
26301   GNU Emacs is free software: you can redistribute it and/or modify
26302   it under the terms of the GNU General Public License as published by
26303   the Free Software Foundation, either version 3 of the License, or
26304   (at your option) any later version.
26306   GNU Emacs is distributed in the hope that it will be useful,
26307   but WITHOUT ANY WARRANTY; without even the implied warranty of
26308   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
26309   GNU General Public License for more details.
26311   You should have received a copy of the GNU General Public License
26312   along with GNU Emacs.  If not, see <http://www.gnu.org/licenses/>.