1 2014-12-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
3 * files.el (directory-files-recursively): Really check whether
6 2014-12-13 Artur Malabarba <bruce.connor.am@gmail.com>
8 * emacs-lisp/package.el (package--list-loaded-files): New function
9 to list files in a given directory which correspond to already
11 (package-activate-1): Reload files given by `package--list-loaded-files'.
12 Fix bug#10125, bug#18443, and bug#18448.
14 2014-12-13 Eric S. Raymond <esr@snark.thyrsus.com>
16 * vc/vc-svn.el (vc-svn-diff): Fix bug #19312.
18 2014-12-13 Michael Albinus <michael.albinus@gmx.de>
20 * simple.el (password-word-equivalents): Add "passcode", used for
21 numeric secrets like PINs or RSA tokens.
23 2014-12-13 Michael Albinus <michael.albinus@gmx.de>
25 * net/tramp-sh.el (tramp-get-remote-path): Use a login shell in
26 order to determine `tramp-own-remote-path'.
28 2014-12-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
30 * progmodes/python.el (python-shell-parse-command): Quote
31 `python-shell-interpreter`. (Bug#19289)
33 2014-12-12 Stefan Monnier <monnier@iro.umontreal.ca>
35 * progmodes/python.el (python-indent-line): Use `noindent' in strings.
36 (python-indent-levels): Document extra value.
37 (python-indent-calculate-indentation): Return `noindent' in strings.
38 (python-indent-post-self-insert-function)
39 (python-indent-calculate-levels): Handle new value.
41 2014-12-12 Lars Magne Ingebrigtsen <larsi@gnus.org>
43 * net/network-stream.el (network-stream-open-starttls): No need to
44 check for the availability of `gnutls-available-p'.
46 * files.el (directory-files-recursively): Don't follow symlinks to
49 2014-12-12 Eric S. Raymond <esr@snark.thyrsus.com>
51 * vc/vc-dav.el, vc/vc-git.el, vc/vc-hg.el, vc/vc-src.el,
52 vc/vc.el: latest-on-branch-p is no longer a public method.
54 * vc/vc.el, vc/vc-hg.el, vc/vc-git.el, vc/vc-hooks.el,
55 vc/vc-mtn.el, vc/vc-rcs.el, vc/vc-sccs.el, vc/vc-src.el: Remove `rollback'
56 method, to be replaced in the future by uncommit.
58 2014-12-11 Michael Albinus <michael.albinus@gmx.de>
60 * vc/vc-hg.el (vc-hg-state): Make FILE absolute. Handle the case
61 that there is empty output.
63 2014-12-11 Stefan Monnier <monnier@iro.umontreal.ca>
65 * emacs-lisp/eldoc.el (eldoc-documentation-function): Change default.
66 (eldoc-mode, eldoc-schedule-timer): Adjust to new default.
68 2014-12-10 Artur Malabarba <bruce.connor.am@gmail.com>
70 * let-alist.el: Add new package and macro.
72 2014-12-10 Eric S. Raymond <esr@snark.thyrsus.com>
74 * vc/vc-dispatcher.el, vc/vc-hooks.el, vc/vc-rcs.el,
75 vc/vc-sccs.el, vc/vc.el: Righteous featurectomy of
76 vc-keep-workfiles, it's a shoot-self-in-foot archaism.
77 Workfiles are always kept.
79 2014-12-10 Rasmus Pank Roulund <emacs@pank.eu>
81 * net/ange-ftp.el (ange-ftp-switches-ok): Disallow flags causing
82 trouble with ls over ftp. These flags result in ls returning no
83 output, causing Tramp-breakage. (bug#19192)
85 2014-12-10 Andreas Schwab <schwab@suse.de>
87 * files.el (file-tree-walk): Use file-name-as-directory unconditionally.
89 2014-12-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
91 * files.el (directory-files-recursively):
92 Use `file-name-all-completions' instead of `directory-files' for
95 * net/shr.el (shr-tag-object): Don't bug out on text elements in
98 2014-12-09 Bozhidar Batsov <bozhidar@batsov.com>
100 * progmodes/ruby-mode.el (auto-mode-alist): Add .rabl, Berksfile
102 (ruby-toggle-string-quotes): New command that allows you to quickly
103 toggle between single-quoted and double-quoted string literals.
105 2014-12-09 Eric S. Raymond <esr@snark.thyrsus.com>
107 * vc/vc-src.el (vc-src-do-comand): Prepend -- to file argument
108 list, avoids problems witth names containing hyphens.
110 2014-12-09 Wilson Snyder <wsnyder@wsnyder.org>
112 Sync with upstream verilog-mode revision aa4b777.
113 * progmodes/verilog-mode.el (verilog-mode-version): Update.
114 (verilog-auto-end-comment-lines-re, verilog-end-block-ordered-re)
115 (verilog-set-auto-endcomments): Automatically comment property/
116 endproperty blocks to match other similar blocks like sequence/
117 endsequence, function/endfunction, etc. Reported by Alex Reed.
118 (verilog-set-auto-endcomments): Fix end comments for functions of
119 type void, etc. Detect the function- or task-name when
120 auto-commenting blocks that lack an explicit portlist.
121 Reported by Alex Reed.
122 (verilog-nameable-item-re): Fix nameable items that can have an
123 end-identifier to include endchecker, endgroup, endprogram,
124 endproperty, and endsequence. Reported by Alex Reed.
125 (verilog-preprocessor-re, verilog-beg-of-statement):
126 Fix indentation of property/endproperty around pre-processor
127 directives. Reported by Alex Reed.
128 (verilog-label-be): When auto-commenting a buffer, consider
129 auto-comments on all known keywords (not just a subset thereof).
130 Reported by Alex Reed.
131 (verilog-beg-of-statement): Fix labeling do-while blocks, bug842.
132 Reported by Alex Reed.
133 (verilog-beg-of-statement-1, verilog-at-constraint-p):
134 Fix hanging with many curly-bracket pairs, bug663.
135 (verilog-do-indent): Fix electric tab deleting form-feeds.
136 Note caused by indent-line-to deleting tabls pre 24.5.
137 (verilog-auto-output, verilog-auto-input, verilog-auto-inout)
138 (verilog-auto-inout-module, verilog-auto-inout-in): Doc fixes.
139 (verilog-read-always-signals, verilog-auto-sense-sigs)
140 (verilog-auto-reset): Fix AUTORESET with always_comb and always_latch,
141 bug844. Reported by Greg Hilton.
143 2014-12-09 Alex Reed <acreed4@gmail.com> (tiny change)
145 * progmodes/verilog-mode.el (verilog-no-indent-begin-re):
146 Fix `verilog-indent-begin-after-if' nil not honoring 'forever',
147 'foreach', and 'do' keywords.
148 (verilog-endcomment-reason-re, verilog-beg-of-statement):
149 Fix labeling do-while blocks, bug842.
150 (verilog-backward-token): Fix indenting sensitivity lists with
151 named events, bug840.
153 2014-12-09 Reto Zimmermann <reto@gnu.org>
155 Sync with upstream vhdl mode v3.36.1.
156 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
157 (vhdl-compiler-alist): Anchor all error regexps.
158 (vhdl-compile-use-local-error-regexp): Change default to nil.
159 (vhdl-asort, vhdl-anot-head-p): Remove.
160 (vhdl-aput, vhdl-adelete, vhdl-aget): Simplify.
161 Remove optional argument of vhdl-aget and update all callers.
162 (vhdl-import-project): Also set `vhdl-compiler'.
164 2014-12-09 Lars Magne Ingebrigtsen <larsi@gnus.org>
166 * files.el (find-files): New function.
168 * net/shr.el (shr-dom-print): Don't print comments.
169 (shr-tag-svg): Give inline SVG images the right type.
171 * net/eww.el (eww-update-header-line-format): Mark valid/invalid
172 certificates in the header line.
173 (eww-invalid-certificate, eww-valid-certificate): New faces.
175 2014-12-09 Fabián Ezequiel Gallina <fgallina@gnu.org>
177 * progmodes/python.el (inferior-python-mode):
178 Set `comint-prompt-read-only` to `t` only locally.
180 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
182 * net/nsm.el (nsm-check-protocol): Test for RC4 on `high'.
183 (nsm-format-certificate): Include more data about the connection.
184 (nsm-query): Fill the text to that it looks nicer.
185 (nsm-check-protocol): Also warn if using SSL3 or older.
187 2014-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
189 * progmodes/gud.el (gud-gdb-completions): Remove unused var `start'.
191 * obsolete/gulp.el (gulp-create-m-p-alist): Remove unused var `mnt-tm'.
193 * net/tramp.el (tramp-handle-make-symbolic-link): Mark unused arg.
195 * info.el (Info-mode-map): Remove left-over binding.
197 * emacs-lisp/avl-tree.el: Use lexical-binding and cl-lib.
198 (avl-tree--root): Remove redundant defsetf.
200 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
202 * net/nsm.el (network-security-level): Remove the detailed
203 description, which was already outdated, and refer the users to
205 (nsm-check-protocol): Check for weak Diffie-Hellman prime bits
208 2014-12-06 Andrey Kotlarski <m00naticus@gmail.com>
210 * net/eww.el (eww-buffers-mode): New major mode.
211 (eww-list-buffers, eww-buffer-select, eww-buffer-show-next)
212 (eww-buffer-show-previous, eww-buffer-kill, eww-buffer-show):
213 New commands/functions (bug#19131).
215 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
217 * net/gnutls.el (gnutls-negotiate): Ignore files found via
218 'file-name-handler-alist' since the gnutls library can't use those
221 2014-12-08 Dmitry Gutov <dgutov@yandex.ru>
223 * vc/vc-hg.el (vc-hg-dir-status-files): Only include ignores files
224 when FILES is non-nil (bug#19304).
226 2014-12-08 Eric S. Raymond <esr@snark.thyrsus.com>
228 * vc/vc-arch.el: Moved to obsolete directory so a test framework
229 won't trip over bit-rot in it. There has been no Arch snapshot
232 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
234 * net/eww.el (eww-follow-link): Revert prefix behaviour to
236 (eww-copy-page-url): Add doc string.
238 2014-12-07 Ivan Shmakov <ivan@siamics.net>
240 * net/eww.el (eww): Move history recording here...
241 (eww-browse-url): ... from here (bug#19253).
243 * net/eww.el (eww-browse-url): Use generate-new-buffer (was:
244 iterating over possible buffer names.)
246 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
248 * net/eww.el (eww-reload): Take a prefix to work locally (bug#19086).
249 (eww-current-buffer): Compilation fix for bug#18550 patch.
251 2014-12-07 Ivan Shmakov <ivan@siamics.net>
253 * net/eww.el (eww-list-histories): Restore the history in the
254 correct buffer (bug#18550).
256 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
258 * net/eww.el (eww-bookmark-prepare): Display URLs in first by
259 displaying shortened titles first (bug#16398).
261 2014-12-07 Tom Willemse <tom@ryuslash.org> (tiny change)
263 * progmodes/python.el: Recognize docstrings.
264 (python-docstring-at-p, python-font-lock-syntactic-face-function):
266 (python-mode): Use them.
268 2014-12-06 Ulf Jasper <ulf.jasper@web.de>
270 * net/newst-treeview.el (newsticker--treeview-list-add-item)
271 (newsticker--treeview-propertize-tag): Bind tree menu to mouse-3.
272 (newsticker--treeview-create-groups-menu)
273 (newsticker--treeview-create-tree-menu): Remove.
274 (newsticker--treeview-tree-open-menu): New.
275 (newsticker-treeview-tree-click): Pass event to
276 `newsticker-treeview-tree-do-click'.
277 (newsticker-treeview-tree-do-click): Open treemenu on mouse-3.
279 2014-12-05 Juri Linkov <juri@linkov.net>
281 * comint.el (comint-history-isearch-search)
282 (comint-history-isearch-wrap): Use field-beginning instead of
283 comint-line-beginning-position.
284 (comint-send-input): Go to the end of the field instead of the end
285 of the line to accept whole multi-line input.
286 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00305.html
288 2014-12-05 Juri Linkov <juri@linkov.net>
290 * minibuffer.el (minibuffer-completion-help):
291 Compare selected-window with minibuffer-window to check whether
292 completions should be displayed near the minibuffer. (Bug#17809)
293 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00311.html
295 2014-12-05 Michael Albinus <michael.albinus@gmx.de>
297 * vc/vc-mtn.el (vc-mtn-root):
298 * vc/vc-svn.el (vc-svn-registered): Make FILE absolute.
300 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
302 * progmodes/sh-script.el (sh-smie-sh-rules): Go back to the beginning
303 of the whole pipe when indenting an opening keyword after a |.
304 Generalize this treatment to opening keywords like "while" (bug#18031).
306 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
308 * simple.el (newline): Place the hook buffer-locally,
309 to make sure it's first.
311 * progmodes/prog-mode.el (prettify-symbols--compose-symbol):
312 Fix handling of symbols with different syntax at beginning/end or with
313 symbol rather than word syntax.
315 2014-12-05 Eli Zaretskii <eliz@gnu.org>
317 * simple.el (line-move): If noninteractive, call line-move-1, not
318 forward-line, since the former is compatible with line-move-visual
319 both in terms of the column to which it moves and the return
322 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
324 * vc/ediff-init.el (ediff-odd-p): Remove.
325 (ediff-background-face): Use cl-oddp instead.
326 (ediff-buffer-live-p): Make it a defsubst.
328 * tooltip.el (tooltip-region-active-p): Remove.
330 * net/shr.el (shr-char-breakable-p, shr-char-kinsoku-bol-p)
331 (shr-char-kinsoku-eol-p, shr-char-nospace-p): Use define-inline.
333 * fringe.el (fringe-bitmap-p): Make it a plain function.
335 * emacs-lisp/eieio-core.el: Prefer inlinable functions over macros.
336 (class-p, generic-p, eieio-object-p, class-abstract-p):
337 Make them defsubst, so as to avoid corner case problems where
338 the arg might be evaluated in the condition-case, or it can't be passed
339 to higher-order functions like `cl-some'.
341 2014-12-05 Nicolas Richard <theonewiththeevillook@yahoo.fr>
343 * wid-edit.el (widget-choose): Let numeric keypad work (bug#19268)
344 and remove old menu-related code.
346 2014-12-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
348 * net/eww.el (eww-display-pdf): Let mailcap determine how to
349 display PDF files (bug#19270).
351 2014-12-05 Juri Linkov <juri@linkov.net>
353 Compare with the most recent window by default.
354 * vc/compare-w.el (compare-windows-get-window-function): New defcustom.
355 (compare-windows-get-recent-window)
356 (compare-windows-get-next-window): New functions.
357 (compare-windows, compare-windows-sync-default-function):
358 Use `compare-windows-get-window-function' instead of `next-window'.
359 (compare-windows): Add diff/match messages with region boundaries.
362 2014-12-04 Stefan Monnier <monnier@iro.umontreal.ca>
364 * subr.el (filter): Remove. Use `cl-remove-if-not' or `seq-filter'.
366 2014-12-04 Lars Magne Ingebrigtsen <larsi@gnus.org>
368 * net/shr.el (shr--extract-best-source): Ignore non-text children.
370 2014-12-04 Eli Zaretskii <eliz@gnu.org>
372 Implement copying of a buffer portion while preserving visual order.
373 * simple.el (bidi-directional-controls-chars)
374 (bidi-directional-non-controls-chars): New variables.
375 (squeeze-bidi-context-1, squeeze-bidi-context)
376 (line-substring-with-bidi-context)
377 (buffer-substring-with-bidi-context): New functions.
379 * files.el (file-tree-walk): Doc fix.
381 2014-12-04 Rupert Swarbrick <ruperts@broadcom.com> (tiny change)
382 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
384 * autoinsert.el (auto-insert-alist): Update C/C++ header and
385 program support to match more extensions. Replace non-alnum
386 characters when generating include guards (headers) and check for
387 more extensions when generating includes (programs)
390 2014-12-03 Eric S. Raymond <esr@snark.thyrsus.com>
392 * files.el (file-tree-walk): Fix docstring.
394 2014-12-03 Karl Fogel <kfogel@red-bean.com>
396 Fix bug whereby saving files hung in VC hook.
398 Saving a buffer visiting a file under SVN control would hang if
399 the remote repository were unreachable, because the VC hooks tried
400 to run "svn status -u" on the file, where the "-u" tells svn to
401 get update information from the remote repository.
402 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00174.html
404 * vc/vc-svn.el (vc-svn-state): Remove optional `localp'
405 argument and always pass "-v" to "svn status", never "-u".
407 2014-12-03 Stefan Monnier <monnier@iro.umontreal.ca>
409 * emacs-lisp/inline.el: Fix up copyright header.
410 (inline-quote, inline-const-p, inline-const-val, inline-error):
411 Silence compiler warnings.
412 (inline-letevals): Fix edebug spec.
413 (inline--testconst-p): Consider lambda expressions as const-p.
414 (inline--getconst-val): Use inline--testconst-p.
416 * minibuffer.el (completion-table-dynamic): Add arg `switch-buffer'
417 and change default to stay in the minibuffer when called from
418 the minibuffer (bug#19250).
419 (lazy-completion-table): Use this new argument to preserve the
422 * progmodes/elisp-mode.el (elisp--local-variables): Don't burp on
423 incorrect lexical elements (bug#19250).
425 2014-12-03 A. N. Other <none@example.com>
427 * files.el (file-tree-walk): Lisp translation of ANSI ftw(3).
429 2014-12-02 Glenn Morris <rgm@gnu.org>
431 * whitespace.el (whitespace-big-indent-regexp): Add :version.
433 2014-12-02 Eric S. Raymond <esr@snark.thyrsus.com>
435 * subr.el (filter): New macro. Because it's just silly for a Lisp
436 not to have this in 2014. And VC needs it.
438 * vc.el, all backends: API simplification: Abolish dir-status.
439 It's replaced by dir-status-files.
441 * vc.el, all backends: API simplification: Remove 4th
442 'default-state' argument from vc-dir-status files and its backend
443 methods - no backend method ever set it. It was used only in the
444 fallback method to to set a default of 'up-to-date, though a
445 convoluted call chain obscured this.
447 * vc-hooks.el: Bind vc-delete-file to Ctrl-x v delete.
449 * vc.el (vc-expand-dirs): Now takes a second BACKEND argument,
450 improving behavior on directories using multiple file-oriented VCSes.
452 * vc/vc.el and all backends: API simplification; clear-headers
453 is no longer a public method. It is now local to the one place
454 it's used, in the RCS steal-lock method.
456 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
458 * vc/vc.el and all backends: API simplification; could-register
459 is no longer a public method. (vc-cvs.el still has a private
462 * vc/vc.el and all backends: API cleanup; the backend diff method
463 takes an explicit async flag. This eliminates a particularly ugly
466 * vc-bzr.el: Restore vc-bzr-state-heuristic as a private method.
467 VC randomly/unpredictably fails without it; cause not yet established.
469 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
471 Merge some of the differences from the standalone CC-mode.
472 The main change is to only use the `category' text-property only when
473 available. For that many calls are changed to use c-get-char-property,
474 c-next-single-property-change, c-sc-scan-lists,
475 c-sc-parse-partial-sexp, c-unmark-<->-as-paren.
477 * progmodes/cc-mode.el (c-just-done-before-change): New var.
478 (c-basic-common-init): Initialize it.
479 (c-common-init): Only use mode-require-final-newline when available.
480 (c-before-change): Check and set c-just-done-before-change.
481 (c-after-change): Re-set c-just-done-before-change.
482 (c-advise-fl-for-region): New macro.
483 (lazy-lock-defer-rest-after-change, lazy-lock-defer-line-after-change)
484 (font-lock-after-change-function, jit-lock-after-change):
487 * progmodes/cc-langs.el (c-modified-constant): New lang var.
488 (c-known-type-key): Don't make a list just to throw it away.
490 * progmodes/cc-engine.el (c-invalidate-state-cache, c-parse-state):
491 Handle the case where categories are not available.
492 (c-record-parse-state-state, c-replay-parse-state-state):
493 Handle marker values.
494 (c-before-change-check-<>-operators): Look for the `syntax-table'
495 property rather than for the corresponding `category'.
496 (c-looking-at-decl-block): Remove unused var
497 `c-disallow-comma-in-<>-arglists'.
498 (c-forward-<>-arglist-recur): Remove unused var
499 `orig-record-found-types'.
501 * progmodes/cc-defs.el (c-version): Bump up to 5.33.
502 (c-use-category): New const.
503 (c-next-single-property-change): New macro.
504 (c-region-is-active-p): Prefer region-active-p when available.
505 (c-search-backward-char-property): Fix old min/max typo; probably
507 (c-mark-<-as-paren, c-mark->-as-paren, c-unmark-<->-as-paren):
508 Turn them into macros that obey c-use-category.
509 (c-sc-scan-lists-no-category+1+1, c-sc-scan-lists-no-category+1-1)
510 (c-sc-scan-lists-no-category-1+1, c-sc-scan-lists-no-category-1-1)
511 (c-sc-scan-lists, c-sc-parse-partial-sexp)
512 (c-looking-at-non-alphnumspace): New macros.
513 (c-sc-parse-partial-sexp-no-category): New function.
514 (c-emacs-features): Add `category-properties' element.
516 * progmodes/cc-cmds.el (c-forward-into-nomenclature)
517 (c-backward-into-nomenclature): Use cc-subword if subword-mode is
519 (c-beginning-of-defun, c-end-of-defun, c-mark-function)
520 (c-indent-line-or-region): Use c-region-is-active-p.
522 * progmodes/cc-bytecomp.el (cc-bytecomp-unbound-variables)
523 (cc-bytecomp-original-functions, cc-bytecomp-original-properties)
524 (cc-bytecomp-loaded-files): Re-set each time the file is loaded.
525 (cc-bytecomp-obsolete-var, cc-bytecomp-ignore-obsolete)
526 (cc-bytecomp-obsolete-fun): Delete unused functions.
528 * progmodes/cc-align.el (c-lineup-respect-col-0): New function.
530 2014-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
532 * net/shr.el (shr-dom-print): Fix up `shr-dom-print' after the
535 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
537 * vc/vc.el (vc-find-conflicted-file): Look for conflicted files in the
538 current "project" rather than just the current directory.
539 * vc/vc-git.el (vc-git-conflicted-files): Clarify in which directory
540 the file names make sense.
542 * vc/smerge-mode.el (smerge-swap): New command.
544 * vc/diff-mode.el (diff-kill-applied-hunks): New command.
546 2014-12-01 Ulf Jasper <ulf.jasper@web.de>
548 * net/newst-treeview.el (newsticker--treeview-item-show):
549 Check window liveliness before measuring its width.
551 * net/newst-backend.el (newsticker--get-news-by-url-callback):
552 Pass correct status to `newsticker--sentinel-work'.
553 (newsticker--sentinel-work): Use "newsticker--download-error" as
554 guid in order to prevent multiple "Could not download..."
555 messages. Fixes bug#19166.
557 2014-12-01 Ivan Shmakov <ivan@siamics.net>
559 * net/eww.el (eww-render): Call `eww-after-render-hook' in the
560 correct buffer (bug#19225).
562 2014-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
564 * net/nsm.el (network-security-level): Change the default to `medium'.
566 * net/eww.el (eww): Leave point in a place that doesn't cause
567 scrolling when displaying "Loading...".
569 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
571 * vc/vc.el, vc/vc-cvs.el, vc/vc-rcs.el, vc/vc-svn.el: The 'merge'
572 backend method of RCS/CVS/SVN is now 'merge-file', to contrast with
573 'merge-branch'. Prompting for merge revisions is pushed down to
574 the back ends; this fixes a layering violation that caused bad
577 * vc/vc.el, vc-hooks.el, and all backends: API simplification;
578 vc-stay-local-p and repository-hostname are no longer public
579 methods. Only the CVS and SVN backends used these, and the SVN
580 support was conditioned out because svn status -v is too slow.
581 The CVS back end retains this machibery and the vc-stay-local
582 configuration variable now only affects it.
584 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
586 * emacs-lisp/inline.el: New file.
588 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
590 * vc/vc.el, vc-hooks.el, and all backends: API simplification;
591 vc-state-heuristic is no longer a public method, having been
592 removed where it is redundant, unnecessary, or known buggy.
593 This eliminated all backends except CVS. Eliminates bug#7850.
595 * vc/vc-cvs.el, vc/vc-hooks.el, vc/vc-rcs.el, vc/vc-sccs.el:
596 Eliminate vc-mistrust-permissions. It was only relevant to the
597 RCS and SCCS back ends and defaulted to t. Code now always
598 mistrusts permissions - by actual measurement the effect on
599 performance is negligible. As a side effect bug#11490 is now
602 * vc/vc.el, vc-hooks.el, and all backends: API simplification;
603 vc-workfile-unchanged-p is no longer a public method (but the RCS
604 and SCCS back ends retain it as a private method used in state
605 computation). This method was redundant with vc-state and usually
606 implemented as a trivial call to same. Fixes the failure mode
607 described in bug#694.
609 * vc/vc.el and all backends: API simplification; init-revision is
610 gone, and vc-registered functions no longer take an
611 initial-revision argument.
613 2014-11-29 Glenn Morris <rgm@gnu.org>
615 * vc/vc-src.el (vc-src, vc-src-diff-switches)
616 (vc-src-master-templates): Fix :version tags.
618 2014-11-29 Paul Rankin <paul@tilk.co> (tiny change)
620 * outline.el (outline-move-subtree-down): Refactor and improve code.
622 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
623 Stefan Monnier <monnier@iro.umontreal.ca>
625 * outline.el (outline-move-subtree-down): Make sure we can move
626 forward to find the end of the subtree and the insertion point
629 2014-11-29 Fabián Ezequiel Gallina <fgallina@gnu.org>
631 * progmodes/python.el (python-shell-completion-setup-code):
632 Use __builtin__ module (or builtins in Python 3) and catch all errors
633 when importing readline and rlcompleter.
635 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
637 * calendar/todo-mode.el: Handle calling revert-buffer (bug#19187).
638 (todo-revert-buffer): New function.
639 (todo-modes-set-1): Use it as the buffer-local value of
640 revert-buffer-function.
642 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
644 * calendar/todo-mode.el (todo-mode): If called interactively, just
645 display a message saying to call todo-show to enter Todo mode
648 2014-11-29 Dmitry Gutov <dgutov@yandex.ru>
650 * vc/vc-hg.el (vc-hg-dir-status-files): Include ignored files.
653 * vc/vc-bzr.el (vc-bzr-after-dir-status): Don't skip ignored
656 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
658 * textmodes/makeinfo.el (makeinfo-buffer): Make it work also for
659 remote `buffer-file-name'.
661 2014-11-29 Leo Liu <sdl.web@gmail.com>
663 * calendar/diary-lib.el (calendar-mark-1): Fix thinko.
665 2014-11-29 Fabián Ezequiel Gallina <fgallina@gnu.org>
667 Set PYTHONUNBUFFERED on shell startup.
669 * progmodes/python.el (python-shell-unbuffered): New var.
670 (python-shell-calculate-process-environment): Use it.
672 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
674 * net/tramp.el (tramp-action-password): Clean password on subsequent
675 attempts even if there was no wrong password indication. (Bug#19047)
677 * net/tramp-sh.el (tramp-get-remote-locale): Return "LC_ALL=C" as
679 (tramp-open-connection-setup-interactive-shell): No need to check
680 for nil as `tramp-get-remote-locale' return value.
682 2014-11-29 Eli Zaretskii <eliz@gnu.org>
684 * vc/vc-git.el (vc-git-command, vc-git--call):
685 Bind coding-system-for-read and coding-system-for-write to
686 vc-git-commits-coding-system.
687 (vc-git-previous-revision): Use "~1" instead of "^", since the
688 latter is a special character for MS-Windows system shells.
690 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
692 Improve XEmacs compatibility.
694 * net/tramp.el (tramp-autoload-file-name-handler):
695 Wrap `temporary-file-directory' by `symbol-value', it doesn't
697 (tramp-read-passwd): Don't use `with-timeout-suspend' and
698 `with-timeout-unsuspend' if they don't exist, like in XEmacs.
699 (tramp-time-less-p, tramp-time-subtract): Remove functions.
700 (tramp-handle-file-newer-than-file-p, tramp-time-diff):
701 * net/tramp-adb.el (tramp-adb-ls-output-time-less-p):
702 * net/tramp-cache.el (tramp-get-file-property):
703 * net/tramp-smb.el (tramp-smb-handle-insert-directory):
704 Use `time-less-p' and `time-subtract, respectively.
706 * net/tramp-adb.el (top): Do not require time-date.el.
708 * net/tramp-compat.el (top): Require time-date.el for XEmacs.
710 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
711 Check, whether `utf-8' is a valid coding system.
713 2014-11-29 Eli Zaretskii <eliz@gnu.org>
715 * vc/vc.el (vc-retrieve-tag): Doc fix.
717 2014-11-28 Stefan Monnier <monnier@iro.umontreal.ca>
719 * simple.el (execute-extended-command--shorter): Fix the "M-p" case
722 2014-11-28 Martin Rudalics <rudalics@gmx.at>
724 Fix two issues around help-window-select. (Bug#11039) (Bug#19012)
725 * help.el (help-window-old-frame): New variable.
726 (help-window-select): Default to nil (Bug#11039).
728 (help-window-setup): When the help window appears on another
729 frame and `help-window-select' is non-nil, give that frame input
730 focus too (Bug#19012).
731 (with-help-window): Store selected frame in
732 help-window-old-frame.
734 2014-11-28 Ulf Jasper <ulf.jasper@web.de>
736 * net/newst-treeview.el (newsticker--treeview-load): Take care of
737 nil value for `newsticker-groups-filename'.
739 2014-11-28 Daiki Ueno <ueno@gnu.org>
741 * epa.el (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
742 (epa-sign-region, epa-encrypt-region):
743 Use `epg-context-set-{passphrase,progress}-callback', instead of
744 `setf'. This partially reverts commit 9e48a95c (bug#19150).
745 Reported by José A. Romero L.
747 2014-11-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
749 * net/eww.el (eww-restore-history):
750 Bind `inhibit-modification-hooks' instead of `after-change-functions'.
752 2014-11-27 Ulf Jasper <ulf.jasper@web.de>
754 * net/newst-backend.el (newsticker--parse-atom-1.0):
755 Handle embedded (x)html in summary node.
757 2014-11-27 Sam Steingold <sds@gnu.org>
759 * menu-bar.el (menu-bar-open): When everything else fails,
760 use (mouse-menu-bar-map).
762 2014-11-27 Ulf Jasper <ulf.jasper@web.de>
764 * net/newst-treeview.el (newsticker-groups-filename):
765 Change default value to nil. Point out that variable is obsolete in doc
767 (newsticker--treeview-load): Change wording of the questions the
768 user is asked when `newsticker-groups-filename' is found to be
769 used and we offer to read and remove the groups file. (bug#19165)
771 2014-11-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
773 * net/eww.el (eww): Record the new URL immediately, so that if the
774 HTTP fetch fails, we have the right URL in the buffer.
775 (eww-process-text-input): Don't shorten the input field if
776 deleting at the last character (bug#19085).
777 (eww-restore-history): Inhibit change functions while restoring
779 (eww-process-text-input): Fix deletion at the start of the field, too.
780 (eww-mode): Revert mistanken removal of `buffer-disable-undo'.
781 (eww-process-text-input): Try to keep track of the size more reliably.
783 * dom.el (dom-pp): New function.
785 2014-11-27 Eli Zaretskii <eliz@gnu.org>
787 * vc/vc-bzr.el (vc-bzr-print-log, vc-bzr-expanded-log-entry):
788 Don't assume --long is the default for "bzr log", always specify
789 it explicitly, in case the user defined an alias for 'log' that
790 uses some other format.
792 2014-11-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
794 * progmodes/python.el (python-eldoc--get-doc-at-point):
795 Strip shell output before returning. (bug#18794)
797 2014-11-27 Dmitry Gutov <dgutov@yandex.ru>
799 Fix indentation before `!=' and after `+='. Originally reported
800 in https://github.com/mooz/js2-mode/issues/174.
801 * progmodes/js.el (js--indent-operator-re): Make assignments and
802 (in)equality operator a separate case.
803 (js--continued-expression-p): Escape the second `+' in the regexp.
805 2014-11-27 Stefan Monnier <monnier@iro.umontreal.ca>
807 * window.el (handle-select-window): Deactivate shift-region (bug#19003).
809 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
811 * net/nsm.el (nsm-new-fingerprint-ok-p): Display the certificate
812 when querying about new certificates.
814 * net/shr.el (shr-make-table-1): dom.el changes for table rendering.
816 * dom.el (dom-by-tag): Use `equal' for comparisons so that tags
818 (dom-elements): Protect against non-text nodes.
819 (dom-non-text-children): New function.
821 * net/eww.el (eww-tag-title): Use `dom-text'.
823 2014-11-26 Sam Steingold <sds@gnu.org>
825 * textmodes/sgml-mode.el (sgml-validate-command): Pass -utf8 to tidy.
827 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
829 * net/eww.el (eww-highest-readability): More dom.el fixes.
831 2014-11-26 Ulf Jasper <ulf.jasper@web.de>
833 * net/newst-backend.el (newsticker--parse-generic-items):
834 Take care of UIDs when adding elements to cache.
836 2014-11-26 Alan Mackenzie <acm@muc.de>
838 Remove spurious reference to symbol category_properties.
839 * progmodes/cc-engine.el (c-state-pp-to-literal): Fix here.
841 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
843 * net/eww.el: Use the new dom.el accessors throughout.
849 2014-11-26 Glenn Morris <rgm@gnu.org>
851 * arc-mode.el (archive-visit-single-files): Add :version.
853 2014-11-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
855 * net/nsm.el (nsm-format-certificate): Don't bug out on missing
857 (nsm-warnings-ok-p): The new version of this function always
858 returned nil when everything was OK.
860 2014-11-25 Teodor Zlatanov <tzz@lifelogs.com>
862 * net/gnutls.el (gnutls): Set :group to 'comm so it's near NSM.
864 * net/nsm.el (nsm-check-tls-connection, nsm-save-host)
865 (nsm-warnings-ok-p): Use `gnutls-peer-status-warning-describe'.
867 2014-11-20 Nicolas Richard <theonewiththeevillook@yahoo.fr>
869 * emacs-lisp/byte-run.el (function-put): Match argument names to
872 2014-11-24 Sam Steingold <sds@gnu.org>
874 * vc/vc-hooks.el (vc-directory-exclusion-list):
875 Fix a trivial typo (bug#19171).
877 2014-11-24 Stefan Monnier <monnier@iro.umontreal.ca>
879 * vc/vc-hooks.el (vc-state-base-face): Don't override
882 2014-11-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
884 * net/eww.el (eww-set-character-encoding): Use `read-coding-system'.
885 (eww-process-text-input): Inhibit read only so that input fields
886 don't get shortened (bug#19085).
888 2014-11-24 Leo Liu <sdl.web@gmail.com>
890 * emacs-lisp/macroexp.el (macroexp-let2*): New macro.
892 * window.el (with-temp-buffer-window)
893 (with-current-buffer-window, with-displayed-buffer-window):
894 * emacs-lisp/cl-macs.el (cl--compiler-macro-adjoin):
895 * emacs-lisp/cl-lib.el (substring):
896 * emacs-lisp/cl-extra.el (cl-getf): Use it.
898 2014-11-24 Eli Zaretskii <eliz@gnu.org>
900 * isearch.el (isearch-update): Don't assume
901 pos-visible-in-window-p will return nil when point is hscrolled
902 out of view. (Bug#19157)
904 2014-11-20 Andrey Kotlarski <m00naticus@gmail.com>
906 * net/eww.el (eww-browse-url): Optionally create new eww buffer.
907 (eww-follow-link): Follow in new buffer in case of prefix
908 argument, open externally with double prefix (bug#19130).
910 2014-11-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
912 * net/eww.el (eww-display-html): Decode the document-defined charset.
913 (eww): Pop to the *eww* buffer immediately after executing the
914 `M-x eww' command to avoid having buffers pop up later.
915 (eww-display-html): Don't pop the *eww* buffer.
916 (eww-display-raw): Ditto.
917 (eww-display-image): Ditto.
918 (eww-follow-link): Make going to #targets in the page work again.
920 2014-11-23 Ivan Shmakov <ivan@siamics.net>
922 * net/eww.el (eww-suggest-uris): New variable.
923 (eww-suggested-uris): New function.
924 (eww): Default to URL under point.
925 (eww-links-at-point): New function.
927 2014-11-20 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
929 * net/eww.el (eww-add-bookmark): Fix bookmark titles.
931 2014-11-17 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
933 * net/eww.el (eww-mode-map): Bind backtab to shr-previous-link.
935 2014-11-23 Kenjiro Nakayama <nakayamakenjiro@gmail.com>
937 * net/eww.el (eww-set-character-encoding): New command and keystroke.
938 (eww-display-raw): Use it (bug#16225).
940 2014-11-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
942 * net/nsm.el (network-security-level): Rename from
943 `nsm-security-level' and documented.
945 * mail/smtpmail.el (smtpmail-via-smtp): Warn unless encrypted and
946 we're sending a password.
948 * net/nsm.el: New file that implements a Network Security Manager.
950 * net/network-stream.el (open-network-stream): Add a new
951 :warn-unless-encrypted parameter.
952 (network-stream-open-plain): Allow warning unless encrypted.
953 (network-stream-open-starttls): Call the Network Security Manager.
954 (network-stream-open-tls): Ditto.
956 2014-11-23 Leo Liu <sdl.web@gmail.com>
958 * calendar/cal-china.el (calendar-chinese-from-absolute-for-diary)
959 (calendar-chinese-to-absolute-for-diary)
960 (calendar-chinese-mark-date-pattern, diary-chinese-anniversary):
961 Handle leap months in Chinese calendar. (Bug#18953)
963 2014-11-22 Alan Mackenzie <acm@muc.de>
965 Fix error with `mark-defun' and "protected:" in C++ Mode.
966 Fixes: debbugs:19134.
968 * progmodes/cc-cmds.el (c-where-wrt-brace-construct): Handle a
969 return code of (label) from c-beginning-of-decl-1.
971 2014-11-22 Ulf Jasper <ulf.jasper@web.de>
973 * net/newst-backend.el (newsticker--sentinel-work):
974 Tell `libxml-parse-xml-region' to discard comments. Fixes bug#18787.
976 2014-11-22 Michael Albinus <michael.albinus@gmx.de>
978 * net/tramp-sh.el (tramp-sh-handle-start-file-process)
979 (tramp-sh-handle-process-file): Propagate `process-environment'.
981 * vc/vc-hg.el (vc-hg-state): No special handling for remote files;
982 Tramp propagates environment variables now.
984 2014-11-22 Eric S. Raymond <esr@snark>
986 * vc/vc-filewise.el: New file to isolate code used only by the
987 file-oriented back ends (SCCS/RCS/CVS/SRC) which should not
988 live in vc.el and certainly not in vc-hooks.el.
990 * vc/vc-hooks.el, vc-rcs.el, vc-sccs.el: vc-name -> vc-master-name.
991 This is preaparatory to isolating all the 'master' functions
992 used only by the file-oriented back ends. With this done first,
993 the substantive diffs will be easier to read.
995 2014-11-21 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
997 * play/morse.el (nato-alphabet): Mark URL in docstring in a way
998 that is recognized by `help-mode'.
1000 2014-11-21 Stefan Monnier <monnier@iro.umontreal.ca>
1002 * desktop.el (desktop-create-buffer): Use activate-mark to set
1003 `mark-active' (bug#19058).
1005 2014-11-21 Eric S. Raymond <esr@snark>
1007 * vc/vc-src.el (vc-src-state): Fix bug that produced spurious
1010 2014-11-21 Eli Zaretskii <eliz@gnu.org>
1012 * vc/vc.el (vc-deduce-fileset): Support invocation from
1013 *vc-change-log* buffer. (Bug#19084)
1015 2014-11-13 Matthew Leach <matthew@mattleach.net>
1017 * arc-mode.el (archive-visit-single-files): New.
1018 (archive-mode): Visit file if archive contains a single file.
1021 2014-11-21 Ulrich Müller <ulm@gentoo.org>
1023 * vc/vc.el: Fix a typo in the commentary.
1025 2014-11-20 Eric S. Raymond <esr@snark.thyrsus.com>
1027 * vc/vc-src.el, vc/vc.el: Added support for SRC. Needs more
1028 testing and a real log-view mode.
1030 * vc/vc-bzr.el, vc/vc-cvs.el, vc/vc-dav.el, vc/vc-git.el:
1031 * vc/vc-hg.el, vc/vc-mtn.el, vc/vc-rcs.el, vc/vc-sccs.el:
1032 * vc/vc-svn.el, vc/vc.el: Remove editable argument from the backend
1033 checkout methods; where it matters (which is only in SCCS and RCS)
1034 files are always checked out editable. This may actually have
1035 been dynamically true already - it looks like the vc-next-action
1036 code evolved past visiting the other case. Tested with RCS.
1038 * vc/vc-arch.el, vc/vc-bzr.el, vc/vc-cvs.el, vc/vc-dav.el:
1039 * vc/vc-git.el, vc/vc-hg.el, vc/vc-mtn.el, vc/vc-rcs.el:
1040 * vc/vc-sccs.el, vc/vc-svn.el, vc/vc.el: Remove never-used rev
1041 argument from the backend checkin methods. Only the RCS, SCCS,
1042 and CVS back ends tried to do anything with it, and that code was
1043 never exercised. Chiseling away the cruft of decades...
1045 2014-11-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
1047 * net/eww.el (eww-render): Remove a no-op :title setting.
1049 2014-11-19 Ivan Shmakov <ivan@siamics.net>
1051 * net/eww.el (eww-history-limit): New variable.
1052 (eww-save-history): Use it (bug#19105).
1053 (eww-reload): Reload the page in the right buffer.
1055 2014-11-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
1057 * net/eww.el (eww-desktop-misc-data): Use `cl-remove-duplicates'.
1059 2014-11-19 Ivan Shmakov <ivan@siamics.net>
1061 * net/eww.el (eww-desktop-remove-duplicates)
1062 (eww-restore-desktop, eww-restore-reload-prompt): New variables.
1063 (eww-mode): Set up desktop mode (bug#18010).
1064 (eww-desktop-data-save, eww-desktop-data-1)
1065 (eww-desktop-history-duplicate, eww-desktop-misc-data)
1066 (eww-restore-desktop): New functions.
1068 2014-11-19 Eli Zaretskii <eliz@gnu.org>
1070 * vc/vc.el (vc-log-internal-common): Turn on log-view-mode in the
1071 correct buffer. (Bug#19101)
1073 2014-11-19 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
1075 * vc/vc-git.el (vc-git-diff): Use "difftool -x diff" with
1076 `diff-switches' if `vc-git-diff-switches' is nil. (Bug#19099)
1078 2014-11-19 Artur Malabarba <bruce.connor.am@gmail.com>
1080 * lisp/ido.el (ido-bury-buffer-at-head): New command.
1081 (ido-buffer-completion-map): Bind it to C-S-b.
1083 2014-11-18 Juri Linkov <juri@linkov.net>
1085 * simple.el (next-line-or-history-element): Wrap next-line
1086 in with-no-warnings.
1087 (previous-line-or-history-element): Wrap previous-line
1088 in with-no-warnings.
1090 2014-11-18 Juri Linkov <juri@linkov.net>
1092 * progmodes/grep.el (grep-compute-defaults):
1093 Compute grep-highlight-matches before its use.
1095 2014-11-18 Juri Linkov <juri@linkov.net>
1097 * replace.el (query-replace-from-to-separator): Turn defvar into
1098 defcustom. Wrap char-displayable-p in ignore-errors because an
1099 attempt to autoload char-displayable-p fails during pre-loading.
1100 Move (propertize "\0" ... 'separator t) out of customizable part
1101 to query-replace-read-from.
1102 (query-replace-read-from): Call custom-reevaluate-setting on
1103 query-replace-from-to-separator to reevaluate the separator
1104 depending on the return value of char-displayable-p.
1105 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00466.html
1107 2014-11-18 Juri Linkov <juri@linkov.net>
1109 * bindings.el (minibuffer-local-map): Rebind [down] from
1110 next-history-element to next-line-or-history-element, and [up]
1111 from previous-history-element to previous-line-or-history-element.
1113 * simple.el (next-line-or-history-element)
1114 (previous-line-or-history-element): New commands.
1115 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00822.html
1117 2014-11-18 Leo Liu <sdl.web@gmail.com>
1119 * emacs-lisp/nadvice.el (define-advice): New macro.
1120 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
1122 (lisp-font-lock-keywords-1): Add define-advice.
1124 2014-11-18 Daiki Ueno <ueno@gnu.org>
1126 * epg.el (epg-context): New slot EDIT-CALLBACK.
1127 (epg--process-filter): Call EDIT-CALLBACK when editing a key.
1128 (epg-reset): Reset EDIT-CALLBACK of the context.
1129 (epg-start-edit-key): New function.
1130 (epg-edit-key): New function.
1132 2014-11-18 Paul Eggert <eggert@cs.ucla.edu>
1134 Port new time stamp handling to Emacs 23.2.
1135 This fix is for Gnus. Problem reported by Katsumi Yamaoka.
1136 * calendar/time-date.el (time-add, time-subtract, time-less-p):
1137 Use eval-and-compile, not eval-when-compile.
1139 2014-11-18 Daiki Ueno <ueno@gnu.org>
1141 * epg.el (epg-context-set-passphrase-callback)
1142 (epg-context-set-progress-callback): Check if the CALLBACK
1143 argument is a function, instead of a cons.
1145 2014-11-18 Daiki Ueno <ueno@gnu.org>
1147 * epa-file.el (epa-file-insert-file-contents)
1148 (epa-file-write-region): Remove redundant check of
1150 * epa.el (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
1151 (epa-sign-region, epa-encrypt-region): Remove redundant check of
1154 2014-11-18 Daiki Ueno <ueno@gnu.org>
1156 * epa-file.el (epa-file-insert-file-contents): Don't show
1157 "*Error*" buffer if input file does not exist.
1158 Reported by Herbert J. Skuhra.
1160 2014-11-18 Paul Pogonyshev <pogonyshev@gmail.com>
1161 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
1163 * progmodes/cc-langs.el: Support some of the new keywords in C++11.
1164 An alternative version of the patch from bug#13871.
1165 (c-operators): Add "alignof".
1166 (c-primitive-type-kwds): Add "char16_t", "char32_t".
1167 (c-type-modifier-kwds): Add "constexpr", "noexcept".
1168 (c-modifier-kwds): Add "thread_local".
1169 (c-constant-kwds): Add "nullptr".
1171 2014-11-17 Michal Nazarewicz <mina86@mina86.com>
1173 * textmodes/tildify.el (tildify-pattern, tildify-space-string):
1174 New variables for specifying tildify pattern and representation of
1175 a hard space -- a no-break space by default -- respectively.
1176 Being buffer-local they are much easier to handle than
1177 `tildify-string-alist' and `tildify-pattern-alist' respectively
1178 that have been used so far. They also works better with derived
1180 (tildify-foreach-region-function): New variable specifying
1181 a function determining portions of buffer that should be
1182 tildified. It allows major modes to create a filtering function
1183 more elaborate than a set of regular expressions. Initialised to
1184 `tildify--deprecated-ignore-evironments' by default to handle now
1185 deprecated `tildify-ignored-environments-alist' variable.
1186 (tildify--foreach-region): A new function that takes
1187 `tildify-foreach-region-function' into account and calls callback
1188 for regions of the buffer that should be tildified.
1189 (tildify-foreach-ignore-environments): A new function which can be
1190 partially applied and used as `tildify-foreach-region-function'.
1191 (tildify-ignored-environments-alist, tildify-pattern)
1192 (tildify-string-alist, tildify--pick-alist-entry): Mark as obsolete.
1193 (tildify--find-env): Rename from `tildify-find-env' and mark as
1195 (tildify--deprecated-ignore-evironments): New function,
1196 immediately marked as obsolete, used to handle deprecated
1197 `tildify-ignored-environments-alist'.
1199 * textmodes/tex-mode.el (tex-common-initialization):
1200 Set `tildify-space-string' and `tildify-foreach-region-function'
1201 variables in all variants of TeX mode since `tildify-string-alist'
1202 and `tildify-ignored-environments-alist' are now empty by default.
1204 * nxml/nxml-mode.el (nxml-mode): Ditto in `nxml-mode'.
1205 If encoding supports it use no-break space instead of character
1206 entity; this changes previous default which used a numeric
1209 * textmodes/sgml-mode.el (sgml-mode): ditto in `sgml-mode'.
1210 If encoding does not support no-break space, use numeric reference;
1211 this changes previous default which used named entity (“ ”)
1214 2014-11-17 Ulf Jasper <ulf.jasper@web.de>
1216 * calendar/icalendar.el (icalendar-export-alarms):
1217 New customizable variable. (Bug#5433)
1218 (icalendar-export-region): Export alarms as specified in
1219 `icalendar-export-alarms'.
1220 (icalendar--create-ical-alarm, icalendar--do-create-ical-alarm):
1221 New functions for exporting alarms.
1223 2014-11-17 Lars Magne Ingebrigtsen <larsi@gnus.org>
1225 * bindings.el (search-map): Move `eww-search-words' to `M-s M-w'.
1227 2014-11-17 Paul Eggert <eggert@cs.ucla.edu>
1229 Port new time stamp handling to old Emacs and to XEmacs.
1230 This is needed for Gnus, which copies time-date.el and which
1231 runs on older Emacs implementations.
1232 * calendar/time-date.el (with-decoded-time-value):
1233 Handle 'nil' and floating-point arg more compatibly with new Emacs.
1234 (encode-time-value, with-decoded-time-value):
1235 Obsolete only if new Emacs.
1236 (time-add, time-subtract, time-less-p): Define if not new Emacs.
1238 Improve time stamp handling, and be more consistent about it.
1239 This implements a suggestion made in:
1240 http://lists.gnu.org/archive/html/emacs-devel/2014-10/msg00587.html
1241 Among other things, this means timer.el no longer needs to
1242 autoload the time-date module.
1243 * allout-widgets.el (allout-elapsed-time-seconds): Doc fix.
1244 * arc-mode.el (archive-ar-summarize):
1245 * calendar/time-date.el (seconds-to-time, days-to-time, time-since):
1246 * emacs-lisp/timer.el (timer-relative-time, timer-event-handler)
1247 (run-at-time, with-timeout-suspend, with-timeout-unsuspend):
1248 * net/tramp.el (tramp-time-less-p, tramp-time-subtract):
1249 * proced.el (proced-time-lessp):
1250 * timezone.el (timezone-time-from-absolute):
1251 * type-break.el (type-break-schedule, type-break-time-sum):
1252 Simplify by using new functionality.
1253 * calendar/cal-dst.el (calendar-next-time-zone-transition):
1254 Do not return time values in obsolete and undocumented (HI . LO)
1255 format; use (HI LO) instead.
1256 * calendar/time-date.el (with-decoded-time-value):
1257 Treat 'nil' as current time. This is mostly for XEmacs.
1258 (encode-time-value, with-decoded-time-value): Obsolete.
1259 (time-add, time-subtract, time-less-p): Use no-op autoloads, for
1260 XEmacs. Define only if XEmacs, as they're now C builtins in Emacs.
1261 * ldefs-boot.el: Update to match new time-date.el
1262 * proced.el: Do not require time-date.
1264 2014-11-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
1266 * net/eww.el (eww-mode): Make the buffer read-only.
1267 (eww-form-text): Inhibit read-only-ness in text input fields
1270 2014-11-16 Stefan Monnier <monnier@iro.umontreal.ca>
1272 * simple.el (execute-extended-command--shorter): Cut search here.
1273 (execute-extended-command): Instead of here.
1275 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
1277 * progmodes/python.el (python-mode): Avoid use of set-local to
1278 keep Emacs 24.x compatibility.
1280 2014-11-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
1282 * net/shr.el (shr): Move to the new defgroup `web'.
1284 * net/eww.el (eww): Ditto.
1286 * simple.el (execute-extended-command): Don't show the help
1287 message if the binding isn't significantly shorter than the
1288 M-x command the user typed (bug#19013).
1290 2014-11-16 Ulf Jasper <ulf.jasper@web.de>
1292 * calendar/icalendar.el (icalendar--convert-tz-offset):
1293 Return complete cons when offsets of standard time and daylight saving
1295 (icalendar-export-region): Fix unbound variable warning.
1297 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
1299 * progmodes/python.el (run-python): Allow CMD to be optional and
1300 default it to a safe command, even for Windows. (bug#18596)
1302 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
1304 * progmodes/python.el (python-shell-calculate-command):
1305 Rename from python-shell-parse-command. Cleanup.
1306 (run-python, run-python-internal): Use it.
1307 (python-shell-calculate-pythonpath): Rename from
1308 python-new-pythonpath.
1309 (python-shell-calculate-process-environment): Use it.
1310 (python-shell-calculate-exec-path): Add comment.
1312 2014-11-16 Thierry Banel <tbanelwebmin@free.fr> (tiny change)
1314 * calc/calc-arith.el (math-max-list, math-min-list): Fix bug
1317 2014-11-16 Andreas Schwab <schwab@linux-m68k.org>
1319 * version.el (emacs-repository-get-version): Use git rev-parse
1322 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
1324 * progmodes/python.el (python-indent-calculate-levels):
1325 Fix indentation behavior multiline dedenter statement. (Bug#18432)
1327 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
1329 * progmodes/python.el (python-indent-region):
1330 Use python-indent-line and skip special cases. (Bug#18843)
1332 2014-11-16 Peder O. Klingenberg <peder@klingenberg.no>
1334 * mail/emacsbug.el (report-emacs-bug): Make a better guess at
1335 envelope-from when reporting through sendmail (bug#19054).
1337 2014-11-16 Oscar Fuentes <ofv@wanadoo.es>
1339 Add faces for the VC modeline state indicator.
1340 * lisp/vc/vc-hooks.el:
1341 (vc-state-faces, vc-state-base-face)
1342 (vc-up-to-date-state, vc-needs-update-state)
1343 (vc-locked-state, vc-locally-added-state)
1344 (vc-conflict-state, vc-removed-state)
1345 (vc-missing-state, vc-edited-state):
1347 (vc-default-mode-line-string): Use them
1349 2014-11-16 Stefan Monnier <monnier@iro.umontreal.ca>
1351 * emacs-lisp/backquote.el (backquote-process): Optimize away ",'".
1353 2014-11-15 Lars Magne Ingebrigtsen <larsi@gnus.org>
1355 * net/eww.el (eww-search-words): Mention `eww-search-prefix'.
1357 2014-11-15 Fabián Ezequiel Gallina <fgallina@gnu.org>
1359 * progmodes/python.el (python-eldoc-setup-code): Enhance string
1360 type checks, simplify printing. (Bug#18962)
1362 2014-11-14 Ivan Andrus <darthandrus@gmail.com>
1364 * progmodes/python.el (python-shell-font-lock-kill-buffer):
1365 (python-shell-font-lock-with-font-lock-buffer)
1366 (python-shell-get-buffer, python-ffap-module-path):
1367 Use `derived-mode-p' instead of equality test on `major-mode'.
1369 2014-11-14 Fabián Ezequiel Gallina <fgallina@gnu.org>
1371 * progmodes/python.el (python-shell-virtualenv-root): Rename from
1372 python-shell-virtualenv-path.
1373 (python-shell-internal-get-process-name)
1374 (python-shell-calculate-process-environment)
1375 (python-shell-calculate-exec-path): Use it.
1377 2014-11-14 Eli Zaretskii <eliz@gnu.org>
1379 * bindings.el (search-map): Fix last change: don't use 'kbd' in
1380 bindings.el, since it is not yet loaded when bindings.el is
1383 2014-11-14 Fabián Ezequiel Gallina <fgallina@gnu.org>
1385 * progmodes/python.el (python-shell-completion-get-completions):
1388 2014-11-14 Lars Magne Ingebrigtsen <larsi@gnus.org>
1390 * net/eww.el (eww-render): Don't set the title to the URL.
1392 2014-11-13 Ulrich Müller <ulm@gentoo.org>
1394 * version.el (emacs-repository-get-version): Call `git log'
1395 command with proper format argument (bug#19049).
1397 2014-11-14 Lars Magne Ingebrigtsen <larsi@gnus.org>
1399 * bindings.el (search-map): Bind M-s M-s to `eww-search-words'.
1401 2014-11-14 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
1403 * net/eww.el (eww-search-words): New command (bug#16258).
1405 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
1407 * net/shr.el (shr-inhibit-images): Add a doc string.
1409 * net/eww.el (eww-after-render-hook): New variable.
1410 (eww-render): Use it.
1412 * net/shr.el (shr-descend): Don't descend further than
1413 `max-specpdl-size' allows (bug#16587).
1414 (shr-depth): New variable.
1415 (shr-warning): New variable.
1417 2014-11-13 Ivan Shmakov <ivan@siamics.net>
1419 * net/shr.el (shr-parse-base): Handle <base href=""> correctly.
1420 (shr-expand-url): Expand absolute URLs correctly (bug#17958).
1422 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
1424 * net/eww.el (eww): Add comment to clarify.
1426 * net/shr.el (shr-parse-image-data): Remove blocked bits from
1427 external SVG images.
1428 (shr-tag-object): Display images in <object> forms (bug#16244).
1429 (shr-tag-table): Also insert <objects> after the tables.
1431 2014-11-13 Michael Albinus <michael.albinus@gmx.de>
1433 * vc/vc-hg.el (vc-hg-state): Disable pager. (Bug#18940)
1435 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
1437 * net/eww.el (eww-form-file): Fix version number.
1439 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
1441 * net/eww.el (eww-form-file): :type isn't a valid `defface' keyword.
1443 2014-11-10 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
1445 * net/eww.el(eww-form-file(defface)): New defface of file upload form.
1446 (eww-submit-file): New key map of file upload.
1447 (eww-form-file): New file upload button and file name context.
1448 (eww-select-file): Select file and display selected file name.
1449 (eww-tag-input): Handle input tag of file type.
1450 (eww-update-field): Add point offset.
1451 (eww-submit): Add submit with multipart/form-data.
1453 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
1455 * net/eww.el (eww-render, eww-display-html, eww-setup-buffer):
1456 Allow taking a buffer to render data in. This allows using several
1457 eww buffers (bug#16211).
1459 2014-11-10 Charles Rendleman <carendle@gmail.com> (tiny change)
1461 * net/eww.el (eww-download-callback): Save only the file contents,
1464 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
1466 * net/eww.el (eww-data): New plist to store all the data relevant
1467 to a single page, used throughout the file instead of the
1468 variables `eww-current-url', `eww-current-dom',
1469 `eww-current-source', and `eww-current-title'.
1470 (eww-readable): Copy over pertinent data from the parent page.
1471 (eww-save-history): Don't let the history grow infinitely.
1473 * net/eww.el: Remove `eww-next-url', `eww-previous-url',
1474 `eww-up-url', `eww-home-url', `eww-start-url' and
1475 `eww-contents-url' and put the data into the `eww-data' plist.
1476 This allow restoring these values after going back in the history.
1478 2014-11-10 Sylvain Chouleur <sylvain.chouleur@gmail.com> (tiny change)
1480 Allow VTIMEZONE where daylight and standard time zones are equal.
1481 See: http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00494.html
1482 * calendar/icalendar.el (icalendar--convert-tz-offset):
1483 Support timezone without daylight saving time.
1485 2014-11-10 Glenn Morris <rgm@gnu.org>
1487 * startup.el (command-line): Handle nil elements in load-path.
1489 2014-11-10 Stefan Monnier <monnier@iro.umontreal.ca>
1491 * help.el (view-lossage): Include the actual commands run.
1493 2014-11-10 Dmitry Gutov <dgutov@yandex.ru>
1495 * vc/vc-dir.el (vc-dir-hide-state): Also hide `ignored' items when
1496 no state is specified. (Bug#18964)
1498 2014-11-09 Eric Ludlam <zappo@gnu.org>
1500 * emacs-lisp/eieio-custom.el (eieio-customize-object):
1501 Set eieio-cog (current group) to g, which is an improved form of input
1504 2014-11-09 Juri Linkov <juri@jurta.org>
1506 * isearch.el (isearch-message-prefix): Show "Multi-file" and
1507 "Multi-buffer" instead of "Multi". (Bug#13592)
1509 * misearch.el (multi-isearch-file-list):
1510 Autoload multi-isearch-buffer-list and multi-isearch-file-list.
1511 (multi-isearch-end): Reset multi-isearch-buffer-list and
1512 multi-isearch-file-list to nil.
1514 2014-11-09 Stefan Monnier <monnier@iro.umontreal.ca>
1516 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
1517 Don't call byte-compile-preprocess since the result will go through
1519 (byte-compile-output-docform): Handle uninterned `name' correctly.
1520 * emacs-lisp/cl-macs.el (cl-define-compiler-macro): Use interned name
1521 to circumvent byte-compiler bug.
1523 * emacs-lisp/macroexp.el (macroexp--expand-all): Fix typo.
1524 (macroexp--compiler-macro): Remove left-over debug code.
1526 * emacs-lisp/cl-extra.el (cl-get): Silence compiler warning.
1528 2014-11-08 Juri Linkov <juri@jurta.org>
1530 * simple.el (shell-command): Use buffer-name when output-buffer is
1531 a buffer. (Bug#18096)
1533 2014-11-08 Juri Linkov <juri@jurta.org>
1535 * minibuffer.el (minibuffer-completion-help): Compare this-command
1536 with completion-at-point. (Bug#17809)
1538 2014-11-08 Glenn Morris <rgm@gnu.org>
1540 * emacs-lisp/bytecomp.el (byte-compile-report-error):
1541 Allow the argument to be a string. Due to the vague doc,
1542 it was already being used this way.
1544 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
1546 * net/tramp.el (tramp-check-cached-permissions): Include hop in
1547 the constructed Tramp file name. (Bug#18943)
1549 2014-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
1551 * emulation/cua-base.el (cua--select-keymaps): Use region-active-p
1553 (cua-set-mark, cua--post-command-handler-1):
1554 * emulation/cua-gmrk.el (cua-cancel-global-mark): Same.
1556 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
1558 * files.el (file-name-non-special): Wrap the call of
1559 `insert-file-contents' by `unwind-protect', in order to set the
1560 buffer's file name anyway. (Bug#18891)
1562 2014-11-08 Alan Mackenzie <acm@muc.de>
1564 Fix wrong bound to c-font-lock-declarators. Fixes bug #18948.
1565 * progmodes/cc-fonts.el (c-font-lock-declarations):
1566 Pass "(point-max)" as bound to c-font-lock-declarators, not "limit", as
1567 the buffer is sometimes narrowed to less than "limit" (e.g., in
1568 the presence of macros).
1570 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
1572 * net/tramp.el (tramp-error-with-buffer): Show connection buffer
1573 only when message appeared in minibuffer. (Bug#18891)
1575 * net/tramp-adb.el (tramp-adb-handle-file-attributes):
1576 * net/tramp-gvfs.el (tramp-gvfs-handle-file-attributes):
1577 * net/tramp-sh.el (tramp-sh-handle-file-attributes): Return nil in
1580 2014-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
1582 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
1583 Don't compile before eval in `eval-and-compile'.
1584 (byte-compile-arglist-warn): Add check for defining macros after their
1585 first use. Check call use even if the function is fboundp.
1587 2014-11-08 Richard Stallman <rms@gnu.org>
1589 * mail/rmail.el (rmail-epa-decrypt): Detect armor with line prefixes.
1590 Check more carefully for mime-part specified character set.
1591 Check for mime-part Content Transfer Encoding.
1592 Notify if no armor found.
1594 2014-11-08 Martin Rudalics <rudalics@gmx.at>
1596 * faces.el (face-set-after-frame-default): Enable running
1597 `window-configuration-change-hook'.
1599 2014-11-07 Juri Linkov <juri@jurta.org>
1601 * replace.el: History for query replace pairs.
1602 (query-replace-defaults): Promote to a list of cons cell. Doc fix.
1603 (query-replace-from-to-separator): New variable.
1604 (query-replace-read-from): Let-bind query-replace-from-to-history
1605 to a list of FROM-TO strings created from query-replace-defaults
1606 and separated by query-replace-from-to-separator. Use it as
1607 the history while reading from the minibuffer. Split the returned
1608 string by the separator to get FROM and TO parts, and add them
1609 to the history variables.
1610 (query-replace-read-to): Add FROM-TO pairs to query-replace-defaults.
1611 (query-replace-regexp-eval): Let-bind query-replace-defaults to nil.
1612 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00253.html
1614 * isearch.el (isearch-text-char-description): Keep characters
1615 intact and put formatted strings with the `display' property.
1617 2014-11-07 Martin Rudalics <rudalics@gmx.at>
1619 * cus-start.el (frame-resize-pixelwise): Fix group.
1620 (frame-inhibit-implied-resize): Add entry.
1622 2014-11-07 Daiki Ueno <ueno@gnu.org>
1624 * epa.el (epa-pinentry-mode): New user option.
1625 (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
1626 (epa-sign-region, epa-encrypt-region): Respect epa-pinentry-mode.
1627 * epa-file.el (epa-file-insert-file-contents)
1628 (epa-file-write-region): Respect epa-pinentry-mode.
1630 2014-11-07 Daiki Ueno <ueno@gnu.org>
1632 * epg.el (epg--list-keys-1): Ignore fields after the 15th field
1633 (bug#18979). Reported by Hideki Saito.
1635 2014-11-06 Daiki Ueno <ueno@gnu.org>
1637 * emacs-lisp/package.el (package--display-verify-error): New function.
1638 (package--check-signature): Use it to display output sent to stderr.
1640 2014-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
1642 * subr.el (pop): Don't call the getter twice (bug#18968).
1644 * emacs-lisp/macroexp.el (macroexp--expand-all): Optimize away trivial
1647 2014-11-06 Daiki Ueno <ueno@gnu.org>
1649 * epa.el (epa-error-buffer): New variable.
1650 (epa-display-error): New function.
1651 (epa-decrypt-file, epa-verify-file, epa-verify-region)
1652 (epa-delete-keys, epa-import-keys): Display output sent to stderr.
1653 (epa-sign-file, epa-sign-region, epa-encrypt-region)
1654 (epa-export-keys, epa-insert-keys): Display output sent to stderr.
1655 Use setf instead of epg-context-set-*.
1656 * epa-file.el (epa-file-insert-file-contents):
1657 Use epa-display-error instead of epa-display-info. Mimic the behavior
1658 of jka-compr when decryption program is not found.
1659 (epa-file-write-region): Use epa-display-error instead of
1662 2014-11-05 Stefan Monnier <monnier@iro.umontreal.ca>
1664 * vc/vc.el (vc-region-history): New command.
1665 (vc-print-log-internal): Use cl-some.
1667 * vc/vc-git.el (vc-git-region-history): New function.
1668 (vc-git-region-history-mode-map)
1669 (vc-git--log-view-long-font-lock-keywords)
1670 (vc-git-region-history-font-lock-keywords): New vars.
1671 (vc-git-region-history-font-lock): New function.
1672 (vc-git-region-history-mode): New major mode.
1674 2014-11-05 Tassilo Horn <tsdh@gnu.org>
1676 * net/eww.el (subr-x): Require subr-x at compile-time because eww
1679 2014-11-05 Daiki Ueno <ueno@gnu.org>
1681 * epg.el (epg-context): Add new slot ERROR-OUTPUT.
1682 (epg-error-output): New buffer-local variable.
1683 (epg--start): Initialize epg-error-output.
1684 (epg--process-filter): Record output lines sent to stderr, in
1686 (epg-wait-for-completion): Copy epg-error-output to ERROR-OUTPUT
1688 * epa-file.el (epa-file-insert-file-contents): On error, display
1689 output sent to stderr.
1690 (epa-file-write-region): Likewise.
1692 2014-11-05 Eli Zaretskii <eliz@gnu.org>
1694 * jit-lock.el (jit-lock-stealth-fontify): Be tolerant to nil being
1695 returned by load-average.
1697 2014-11-05 Michael Albinus <michael.albinus@gmx.de>
1699 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer): Don't use
1700 a local copy; setting `inhibit-file-name-handlers' proper might be
1701 more performant. (Bug#18751)
1703 2014-11-05 Glenn Morris <rgm@gnu.org>
1705 * mail/emacsbug.el (report-emacs-bug): No longer include
1706 recent-keys in the report. (Bug#18900)
1708 2014-11-04 Paul Eggert <eggert@cs.ucla.edu>
1710 * mouse.el (mouse-drag-line): Fix misspelling of "right-fringe".
1712 2014-11-04 Teodor Zlatanov <tzz@lifelogs.com>
1714 * net/eww.el (eww): Trim URL with `string-trim'.
1715 Suggested by Vibhav Pant <vibhavp@gmail.com>.
1717 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
1719 * net/eww.el (eww-score-readability): Don't count comments positively.
1721 * net/shr.el (shr-retransform-dom): Typo fix.
1723 * net/eww.el (eww-score-readability): Parse SVC images correctly.
1724 (eww-display-html): Don't leave point inside forms.
1726 * net/shr.el: Ditto.
1728 2014-11-03 Stefan Monnier <monnier@iro.umontreal.ca>
1730 * emacs-lisp/edebug.el (edebug-safe-prin1-to-string): Assume that
1731 edebug-prin1-to-string already handles circularity.
1733 * emacs-lisp/byte-run.el (defun-declarations-alist): Fix compiler-macro
1734 autoloading when specified as a lambda.
1736 * simple.el (execute-extended-command--last-typed): New var.
1737 (read-extended-command): Set it.
1738 Don't complete obsolete commands.
1739 (execute-extended-command--shorter-1)
1740 (execute-extended-command--shorter): New functions.
1741 (execute-extended-command): Use them to suggest shorter names.
1742 (indicate-copied-region, deactivate-mark): Use region-active-p.
1744 2014-11-03 Michael Albinus <michael.albinus@gmx.de>
1746 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer): Use a
1747 local copy of FILENAME, when it is remote. (Bug#18751)
1749 * net/tramp-adb.el (tramp-adb-handle-process-file): Do not raise
1750 an error when the command fails; the return code must indicate.
1751 (tramp-adb-send-command-and-check): Fix docstring.
1753 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
1755 * net/shr.el (shr-retransform-dom): Don't ignore elements that
1756 have no children like <br />.
1758 * net/eww.el (eww-display-html): Clear `url-queue'.
1759 (eww-display-pdf): New function.
1760 (eww-render): Display PDFs with `doc-view'.
1761 (url-queue): Require `url-queue' to avoid compilation warning.
1762 (eww-colorize-region): Remove duplicate function.
1763 (eww-tag-body): Use `shr-colorize-region'.
1765 2014-11-03 Yoni Rabkin <yrk@gnu.org>
1767 * net/eww.el (eww-list-bookmarks): Autoload.
1769 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
1771 * net/shr.el (shr-retransform-dom): Allow several text sub-nodes.
1773 * net/eww.el (eww-display-html): The charset is called `utf-8',
1775 (eww-readable): Decode the saved text correctly.
1776 (eww-readable): Save the history before displaying so that we can
1777 go back to the non-readable version.
1778 (eww-display-html): Don't try to decode the text if we've been
1779 passed in a pre-parsed DOM.
1780 (eww-tag-title): Remove newlines and extra whitespace from the
1783 2014-11-02 Lars Magne Ingebrigtsen <larsi@gnus.org>
1785 * net/eww.el (eww-readable): New command and keystroke.
1787 * net/shr.el (shr-retransform-dom): New function.
1789 * net/eww.el (eww-display-html): Set `eww-current-source' in the
1791 (eww-view-source): Use it.
1793 2014-11-02 Ivan Shmakov <ivan@siamics.net>
1795 * net/eww.el (eww): Recognize colon-delimited IPv6 addresses.
1798 2014-11-02 Brian McKenna <brian@brianmckenna.org> (tiny change)
1800 * net/eww.el (eww-submit): Encode empty form values as "". (Bug#17785).
1802 2014-11-02 Ivan Shmakov <ivan@siamics.net>
1804 * net/eww.el (eww): Allow "file:/file/name" URLs. (Bug#18825).
1806 2014-11-02 Ivan Shmakov <ivan@siamics.net>
1808 * net/eww.el (eww-mode-map): Remove mentions of `eww-quit'.
1811 2014-11-02 Eric Abrahamsen <eric@ericabrahamsen.net>
1813 * emacs-lisp/eieio.el (eieio-edebug-prin1-to-string): Adjust for
1815 (edebug-setup-hook): Advise `edebug-prin1-to-string'. (Bug#18897)
1817 2014-11-02 Stefan Monnier <monnier@iro.umontreal.ca>
1819 * emacs-lisp/pp.el (pp-macroexpand-expression): Use macroexpand-1
1821 * progmodes/elisp-mode.el (emacs-lisp-macroexpand): Idem.
1823 2014-11-01 Michael R. Mauger <michael@mauger.com>
1825 * sql.el (sql-mode-oracle-font-lock-keywords): Correct regexp
1826 syntax, add new keywords, and parse longer keywords first.
1827 (sql-redirect-one): Protect against empty command.
1828 (sql-mode, sql-interactive-mode): Set `custom-mode-group' property
1831 2014-11-01 Michael R. Mauger <michael@mauger.com>
1833 * sql.el (sql-interactive-mode, sql-stop): Correct fix for
1834 Bug#16814 with let-bind of comint-input-ring variables around read
1837 2014-11-01 Michael Albinus <michael.albinus@gmx.de>
1839 * net/tramp-cache.el (tramp-get-file-property)
1840 (tramp-set-file-property): Check that `tramp-cache-get-count-*'
1841 and `tramp-cache-set-count-*' are bound. Otherwise, there might
1842 be compiler warnings.
1844 * net/tramp-sh.el (tramp-get-remote-uid, tramp-get-remote-gid):
1845 Return -1 respective "UNKNOWN", if uid or gid cannot be determined.
1847 2014-11-01 Eli Zaretskii <eliz@gnu.org>
1849 * progmodes/compile.el (compilation-mode): Turn off deferred
1850 fontifications locally. (Bug#18856)
1852 2014-11-01 Wolfgang Jenkner <wjenkner@inode.at>
1854 * net/tramp-sh.el (tramp-send-command): Fix the case where the
1855 remote-echo connection property is non-nil (bug#18858).
1857 2014-11-01 Stefan Monnier <monnier@iro.umontreal.ca>
1859 * simple.el (newline): Add assertions to try and help catch bug#18913.
1861 * emulation/cua-base.el (cua-delete-region): Use delete-active-region
1863 (cua--last-deleted-region-pos, cua--last-deleted-region-text): Remove.
1865 2014-11-01 Kim F. Storm <storm@cua.dk>
1867 Restore cua-delete-copy-to-register-0 and M-v command (bug#18886).
1868 * delsel.el (delete-selection-save-to-register)
1869 (delsel--replace-text-or-position): New vars.
1870 (delete-active-region): Use them.
1871 (delete-selection-repeat-replace-region): New command, moved from
1873 * emulation/cua-base.el (cua--repeat-replace-text): Remove var.
1874 (cua-repeat-replace-region): Move command to delsel.el.
1875 (cua--init-keymaps): Update binding accordingly.
1876 (cua-mode): Set delete-selection-save-to-register.
1878 2014-11-01 Alan Mackenzie <acm@muc.de>
1880 Make blink-parens work with a closing template delimiter.
1881 * progmodes/cc-cmds.el (c-electric-lt-gt): Cause a redisplay
1882 before calling blink-paren-function, so as to apply syntax-table
1883 properties to the ">".
1885 2014-11-01 Jan Djärv <jan.h.d@swipnet.se>
1887 * select.el (gui-get-selection): Comment: data-type ignored on NS.
1889 2014-10-31 Stefan Monnier <monnier@iro.umontreal.ca>
1891 * emacs-lisp/macroexp.el (macroexpand-1): New function (bug#18821).
1892 (macroexp--expand-all): Unrelated tweaks.
1894 * emacs-lisp/gv.el (gv-get): Use macroexpand-1.
1896 2014-10-30 Glenn Morris <rgm@gnu.org>
1898 * startup.el (command-line): Remove pointless attempt to avoid
1899 statting the file-system (which expand-file-name doesn't do).
1901 2014-10-30 Daniel Colascione <dancol@dancol.org>
1903 Add "enum class" support to C++ mode.
1904 * progmodes/cc-langs.el (c-after-brace-list-decl-kwds)
1905 (c-after-brace-list-key): New language consts/variables.
1906 * progmodes/cc-engine.el (c-looking-at-decl-block):
1907 Exclude spurious match of "enum struct" from decl-block recognition.
1908 (c-backward-colon-prefixed-type): New function.
1909 (c-backward-over-enum-header): Call above function to extend
1910 recognition of enum structure.
1912 2014-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
1914 * progmodes/cc-defs.el (c--macroexpand-all): New function (bug#18845).
1916 * progmodes/cc-langs.el (c-make-init-lang-vars-fun): Use it.
1918 2014-10-30 Eli Zaretskii <eliz@gnu.org>
1920 * progmodes/compile.el (compilation-start):
1921 If compilation-scroll-output is non-nil, don't force window-start of
1922 the compilation buffer to be at beginning of buffer. (Bug#18874)
1924 * startup.el (fancy-about-text): Read the entire tutorial, not
1925 just its first 256 bytes. (Bug#18760)
1927 2014-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
1929 * emacs-lisp/bytecomp.el: Require cl-extra (bug#18804).
1930 * emacs-lisp/cl-extra.el: Add missing provide.
1932 * emacs-lisp/bytecomp.el (byte-compile-and-folded): Optimize case where
1933 all args are copyable (bug#18767).
1934 (=, <, >, <=, >=): Re-enable the optimization.
1936 2014-10-29 Glenn Morris <rgm@gnu.org>
1938 * net/rcirc.el (rcirc-fill-column): Unbump :version. Mark :risky.
1940 * version.el (emacs-bzr-version, emacs-bzr-get-version):
1941 Revert 2014-10-26 change.
1943 2014-10-29 Paul Eggert <eggert@cs.ucla.edu>
1945 Simplify use of current-time and friends.
1946 * allout-widgets.el (allout-widgets-hook-error-handler):
1947 * calendar/appt.el (appt-display-message):
1948 * calendar/icalendar.el (icalendar--convert-float-to-ical):
1949 * calendar/timeclock.el (timeclock-in, timeclock-when-to-leave)
1950 (timeclock-last-period, timeclock-day-base):
1951 * eshell/em-ls.el (eshell-ls-file):
1952 * eshell/esh-util.el (eshell-parse-ange-ls):
1953 * generic-x.el (named-database-print-serial):
1954 * net/newst-backend.el (newsticker--get-news-by-url-callback)
1955 (newsticker-get-news, newsticker--sentinel-work)
1956 (newsticker--image-get, newsticker--image-sentinel):
1957 * net/tramp-sh.el (tramp-get-remote-touch):
1958 * progmodes/opascal.el (opascal-debug-log):
1959 * textmodes/remember.el (remember-mail-date)
1960 (remember-store-in-files):
1961 * vc/vc-annotate.el (vc-annotate-display-autoscale)
1962 (vc-default-annotate-current-time):
1963 * vc/vc-bzr.el (vc-bzr-shelve-snapshot):
1964 * vc/vc-cvs.el (vc-cvs-annotate-current-time):
1965 * vc/vc-rcs.el (vc-rcs-annotate-current-time):
1966 Omit unnecessary call to current-time.
1967 * calendar/time-date.el (time-to-seconds) [!float-time]:
1968 * vc/vc-annotate.el (vc-annotate-convert-time):
1969 Use current time if arg is nil, to be compatible with float-time.
1970 (time-date--day-in-year): New function, with most of the guts of
1971 the old time-to-day-in-year.
1972 (time-to-day-in-year): Use it.
1973 (time-to-days): Use it, to avoid decoding the same time stamp twice.
1974 * calendar/timeclock.el (timeclock-time-to-date):
1975 Arg is now optional, like current-time-string.
1976 (timeclock-update-mode-line):
1977 Don't call current-time twice to get the current time stamp,
1978 as this can lead to inconsistent results.
1979 * completion.el (cmpl-hours-since-origin):
1980 * ido.el (ido-time-stamp):
1981 * vc/vc-annotate.el (vc-annotate-convert-time):
1982 Simplify by using float-time.
1983 * completion.el (save-completions-to-file):
1984 Rename local var to avoid confusion.
1985 * net/rcirc.el (rcirc-float-time): Simplify to an alias because
1986 time-to-seconds now behaves like float-time with respect to nil arg.
1987 * subr.el (progress-reporter-do-update):
1988 Don't call float-time unless needed.
1990 2014-10-29 Leo Liu <sdl.web@gmail.com>
1992 * net/rcirc.el (rcirc-fill-column): Use function.
1993 (rcirc-markup-fill): Remove adjustment.
1995 2014-10-28 Christopher Schmidt <ch@ristopher.com>
1997 * calc/calc.el (quick-calc):
1998 * calc/calc-aent.el (calc-do-quick-calc): New argument INSERT.
2000 2014-10-28 Sam Steingold <sds@gnu.org>
2002 * net/rcirc.el (rcirc-fill-column): Allow any symbolic value for
2003 the sake of `window-body-width' (in addition to `frame-width').
2005 2014-10-26 Eric S. Raymond <esr@thyrsus.com>
2007 * version.el: Fix some fallback values to conform to the actual
2010 2014-10-25 Eric S. Raymond <esr@thyrsus.com>
2012 * Makefile.in: Change some production names so they're neutral
2013 about the repository type.
2015 2014-10-25 Michael Albinus <michael.albinus@gmx.de>
2017 * net/tramp-gvfs.el (tramp-gvfs-methods-mounttracker)
2018 (tramp-gvfs-mountlocation-signature): Check `tramp-gvfs-enabled'
2019 during initialization. (Bug#18774)
2021 2014-10-25 Vincent Belaïche <vincentb1@users.sourceforge.net>
2023 * ses.el (macroexp): Add require for this package, so that
2024 function `ses--cell' gets macroexp-quote --- this change was
2025 supposed to be in my previous commit, but left out by mistake.
2026 (ses--cell): Do not make formula a macroexp-quote of value when
2027 value, not formula, is *skip*.
2029 2014-10-24 Vincent Belaïche <vincentb1@users.sourceforge.net>
2031 * ses.el (macroexp): Add require for this package, so that function
2032 `ses--cell gets macroexp-quote.
2033 (ses--cell): Makes formula a macroexp-quote of value when formula
2034 is nil. The rationale of this changr is to allow in the future
2035 shorter SES files, e.g. we could have only `(ses-cell A1 1.0)'
2036 instead of `(ses-cell A1 1.0 1.0 nil REFLIST)'. In such a case
2037 reference list REFLIST would be re-computed after load --- thus
2038 trading off load time against file size.
2040 * emacs-lisp/package.el (package--alist-to-plist-args):
2041 Use macroexp-quote instead of a lambda expression which has the same
2042 content as macroexp-quote.
2043 (macroexp): Add require for this package, so that function
2044 `package--alist-to-plist-args' gets macroexp-quote.
2046 * emacs-lisp/macroexp.el (macroexp-quote): New defun.
2048 2014-10-24 Stefan Monnier <monnier@iro.umontreal.ca>
2050 * term/ns-win.el (ns-store-cut-buffer-internal)
2051 (ns-copy-including-secondary): Use gui-set-selection (bug#18816).
2053 2014-10-24 Martin Rudalics <rudalics@gmx.at>
2055 * mouse.el (mouse-drag-line): Don't use mouse-pixel-position.
2056 Calculate increment from last position instead of window edge.
2057 Add right- and bottom-divider bindings to transient map.
2059 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
2061 * emacs-lisp/cl-macs.el (cl-defstruct): Define an internal predicate
2062 even if :predicate was nil, for the benefit of typep.
2063 Record the name of the predicate for typep's use.
2064 (cl--make-type-test): Use pcase. Obey new
2065 cl-deftype-satisfies property.
2067 * epg.el: Use cl-defstruct.
2068 (epg-make-data-from-file, epg-make-data-from-string, epg-data-file)
2069 (epg-data-string): Define via cl-defstruct.
2070 (epg--gv-nreverse): New macro.
2071 (epg-context--make): New constructor (provided vi cl-defstruct).
2072 (epg-make-context): Rewrite using it.
2073 (epg-context-protocol, epg-context-program)
2074 (epg-context-home-directory, epg-context-armor, epg-context-textmode)
2075 (epg-context-include-certs, epg-context-cipher-algorithm)
2076 (epg-context-digest-algorithm, epg-context-compress-algorithm)
2077 (epg-context-passphrase-callback, epg-context-progress-callback)
2078 (epg-context-signers, epg-context-sig-notations, epg-context-process)
2079 (epg-context-output-file, epg-context-result, epg-context-operation)
2080 (epg-context-pinentry-mode): Define using cl-defstruct.
2081 (epg-context-set-protocol, epg-context-set-program)
2082 (epg-context-set-include-certs, epg-context-set-cipher-algorithm)
2083 (epg-context-set-digest-algorithm)
2084 (epg-context-set-sig-notations, epg-context-set-process)
2085 (epg-context-set-output-file, epg-context-set-result)
2086 (epg-context-set-operation, epg-context-set-pinentry-mode)
2087 (epg-context-set-compress-algorithm): Remove. Use setf instead.
2088 (epg-context-set-armor, epg-context-set-textmode)
2089 (epg-context-set-signers): Redefine using setf
2090 and declare as obsolete.
2091 (epg-context-set-passphrase-callback)
2092 (epg-context-set-progress-callback): Use setf.
2093 (epg-signature-notations): Rename from epg-sig-notations.
2094 (epg-make-signature, epg-signature-status, epg-signature-key-id)
2095 (epg-signature-validity, epg-signature-fingerprint)
2096 (epg-signature-creation-time, epg-signature-expiration-time)
2097 (epg-signature-pubkey-algorithm, epg-signature-digest-algorithm)
2098 (epg-signature-class, epg-signature-version): Define vi cl-defstruct.
2099 (epg-signature-set-status, epg-signature-set-key-id)
2100 (epg-signature-set-validity, epg-signature-set-fingerprint)
2101 (epg-signature-set-creation-time, epg-signature-set-expiration-time)
2102 (epg-signature-set-pubkey-algorithm)
2103 (epg-signature-set-digest-algorithm, epg-signature-set-class)
2104 (epg-signature-set-version, epg-signature-set-notations): Remove.
2106 (epg-make-new-signature, epg-new-signature-type)
2107 (epg-new-signature-pubkey-algorithm)
2108 (epg-new-signature-digest-algorithm, epg-new-signature-class)
2109 (epg-new-signature-creation-time, epg-new-signature-fingerprint):
2110 Define using cl-defstruct.
2111 (epg-make-key, epg-key-owner-trust, epg-key-sub-key-list)
2112 (epg-key-user-id-list): Define using cl-defstruct.
2113 (epg-key-set-sub-key-list, epg-key-set-user-id-list): Remove.
2115 (epg-make-sub-key, epg-sub-key-validity, epg-sub-key-capability)
2116 (epg-sub-key-secret-p, epg-sub-key-algorithm, epg-sub-key-length)
2117 (epg-sub-key-id, epg-sub-key-creation-time)
2118 (epg-sub-key-expiration-time, epg-sub-key-fingerprint): Define using
2120 (epg-sub-key-set-fingerprint): Remove. Use setf instead.
2121 (epg-make-user-id, epg-user-id-validity, epg-user-id-string)
2122 (epg-user-id-signature-list): Define using cl-defstruct.
2123 (epg-user-id-set-signature-list): Remove. Use setf instead.
2124 (epg-make-key-signature, epg-key-signature-validity)
2125 (epg-key-signature-pubkey-algorithm, epg-key-signature-key-id)
2126 (epg-key-signature-creation-time, epg-key-signature-expiration-time)
2127 (epg-key-signature-user-id, epg-key-signature-class)
2128 (epg-key-signature-exportable-p): Define using cl-defstruct.
2129 (epg-make-sig-notation, epg-sig-notation-name)
2130 (epg-sig-notation-value, epg-sig-notation-human-readable)
2131 (epg-sig-notation-critical): Define using cl-defstruct.
2132 (epg-sig-notation-set-value): Remove. Use setf instead.
2133 (epg-make-import-status, epg-import-status-fingerprint)
2134 (epg-import-status-reason, epg-import-status-new)
2135 (epg-import-status-user-id, epg-import-status-signature)
2136 (epg-import-status-sub-key, epg-import-status-secret): Define using
2138 (epg-make-import-result, epg-import-result-considered)
2139 (epg-import-result-no-user-id, epg-import-result-imported)
2140 (epg-import-result-imported-rsa, epg-import-result-unchanged)
2141 (epg-import-result-new-user-ids, epg-import-result-new-sub-keys)
2142 (epg-import-result-new-signatures, epg-import-result-new-revocations)
2143 (epg-import-result-secret-read, epg-import-result-secret-imported)
2144 (epg-import-result-secret-unchanged, epg-import-result-not-imported)
2145 (epg-import-result-imports): Define using cl-defstruct.
2147 * emacs-lisp/package.el: Require EPG during macroexpansion.
2148 (package--check-signature, package-import-keyring): Use setf instead of
2149 epg-context-set-home-directory.
2151 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
2153 * emacs-lisp/bytecomp.el (byte-compile--use-old-handlers): Change default.
2155 2014-10-23 Leo Liu <sdl.web@gmail.com>
2157 * progmodes/cfengine.el (cfengine3-defun-full-re): New var.
2158 (cfengine3-create-imenu-index): Use it and use ` ' for separation.
2159 (cfengine3-current-defun): New function.
2160 (cfengine3-mode): Set add-log-current-defun-function.
2162 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
2164 * select.el: Use lexical-binding.
2165 (gui-set-selection): Provide an implementation for non-GUI frames
2167 * term/x-win.el: Use lexical-binding.
2168 (x-clipboard-yank): Fix up missed renamings.
2169 * term/w32-win.el (libgif-version, libjpeg-version): Silence compiler.
2170 (w32--set-selection): Fix up var names.
2171 * term/pc-win.el: Use lexical-binding.
2172 (w16-selection-exists-p): Silence compiler warning.
2173 (w16-selection-owner-p): Fix up missed renamings.
2175 * emacs-lisp/bytecomp.el (byte-compile-form): Remove left-over debug.
2177 * frame.el (frame-notice-user-settings): Fix excessive quoting.
2179 2014-10-22 Tassilo Horn <tsdh@gnu.org>
2181 * doc-view.el (doc-view-open-text): View the document's plain text
2182 in the current buffer instead of a new one.
2183 (doc-view-toggle-display): Handle the case where the current
2184 buffer contains the plain text contents of the document.
2185 (doc-view-initiate-display): Don't switch to fallback mode if the
2186 user wants to view the doc's plain text.
2187 (doc-view-set-doc-type): Use assoc-string instead of
2190 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
2192 * subr.el (read-key): Fix clicks on the mode-line.
2193 (set-transient-map): Return exit function.
2195 * mouse.el (mouse-drag-line): Use set-transient-map (bug#18015).
2196 (mouse--down-1-maybe-follows-link): Remove unused var `this-event'.
2197 (mouse-yank-secondary): Use gui-get-selection.
2198 (mouse--down-1-maybe-follows-link): Use read-key.
2200 * xt-mouse.el: Add `event-kind' property on the fly from
2201 xterm-mouse-translate-1 rather than statically at the outset.
2203 2014-10-21 Daniel Colascione <dancol@dancol.org>
2205 * vc/vc-dispatcher.el (vc-resynch-window): Tell view-mode not to
2206 change window configuration when we turn it off.
2208 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
2210 Get rid of backend-dependent selection-handling functions for kill/yank
2211 and make it generic instead by relying on the lower-level selection
2212 management functions.
2214 * select.el (select-enable-clipboard): Rename from
2215 gui-select-enable-clipboard.
2216 (select-enable-primary): Move from x-win.el and rename from
2217 x-select-enable-primary.
2218 (gui-last-selected-text): Remove.
2219 (gui--last-selected-text-clipboard, gui--last-selected-text-primary):
2221 (gui-select-text): Rewrite, based on x-win.el's old x-select-text.
2222 (gui-select-text-alist, gui-selection-value-alist): Remove.
2223 (x-select-request-type): Move from x-win.el.
2224 (gui--selection-value-internal): New function, taken from x-win's
2225 x-selection-value-internal.
2226 (gui-selection-value): Rewrite, based on x-win.el's old x-selection-value.
2227 (gui-set-selection-alist): Rename from gui-own-selection-alist and
2228 extend it to handle a nil value as a "disown" request.
2229 (gui-disown-selection-alist): Remove.
2230 (xselect-convert-to-delete): Adjust accordingly.
2231 (gui-set-selection): Simplify accordingly as well. Use dotimes.
2233 * term/x-win.el (x-last-selected-text-primary)
2234 (x-select-enable-primary): Remove (moved to select.el).
2235 (x-select-request-type): Move to select.el.
2236 (x-selection-value-internal, x--selection-value): Remove functions.
2237 (gui-selection-value, gui-select-text): Remove moethods.
2238 (gui-set-selection): Merge own and disown methods.
2240 * term/w32-win.el (w32--select-text, w32--get-selection-value):
2241 Delete function (move functionality into w32--set-selection and
2242 w32--get-selection).
2243 (gui-select-text, gui-selection-value): Don't define methods.
2244 (w32--set-selection, w32--get-selection, w32--selection-owner-p):
2246 (gui-get-selection, gui-selection-owner-p, gui-selection-exists-p):
2248 (gui-selection-exists-p): Adjust to new name of C primitive.
2250 * term/pc-win.el (w16-get-selection-value): Add dummy argument and drop
2251 test of gui-select-enable-clipboard, to make it usable as
2252 a gui-get-selection method.
2253 (gui-selection-exists-p): Adjust to new name of C primitive.
2254 (gui-set-selection): Merge own and disown methods.
2255 (gui-select-text, gui-selection-value): Delete methods.
2256 (w16--select-text): Delete function.
2258 * term/ns-win.el (ns-get-pasteboard, ns-set-pasteboard)
2259 (ns-selection-value): Remove functions.
2260 (gui-select-text, gui-selection-value): Don't define method any more.
2261 (gui-set-selection): Merge the old own and disown methods.
2262 (gui-selection-exists-p, gui-get-selection): Adjust to new name of
2263 underlying C primitive.
2265 * startup.el (command-line): Adjust now that `gui-method' expects nil
2268 * frame.el (gui-method): Use window-system rather than framep.
2269 (gui-method-declare): The tty case is now nil rather than t.
2270 (make-frame): Adjust accordingly.
2272 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
2274 * net/newst-reader.el (newsticker--image-read): Simplify.
2275 (newsticker--icon-read): Use dolist and fix free var error.
2277 * imenu.el (imenu--menubar-keymap): New var.
2278 (imenu-add-to-menubar): Set it to remember the keymap we used.
2279 (imenu-update-menubar): Use it instead of asking lookup-key.
2281 * obsolete/cc-compat.el: Make obsolete (bug#18561).
2283 * epg-config.el (epg-gpg-program): Don't use absolute names by default.
2285 * emacs-lisp/bytecomp.el (=, <, >, <=, >=): Don't optimize multi-arg
2288 2014-10-21 Glenn Morris <rgm@gnu.org>
2290 * Merge in all changes up to version 24.4 release.
2292 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
2294 * emacs-lisp/bytecomp.el (=, <, >, <=, >=): Don't optimize multi-arg
2297 2014-10-20 Glenn Morris <rgm@gnu.org>
2299 * Merge in all changes up to 24.4 release.
2301 2014-10-20 Ulf Jasper <ulf.jasper@web.de>
2303 * net/newst-backend.el
2304 (newsticker--image-download-by-url-callback): Make this function
2305 actually work: Check status properly, then save image.
2307 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
2309 * mouse.el (mouse--down-1-maybe-follows-link): Remove unused var
2311 (mouse-drag-line): Unless there's no actual mouse, use the event's
2314 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
2316 * textmodes/css-mode.el (scss-mode): New major-mode.
2317 (css-mode-syntax-table): Use d style comment, to ease the scss case.
2318 (css-ident-re): Allow things like @-moz-keyframes.
2319 (scss--hash-re): New const.
2320 (css--font-lock-keywords): New function, extracted from
2321 css-font-lock-keywords.
2323 2014-10-19 Ulf Jasper <ulf.jasper@web.de>
2325 * net/newst-backend.el: Require url-parse.
2326 (newsticker--get-news-by-wget): Store feed name as process property.
2327 (newsticker--sentinel): Read feed name from process property.
2328 (newsticker--sentinel-work): Rename argument name to feed-name.
2329 Rename variable imageurl to image-url. Pick icon url from Atom
2330 1.0 data. Launch download of feed icon.
2331 (newsticker--get-icon-url-atom-1.0): New.
2333 (newsticker--unxml-node)
2334 (newsticker--unxml-attribute): Documentation.
2335 (newsticker--icons-dir): New.
2336 (newsticker--image-get): New arguments FILENAME and DIRECTORY.
2337 Use `url-retrieve' if `newsticker-retrieval-method' is 'intern.
2338 (newsticker--image-download-by-wget): New. Use process properties
2339 for storing informations.
2340 (newsticker--image-sentinel): Read informations from process properties.
2341 (newsticker--image-save)
2342 (newsticker--image-remove)
2343 (newsticker--image-download-by-url)
2344 (newsticker--image-download-by-url-callback): New.
2345 (newsticker-opml-export): Handle url list entries containing a
2346 function instead of an url string.
2348 * net/newst-reader.el (newsticker-html-renderer): Whitespace.
2349 (newsticker--print-extra-elements)
2350 (newsticker--do-print-extra-element):
2351 Documentation (newsticker--image-read): Optionally limit image height.
2352 Use imagemagick if possible.
2353 (newsticker--icon-read): New.
2355 * net/newst-treeview.el (newsticker--treeview-item-show): Limit height of feed logo.
2356 (newsticker--treeview-tree-expand): Use feed icons in treeview.
2357 (newsticker--tree-widget-icon-create): New. Set the tree widget icon.
2358 (newsticker--tree-widget-leaf-icon): Use feed icon.
2360 2014-10-19 Stefan Monnier <monnier@iro.umontreal.ca>
2362 * emacs-lisp/eieio-opt.el (eieio-lambda-arglist): Remove.
2363 Use help-function-arglist instead.
2365 * emacs-lisp/eieio-core.el (eieio-compiled-function-arglist): Remove.
2366 (eieio--with-scoped-class): Use `declare'.
2367 (eieio-defclass): Remove compatibility code.
2368 (no-method-definition, no-next-method, inconsistent-class-hierarchy)
2369 (invalid-slot-type, unbound-slot, invalid-slot-name): Use define-error.
2371 2014-10-18 Jan Djärv <jan.h.d@swipnet.se>
2373 * cus-start.el (x-gtk-whole-detached-tool-bar): Remove.
2375 * term/x-win.el (x-gtk-stock-map): Add icon names suggested as
2376 replacements to stock names before stock names in a list.
2377 Cdr may be a list, each name is tried in turn until one is found.
2379 2014-10-18 Alan Mackenzie <acm@muc.de>
2381 Check that a "macro" found near point-min isn't a ## operator.
2383 * progmodes/cc-engine.el (c-macro-is-genuine-p): New function.
2384 (c-beginning-of-macro): Use the above new function.
2386 2014-10-18 Teodor Zlatanov <tzz@lifelogs.com>
2388 * net/gnutls.el (gnutls-negotiate): Don't use cl-mapcan; pass
2389 correct data to `gnutls-boot' (Bug#18664).
2390 Reported by Toke Høiland-Jørgensen <toke@toke.dk>.
2392 2014-10-18 Michal Nazarewicz <mina86@mina86.com>
2394 * whitespace.el (whitespace-style, whitespace-big-indent)
2395 (whitespace-big-indent-regexp, whitespace-style-value-list)
2396 (whitespace-toggle-option-alist, whitespace-interactive-char)
2397 (whitespace-toggle-options)
2398 (global-whitespace-toggle-options, whitespace-help-text)
2399 (whitespace-style-face-p, whitespace-color-on): Add a 'big-indent
2400 style to `whitespace-mode' to indicate that the line indentation
2401 is too deep. By default, 32 SPACEs or four TABs are considered
2402 too many but `whitespace-big-indent-regexp' can be configured.
2404 2014-10-17 Michal Nazarewicz <mina86@mina86.com>
2406 * textmodes/tildify.el (tildify--pick-alist-entry): Rename from
2409 2014-10-17 Stefan Monnier <monnier@iro.umontreal.ca>
2411 * emacs-lisp/eieio.el: Use lexical-binding drop non-GV fallback.
2412 (defclass, defgeneric, defmethod): Add doc-string position.
2413 (with-slots): Require cl-lib.
2415 * emacs-lisp/eieio-core.el: Use lexical-binding and cl-lib.
2416 (list-of): New type.
2417 (eieio--typep): Remove.
2418 (eieio-perform-slot-validation): Use cl-typep instead.
2420 * emacs-lisp/eieio-base.el: Use lexical-binding and cl-lib.
2422 * emacs-lisp/cl-macs.el (cl--make-type-test): Avoid ((lambda ..) ..).
2424 2014-10-16 Alan Mackenzie <acm@muc.de>
2426 Trigger showing when point is in the "periphery" of a line or just
2428 * paren.el (show-paren-style, show-paren-delay)
2429 (show-paren-priority, show-paren-ring-bell-on-mismatch):
2430 Remove superfluous :group specifications.
2431 (show-paren-when-point-inside-paren)
2432 (show-paren-when-point-in-periphery): New customizable variables.
2433 (show-paren-highlight-openparen): Make into a defcustom.
2434 (show-paren--unescaped-p, show-paren--categorize-paren)
2435 (show-paren--locate-near-paren): New defuns.
2436 (show-paren--default): Refaactor and trigger on more paren
2438 (show-paren-function): Small consequential changes.
2440 2014-10-16 Tom Tromey <tom@tromey.com>
2442 * files.el (auto-mode-alist): Use javascript-mode for .jsm
2445 2014-10-16 Eli Zaretskii <eliz@gnu.org>
2447 * international/characters.el (bracket-type): Force pre-loading of
2450 2014-10-16 Alan Mackenzie <acm@muc.de>
2452 * cus-edit.el (custom-command-apply): Specify the return value in
2454 (Custom-reset-standard): Save custom-file (e.g. .emacs) only when
2455 custom-command-apply has returned non-nil.
2457 2014-10-15 Stefan Monnier <monnier@iro.umontreal.ca>
2459 * emacs-lisp/eldoc.el (global-eldoc-mode): Enable by default.
2460 Remove incorrect handling of eldoc-print-after-edit.
2461 (eldoc-message-commands, eldoc-last-data): Use defvar.
2462 * loadup.el (emacs-lisp/eldoc): Load it.
2464 * progmodes/m4-mode.el (m4-syntax-propertize): New var.
2466 (m4--quoted-p): New function.
2467 (m4-font-lock-keywords): Don't handle #..\n comments any more.
2468 (m4-mode-syntax-table): Use punctuation syntax (according to m4 manual)
2469 for most special characters.
2471 * progmodes/compile.el (compilation--previous-directory): Simplify.
2472 (compilation-next-error): Ensure the parse before we look at
2473 compilation-message property.
2475 2014-10-15 Eli Zaretskii <eliz@gnu.org>
2477 * simple.el (what-cursor-position):
2478 * descr-text.el (describe-char): Update to support the new bidi
2481 * emacs-lisp/tabulated-list.el (tabulated-list-mode):
2482 Force bidi-paragraph-direction to 'left-to-right'. This fixes
2483 buffer-menu display when the first buffer happens to start with
2486 2014-10-15 Stefan Monnier <monnier@iro.umontreal.ca>
2488 * progmodes/elisp-mode.el (elisp--local-variables-1):
2489 Handle quoted expressions (bug#18688).
2491 2014-10-14 Jérémy Compostella <jeremy.compostella@intel.com>
2492 Michael Albinus <michael.albinus@gmx.de>
2494 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
2495 Reduce the amount of set environment variable commands.
2497 2014-10-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
2499 Fix import completion. (Bug#18582)
2500 * progmodes/python.el (python-shell-completion-get-completions):
2501 Fix import case regexp.
2503 2014-10-12 Stefan Monnier <monnier@iro.umontreal.ca>
2505 * progmodes/bat-mode.el (bat-font-lock-keywords): Fix \\<_ typo
2506 (bug#18622). Reported by Arni Magnusson <arnima@hafro.is>.
2507 * progmodes/prolog.el (prolog-electric--underscore): Same.
2509 2014-10-12 Michael Albinus <michael.albinus@gmx.de>
2511 * net/tramp-sh.el (tramp-get-remote-id): Check also for "gid".
2513 2014-10-11 Jan Djärv <jan.h.d@swipnet.se>
2515 * cus-start.el (all): Add missing ns and boolean to
2516 ns-use-fullscreen-animation.
2518 2014-10-11 Leo Liu <sdl.web@gmail.com>
2520 * progmodes/cfengine.el (cfengine3-defuns, cfengine3-vartypes):
2522 (cfengine3-create-imenu-index): New function.
2523 (cfengine3-mode): Use it for `imenu-create-index-function'.
2524 (cfengine-auto-mode): Improve and prefer cfengine3-mode when
2527 2014-10-11 Jan Djärv <jan.h.d@swipnet.se>
2529 * cus-start.el (all): Add ns-use-fullscreen-animation.
2531 2014-10-11 Glenn Morris <rgm@gnu.org>
2533 * calendar/diary-lib.el (diary-display-function):
2534 Drop support for deprecated nil and list forms.
2535 (diary-list-entries): Update for the above.
2536 * calendar/cal-x.el (calendar-dedicate-diary): Simplify accordingly.
2538 2014-10-10 Leo Liu <sdl.web@gmail.com>
2540 * window.el (temp-buffer-window-show): Make BUFFER a required arg.
2543 2014-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
2545 * select.el (gui-selection-exists-p-alist): New method.
2546 * menu-bar.el (menu-bar-edit-menu, clipboard-yank):
2547 * simple.el (deactivate-mark): Use it.
2548 * term/x-win.el (gui-selection-exists-p):
2549 * term/w32-win.el (gui-selection-exists-p):
2550 * term/pc-win.el (gui-selection-exists-p):
2551 * term/ns-win.el (gui-selection-exists-p): Provide a backend instance.
2553 2014-10-10 Glenn Morris <rgm@gnu.org>
2555 * info.el (Info-fontify-maximum-menu-size): Bump to 400k. (Bug#16227)
2556 Fix :type. Allow t to mean no limit.
2557 (Info-fontify-node): Handle Info-fontify-maximum-menu-size = t.
2559 2014-10-09 Glenn Morris <rgm@gnu.org>
2561 * frame.el (display-monitor-attributes-list): Doc tweaks.
2563 2014-10-09 Eli Zaretskii <eliz@gnu.org>
2565 * faces.el (display-grayscale-p): Mention in the doc string that
2566 the argument can be either a display name or a frame.
2568 * frame.el (display-pixel-height, display-pixel-width)
2569 (display-mm-height, display-mm-width, display-backing-store)
2570 (display-save-under, display-planes, display-color-cells)
2571 (display-visual-class, display-monitor-attributes-list)
2572 (display-screens): Mention in the doc string that the argument can
2573 be either a display name or a frame. Improve the docs of the
2574 monitor attributes. (Bug#18636)
2576 2014-10-09 Martin Rudalics <rudalics@gmx.at>
2578 * term.el (term-window-width): Subtract 1 from the width when
2579 any fringe has zero width, not just the right fringe. (Bug#18601)
2581 2014-10-09 Stefan Monnier <monnier@iro.umontreal.ca>
2583 * frame.el (make-frame): Use t rather than nil for `w' (bug#18653).
2585 2014-10-08 Leo Liu <sdl.web@gmail.com>
2587 * emacs-lisp/cl-extra.el (cl-fresh-line): New function.
2589 2014-10-08 Glenn Morris <rgm@gnu.org>
2591 * calendar/cal-x.el (calendar-dedicate-diary):
2592 Drop support for recently deleted aliases.
2594 2014-10-08 Leo Liu <sdl.web@gmail.com>
2596 * progmodes/cfengine.el (cfengine3-make-syntax-cache):
2597 Always return a syntax. Replace call-process-shell-command with
2598 process-file. Ensure cfengine-mode-syntax-functions-regex is
2599 always set. Ensure cache when cfengine-cf-promises fails.
2602 2014-10-07 Glenn Morris <rgm@gnu.org>
2604 * font-lock.el (font-lock-fontify-buffer): Fix interactive-only markup.
2606 2014-10-07 Wilson Snyder <wsnyder@wsnyder.org>
2608 Sync with upstream verilog-mode revision c075a492.
2609 * progmodes/verilog-mode.el (verilog-mode-version): Bump.
2610 (verilog-menu): Add AUTOINSERTLAST.
2611 (verilog-no-indent-begin-re): When `verilog-indent-begin-after-if'
2612 is nil, fix indenting initial/final to match always statements, bug825.
2613 Reported by Tim Clapp.
2614 (verilog-extended-complete-re): Fix indentation of DPI-C imports,
2615 bug557. Reported by ZeDong Mao and Jason Forkey.
2616 (verilog-read-decls): Fix parsing typed interfaces.
2617 Fix AUTOINOUTMODPORT missing types. Reported by Stephan Bourduas.
2618 (verilog-auto-arg-ports): Fix verilog-auto-arg-format single.
2619 (verilog-auto-output-every): Add regexp to AUTOOUTPUTEVERY, bug793.
2620 Reported by Pierre-David Pfister.
2621 (verilog-auto-insert-lisp): Doc fix.
2622 (verilog-auto-insert-last, verilog-auto): Add AUTOINSERTLAST to
2623 allow post-AUTO user fixups, bug826. Reported by Dennis Muhlestein.
2624 (verilog-sk-ovm-class, verilog-sk-uvm-object)
2625 (verilog-sk-uvm-component): Fix missing string keyword in class
2626 skeletons, bug824. Reported by eldad faruhi.
2628 2014-10-06 Stefan Monnier <monnier@iro.umontreal.ca>
2630 * term/w32-win.el: Move all code from 32-common-fns.el here.
2631 (gui-select-text, gui-selection-value): Use w32 handlers in the w32
2632 console as well (bug#18629).
2633 * w32-common-fns.el: Remove.
2634 * loadup.el: Don't load w32-common-fns.el.
2635 * w32-fns.elc: Don't require w32-common-fns.
2637 * icomplete.el: Move Iswitchb autoload here. Much simpler.
2638 * obsolete/iswitchb.el (iswitchb-mode): Use normal autoload cookie.
2639 Remove redundant obsolescence thingy.
2640 * loadup.el: Don't load obsolete/loaddefs.el.
2641 * Makefile.in (obsolete-autoloads): Remove.
2642 (AUTOGENEL): Remove obsolete/loaddefs.el.
2644 2014-10-06 Glenn Morris <rgm@gnu.org>
2646 * Makefile.in (obsolete-autoloads): Write to a separate file,
2647 to workaround autoloads bug. (Bug#17407)
2648 (AUTOGENEL): Add obsolete/loaddefs.el.
2649 * loadup.el: Load obsolete/loaddefs.el if present.
2650 * subr.el (do-after-load-evaluation):
2651 Don't warn about obsolete/loaddefs.el.
2653 * menu-bar.el (menu-bar-games-menu): Remove landmark.
2654 It has zero relationship to a game.
2656 2014-10-06 Leo Liu <sdl.web@gmail.com>
2658 * imenu.el (imenu): Re-write for clarity.
2660 2014-10-06 Glenn Morris <rgm@gnu.org>
2662 Remove calendar code obsolete since at least version 23.1.
2663 * calendar/cal-bahai.el (calendar-absolute-from-bahai)
2664 (calendar-print-bahai-date, calendar-bahai-prompt-for-date)
2665 (calendar-goto-bahai-date, list-bahai-diary-entries)
2666 (mark-bahai-calendar-date-pattern, mark-bahai-diary-entries)
2667 (insert-bahai-diary-entry, insert-monthly-bahai-diary-entry)
2668 (insert-yearly-bahai-diary-entry):
2669 * calendar/cal-china.el (chinese-calendar-time-zone)
2670 (chinese-calendar-location-name)
2671 (chinese-calendar-daylight-time-offset)
2672 (chinese-calendar-standard-time-zone-name)
2673 (chinese-calendar-daylight-time-zone-name)
2674 (chinese-calendar-daylight-savings-starts)
2675 (chinese-calendar-daylight-savings-ends)
2676 (chinese-calendar-daylight-savings-starts-time)
2677 (chinese-calendar-daylight-savings-ends-time)
2678 (chinese-calendar-celestial-stem)
2679 (chinese-calendar-terrestrial-branch)
2680 (calendar-absolute-from-chinese, calendar-print-chinese-date)
2681 (calendar-goto-chinese-date):
2682 * calendar/cal-coptic.el (calendar-absolute-from-coptic)
2683 (calendar-print-coptic-date, coptic-prompt-for-date)
2684 (calendar-goto-coptic-date, calendar-absolute-from-ethiopic)
2685 (calendar-print-ethiopic-date, calendar-goto-ethiopic-date):
2686 * calendar/cal-french.el (calendar-absolute-from-french)
2687 (calendar-print-french-date, calendar-goto-french-date):
2688 * calendar/cal-hebrew.el (diary-sabbath-candles-minutes)
2689 (calendar-absolute-from-hebrew, calendar-print-hebrew-date)
2690 (hebrew-calendar-yahrzeit, calendar-goto-hebrew-date)
2691 (holiday-rosh-hashanah-etc, holiday-hanukkah)
2692 (holiday-passover-etc, holiday-tisha-b-av-etc)
2693 (list-hebrew-diary-entries, mark-hebrew-calendar-date-pattern)
2694 (mark-hebrew-diary-entries, insert-hebrew-diary-entry)
2695 (insert-monthly-hebrew-diary-entry)
2696 (insert-yearly-hebrew-diary-entry, list-yahrzeit-dates)
2697 (diary-omer, diary-yahrzeit, diary-rosh-hodesh, diary-parasha)
2698 (diary-sabbath-candles):
2699 * calendar/cal-islam.el (calendar-absolute-from-islamic)
2700 (calendar-print-islamic-date, calendar-goto-islamic-date)
2701 (list-islamic-diary-entries, mark-islamic-calendar-date-pattern)
2702 (mark-islamic-diary-entries, insert-islamic-diary-entry)
2703 (insert-monthly-islamic-diary-entry)
2704 (insert-yearly-islamic-diary-entry):
2705 * calendar/cal-iso.el (calendar-absolute-from-iso)
2706 (calendar-print-iso-date, calendar-iso-read-args)
2707 (calendar-goto-iso-date, calendar-goto-iso-week):
2708 * calendar/cal-julian.el (calendar-absolute-from-julian)
2709 (calendar-print-julian-date, calendar-goto-julian-date)
2710 (calendar-absolute-from-astro, calendar-print-astro-day-number)
2711 (calendar-goto-astro-day-number):
2712 * calendar/cal-mayan.el (calendar-print-mayan-date)
2713 (calendar-next-haab-date, calendar-previous-haab-date)
2714 (calendar-next-tzolkin-date, calendar-previous-tzolkin-date)
2715 (calendar-next-calendar-round-date)
2716 (calendar-previous-calendar-round-date)
2717 (calendar-absolute-from-mayan-long-count)
2718 (calendar-goto-mayan-long-count-date):
2719 * calendar/cal-move.el (scroll-calendar-left)
2720 (scroll-calendar-right, scroll-calendar-left-three-months)
2721 (scroll-calendar-right-three-months):
2722 * calendar/cal-persia.el (calendar-absolute-from-persian)
2723 (calendar-print-persian-date, persian-prompt-for-date)
2724 (calendar-goto-persian-date):
2725 * calendar/cal-x.el (calendar-after-frame-setup-hooks):
2726 * calendar/calendar.el (view-diary-entries-initially)
2727 (mark-diary-entries-in-calendar, calendar-today-face)
2728 (diary-face, holiday-face, view-calendar-holidays-initially)
2729 (mark-holidays-in-calendar, initial-calendar-window-hook)
2730 (today-visible-calendar-hook, today-invisible-calendar-hook)
2731 (hebrew-diary-entry-symbol, islamic-diary-entry-symbol)
2732 (bahai-diary-entry-symbol, american-date-diary-pattern)
2733 (european-date-diary-pattern, european-calendar-display-form)
2734 (american-calendar-display-form, holidays-in-diary-buffer)
2735 (all-hebrew-calendar-holidays, all-christian-calendar-holidays)
2736 (all-islamic-calendar-holidays, all-bahai-calendar-holidays)
2737 (fancy-diary-buffer, increment-calendar-month)
2738 (extract-calendar-month, extract-calendar-day)
2739 (extract-calendar-year, exit-calendar, calendar-date-is-legal-p)
2740 (mark-visible-calendar-date, calendar-version):
2741 * calendar/diary-lib.el (diary-button-face, sexp-diary-entry-symbol)
2742 (diary-display-hook, list-diary-entries-hook)
2743 (mark-diary-entries-hook, nongregorian-diary-listing-hook)
2744 (nongregorian-diary-marking-hook, print-diary-entries-hook)
2745 (abbreviated-calendar-year, number-of-diary-entries)
2746 (view-other-diary-entries, add-to-diary-list)
2747 (include-other-diary-files, simple-diary-display)
2748 (fancy-diary-display, print-diary-entries, mark-diary-entries)
2749 (mark-sexp-diary-entries, mark-included-diary-files)
2750 (mark-calendar-days-named, mark-calendar-month)
2751 (mark-calendar-date-pattern, sort-diary-entries)
2752 (list-sexp-diary-entries, make-diary-entry, insert-diary-entry)
2753 (insert-weekly-diary-entry, insert-monthly-diary-entry)
2754 (insert-yearly-diary-entry, insert-anniversary-diary-entry)
2755 (insert-block-diary-entry, insert-cyclic-diary-entry)
2756 (fancy-diary-font-lock-keywords, fancy-diary-display-mode):
2757 * calendar/holidays.el (general-holidays, oriental-holidays)
2758 (local-holidays, other-holidays, hebrew-holidays)
2759 (christian-holidays, islamic-holidays, bahai-holidays)
2760 (solar-holidays, list-calendar-holidays)
2761 (check-calendar-holidays, mark-calendar-holidays)
2762 (filter-visible-calendar-holidays):
2763 * calendar/lunar.el (calendar-phases-of-moon, phases-of-moon)
2764 (diary-phases-of-moon): Remove obsolete aliases.
2765 * calendar/cal-menu.el (cal-menu-load-hook): Remove obsolete hook.
2766 * calendar/cal-x.el (calendar-one-frame-setup)
2767 (calendar-only-one-frame-setup, calendar-two-frame-setup):
2768 Remove obsolete functions.
2769 (cal-x-load-hook): Remove obsolete hook.
2770 * calendar/calendar.el (european-calendar-style):
2771 Remove obsolete variable.
2772 (calendar-date-style): No longer consult european-calendar-style.
2773 * calendar/calendar.el (european-calendar, american-calendar):
2774 Remove obsolete commands.
2775 * calendar/calendar.el (calendar-for-loop): Remove obsolete macro.
2776 * calendar/diary-lib.el (diary-face): Remove obsolete variable.
2777 (diary-font-lock-date-forms, diary-fancy-font-lock-keywords):
2778 Use the face `diary' instead of the variable `diary-face'.
2779 * calendar/holidays.el (hebrew-holidays-1, hebrew-holidays-2)
2780 (hebrew-holidays-3, hebrew-holidays-4): Remove obsolete variables.
2781 * calendar/icalendar.el (icalendar--date-style): Remove function.
2782 Replace all uses with calendar-date-style.
2783 * textmodes/remember.el (calendar-date-style): Declare.
2784 (remember-diary-convert-entry):
2785 No longer consult european-calendar-style.
2787 2014-10-05 Leo Liu <sdl.web@gmail.com>
2789 * imenu.el (imenu-default-goto-function): Fix typo.
2791 2014-10-04 Thomas Fitzsimmons <fitzsim@fitzsim.org>
2793 * net/ntlm.el (ntlm-build-auth-request):
2794 Add NTLM2 Session support. (Bug#15603)
2796 2014-10-04 Glenn Morris <rgm@gnu.org>
2798 * apropos.el (apropos-symbols-internal):
2799 Avoid error with non-symbol properties. (Bug#18337#16)
2801 * startup.el (command-line):
2802 Handle altered user-emacs-directory in load-path warning. (Bug#18512)
2804 2014-10-04 Martin Rudalics <rudalics@gmx.at>
2806 * window.el (window-full-height-p): Make it behave correctly for
2808 (window-current-scroll-bars): Fix code.
2809 (fit-frame-to-buffer): Use window-scroll-bar-height instead of
2811 * frame.el (frame-current-scroll-bars): Fix doc-string.
2812 * scroll-bar.el (toggle-horizontal-scroll-bar): New command.
2814 2014-10-04 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
2816 * files.el (auto-mode-alist): Use sh-mode for .zsh files. (Bug#18488)
2818 2014-10-04 Glenn Morris <rgm@gnu.org>
2820 * frame.el (frame-monitor-attributes)
2821 (display-monitor-attributes-list): Doc fixes.
2823 2014-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
2825 Merge trivially safe differences from standalone CC-mode.
2826 * progmodes/cc-mode.el (c-initialize-cc-mode): Don't quote a symbol
2827 just to then pass it to `symbol-value'.
2828 (prog-mode): Provide fallback definition, if needed.
2829 * progmodes/cc-langs.el: Always load `cl'. Don't load `cl-lib'.
2830 Remove "cl-" prefix accordingly.
2831 * progmodes/cc-fonts.el (c-font-lock-invalid-string): Use integerp or
2832 characterp depending on the type of characters.
2833 (c-font-lock-enum-tail): Remove unused var `start'.
2834 * progmodes/cc-engine.el: Load CL at compile-time.
2835 (c-declare-lang-variables): Use mapcan.
2836 (c-append-to-state-cache): Remove unused var `ce+1'.
2837 (c-parse-state-state): Make buffer-local.
2838 (c-ssb-lit-begin): Remove unused var `pps-end-pos'.
2839 (c-just-after-func-arglist-p): Remove unused var `end'.
2840 * progmodes/cc-defs.el: Load cc-fix if delete-dups is undefined.
2841 (c-<-as-paren-syntax, c->-as-paren-syntax): Move definition earlier.
2842 (c-make-keywords-re): Use delete-dups.
2843 (c-get-current-file): Avoid file-name-base.
2844 * progmodes/cc-cmds.el (c-electric-lt-gt): Remove unused var
2845 `close-paren-inserted'.
2846 * progmodes/cc-awk.el (c-forward-sws): Remove unused declaration.
2848 * progmodes/python.el: Avoid building unneeded markers.
2849 (python-font-lock-keywords, python-indent-dedent-line)
2850 (python-fill-paren, python-shell-completion-complete-or-indent):
2851 Prefer point over point-marker.
2852 (inferior-python-mode): Remove redundant completion settings.
2854 2014-10-03 Dmitry Gutov <dgutov@yandex.ru>
2856 * vc/vc-svn.el (vc-svn-ignore-completion-table): Implement.
2857 (vc-svn-ignore): Use it. (Bug#18619)
2859 2014-10-03 Martin Rudalics <rudalics@gmx.at>
2861 * frame.el (toggle-frame-maximized, toggle-frame-fullscreen):
2862 In doc-string mention need to set `frame-resize-pixelwise'.
2864 2014-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
2866 * vc/vc-svn.el (vc-svn-after-dir-status): Fix the non-remote regexp,
2867 similarly to Rogers's 2010-06-16 change for the remote case
2870 2014-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
2872 New gui-selection-value consolidating x-selection-value.
2873 * select.el (gui-selection-value-alist): New method.
2874 (gui-selection-value): New function.
2875 (x-selection-value): Make it an obsolete alias.
2876 * simple.el (interprogram-paste-function): Default to
2877 gui-selection-value.
2878 * w32-common-fns.el (w32-get-selection-value): Simplify.
2879 (x-selection-value): Remove alias.
2880 (interprogram-paste-function): Don't set.
2881 (gui-selection-value): Define for w32.
2882 * term/x-win.el (gui-selection-value): Define for x.
2883 (x--selection-value): Rename from x--selection-value.
2884 (interprogram-paste-function): Don't set.
2885 * term/pc-win.el (w16-get-selection-value): Simplify.
2886 (msdos-initialize-window-system): Don't set
2887 interprogram-paste-function.
2888 (gui-selection-value): Define for pc.
2889 * term/ns-win.el (x-selection-value): Remove.
2890 (gui-selection-value): Define for ns, instead.
2891 * term/common-win.el (x-setup-function-keys): Don't set
2892 interprogram-paste-function.
2893 * obsolete/mouse-sel.el (mouse-sel-get-selection-function):
2894 Use gui-selection-value.
2896 2014-10-02 David Raynes <rayners@gmail.com> (tiny change)
2898 * term/ns-win.el: Add functions to ns frame, not x frame (bug#18614).
2900 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
2902 * obsolete/lucid.el (read-number): Remove, redundant.
2903 * obsolete/cl-compat.el (cl-floor, cl-ceiling, cl-round, cl-truncate):
2906 2014-10-02 Glenn Morris <rgm@gnu.org>
2908 * emacs-lisp/package.el (package-import-keyring):
2909 Create gnupg directory private. (Bug#17625#155)
2911 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
2913 * progmodes/python.el (python-shell-completion-get-completions):
2914 Use python-shell--prompt-calculated-input-regexp from the
2915 process buffer (bug#18582).
2916 Don't assume that `line' comes from the process buffer.
2918 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
2920 * frame.el: Use lexical-binding (bug#18598).
2921 (make-frame): Use t rather than nil for tty's window-system.
2922 * startup.el (command-line): Use gui-method.
2924 Consolidate management/ownership of selections.
2925 * select.el (gui-get-selection-alist): New method.
2926 (gui-get-selection): Use it. Rename from x-get-selection.
2927 (x-get-selection): Define as obsolete alias.
2928 (x-get-clipboard): Mark obsolete.
2929 (gui-get-primary-selection): New function.
2930 (x-get-selection-value): Mark obsolete.
2931 (gui-own-selection-alist, gui-disown-selection-alist)
2932 (gui-selection-owner-p-alist): New methods.
2933 (gui-set-selection): Use them. Rename from x-set-selection.
2934 (x-set-selection): Define as obsolete alias.
2935 (gui--valid-simple-selection-p): Rename from
2936 x-valid-simple-selection-p.
2937 * w32-common-fns.el (gui-own-selection, gui-disown-selection)
2938 (gui-selection-owner-p, gui-get-selection): Define for w32.
2939 (w32-get-selection-value): Rename from x-get-selection-value.
2940 Use the new gui-last-selected-text.
2941 * term/x-win.el (x-get-selection-value): Remove.
2942 (x-clipboard-yank): Declare obsolete.
2943 (gui-own-selection, gui-disown-selection, gui-get-selection)
2944 (gui-selection-owner-p): Define for x.
2945 * term/w32-win.el (w32-win-suspend-error): Rename from
2946 x-win-suspend-error.
2947 * term/pc-win.el (w16-get-selection-value): Rename from
2948 x-get-selection-value.
2949 (w16-selection-owner-p): Rename from x-selection-owner-p.
2950 (gui-own-selection, gui-disown-selection, gui-get-selection)
2951 (gui-selection-owner-p): Define for pc.
2952 (w16--select-text): New function.
2953 * term/ns-win.el (gui-own-selection, gui-disown-selection)
2954 (gui-get-selection, gui-selection-owner-p): Define for ns.
2955 * term.el (term-mouse-paste):
2956 * mouse.el (mouse-yank-primary): Use gui-get-primary-selection.
2958 2014-10-02 H. Dieter Wilhelm <dieter@duenenhof-wilhelm.de>
2960 * calc/calc-help.el (calc-describe-thing): Quote strings
2961 which could look like regexps.
2963 2014-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
2965 Consolidate x-select-text.
2966 * frame.el (gui-method, gui-method-define, gui-method-declare)
2967 (gui-call): New macros.
2968 (gui-method--name): New function.
2969 (frame-creation-function-alist): Use gui-method-declare.
2970 (make-frame): Use gui-method.
2971 * select.el (gui-select-enable-clipboard): Rename from
2972 x-select-enable-clipboard and move here.
2973 (x-select-enable-clipboard): Define as obsolete alias.
2974 (gui-last-selected-text): New var, to replace x-last-selected-text.
2975 (gui-select-text): New GUI method.
2976 (gui-select-text): New function.
2977 (x-select-text): Define as obsolete alias.
2978 * term/common-win.el (x-select-enable-clipboard, x-select-text):
2980 * simple.el (interprogram-cut-function): Change default to
2982 (interprogram-paste-function): Change default to `ignore'.
2983 * w32-common-fns.el (interprogram-cut-function): Don't modify.
2984 * term/x-win.el (interprogram-cut-function): Don't modify.
2985 (gui-select-text): Add method for x.
2986 * term/w32-win.el (gui-select-text): Add method for w32.
2987 * term/pc-win.el (x-last-selected-text): Remove, use
2988 gui-last-selected-text instead.
2989 (msdos-initialize-window-system): Don't set interprogram-cut-function.
2990 (gui-select-text): Add method for pc.
2991 * term/ns-win.el (ns-last-selected-text): Remove, use
2992 gui-last-selected-text instead.
2993 (gui-select-text): Add method for ns.
2994 (x-setup-function-keys): Don't change interprogram-cut-function.
2995 * loadup.el ("startup"): Load after "frame".
2996 * subr.el (package--builtin-versions, package--description-file):
2997 Move from startup.el.
2998 * startup.el (package--builtin-versions, package--description-file):
3000 (handle-args-function-alist, window-system-initialization-alist):
3001 Use gui-method-declare.
3002 (command-line): Use gui-method.
3004 2014-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
3006 * subr.el (alist-get): New accessor.
3007 * emacs-lisp/gv.el (alist-get): Provide expander.
3008 * winner.el (winner-remember):
3009 * tempo.el (tempo-use-tag-list):
3010 * progmodes/gud.el (minor-mode-map-alist):
3011 * international/mule-cmds.el (define-char-code-property):
3012 * frameset.el (frameset-filter-params):
3013 * files.el (dir-locals-set-class-variables):
3014 * register.el (get-register, set-register):
3015 * calc/calc-yank.el (calc-set-register): Use it.
3016 * ps-print.el (ps-get, ps-put, ps-del): Mark as obsolete.
3017 * tooltip.el (tooltip-set-param): Mark as obsolete.
3018 (tooltip-show): Use alist-get instead.
3019 * ses.el (ses--alist-get): Remove. Use alist-get instead.
3021 2014-10-01 Ulf Jasper <ulf.jasper@web.de>
3023 * net/newst-backend.el: Remove Time-stamp. Rename variable
3024 `newsticker--download-logos' to `newsticker-download-logos' and
3025 make it customizable.
3026 (newsticker--sentinel-work): Move xml-workarounds to function
3027 `newsticker--do-xml-workarounds', call unless libxml-parser is
3028 used. Allow single quote in regexp for encoding.
3029 Use libxml-parser if available, else fall back to `xml-parse-region'.
3030 Take care of possibly missing namespace prefixes (like "RDF"
3031 instead of "rdf:RDF") when checking xml nodes and attributes (as
3032 libxml correctly removes the prefixes). Always use Atom 1.0 as
3033 fallback feed type. Rename `newsticker--download-logos' to
3034 `newsticker-download-logos'
3035 (newsticker--unxml, newsticker--unxml-node)
3036 (newsticker--unxml-attribute): New.
3037 (newsticker--parse-atom-1.0): Call `unxml' in case that embedded
3038 HTML code has become part of the xml parse tree.
3039 (newsticker--parse-rss-1.0, newsticker--parse-rss-2.0): Take care
3040 of possibly missing namespace prefixes.
3041 (newsticker--parse-generic-items): Code formatting. Typo.
3042 (newsticker--images-dir): Add trailing slash.
3043 (newsticker--image-get): Fix error message.
3045 * net/newst-plainview.el: Remove Time-stamp.
3047 * net/newst-reader.el: Remove Time-stamp.
3048 (newsticker-download-logos): Rename variable
3049 `newsticker--download-logos' to `newsticker-download-logos' and
3050 make it customizable.
3051 (newsticker--print-extra-elements): Add optional parameter
3052 'htmlish for using html markup. Amend list of ignored elements.
3053 (newsticker--do-print-extra-element): Add parameter 'htmlish for
3056 * net/newst-ticker.el: Remove Time-stamp.
3058 * net/newst-treeview.el (newsticker--treeview-item-show): Use html
3059 for formatting extra elements.
3061 * net/newsticker.el: Remove Time-stamp, Version.
3062 (newsticker-version): Make obsolete.
3064 2014-09-30 Leonardo Nobrega <leonobr@gmail.com> (tiny change)
3066 * progmodes/python.el (python-fill-paren): Don't inf-loop at EOB
3069 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
3071 * emacs-lisp/package.el (package-check-signature): Default to nil if
3072 GPG is not available.
3073 (package-refresh-contents): Don't mess with the keyring if we won't
3074 check the signatures anyway.
3076 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
3078 * ses.el (ses--row, ses--col): New dyn-scoped vars, to replace row&col.
3079 (ses-center, ses-center-span): Use them.
3080 (ses-print-cell): Bind them while calling the printer.
3081 (row, col, maxrow, maxcol): Don't declare as dynamically scoped.
3082 (ses-dorange): Revert last change.
3083 (ses-calculate-cell): Don't bind row&col dynamically while evaluating
3085 (ses-set-cell): Avoid `eval'.
3086 (ses--time-check): Rename it from ses-time-check and turn it into
3089 * ses.el (ses-setup): Don't assume modifying the iteration var of
3090 dotimes affects the iteration (bug#18191).
3092 2014-09-30 Vincent Belaïche <vincentb1@users.sourceforge.net>
3094 * ses.el (ses-calculate-cell): Bind row and col dynamically to
3095 their values with 'cl-progv'.
3096 (ses-dorange): Bind row, col, maxrow and maxcol dynamically to
3097 their values with 'cl-progv', also use non-interned symbols for
3098 row, minrow, maxrow, mincol and maxcol.
3099 (maxrow maxcol): New defvar, to make the compiler happy.
3101 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
3103 * minibuffer.el (completion-at-point): Emit warning for ill-behaved
3104 completion functions.
3106 2014-09-29 Stefan Monnier <monnier@iro.umontreal.ca>
3108 * ses.el (ses--letref): Quote value before it gets re-evaluated.
3110 2014-09-28 Thien-Thi Nguyen <ttn@gnu.org>
3112 Font-lock `cl-flet*', too.
3113 * emacs-lisp/lisp-mode.el (lisp-cl-font-lock-keywords-2):
3114 Add "flet*" to intermediate var `cl-lib-kw'.
3116 2014-09-27 Stefan Monnier <monnier@iro.umontreal.ca>
3118 * epg-config.el (epg-gpg-program): Use the plain program names rather
3119 than their absolute file name.
3121 * subr.el (track-mouse): New macro.
3122 * emacs-lisp/cconv.el (cconv-convert, cconv-analyse-form):
3123 Remove track-mouse case.
3124 * emacs-lisp/bytecomp.el (byte-compile-track-mouse): Remove.
3126 2014-09-27 Leo Liu <sdl.web@gmail.com>
3128 * progmodes/elisp-mode.el (elisp--eldoc-last-data): Use defvar.
3130 * emacs-lisp/eldoc.el (eldoc-mode): Fix thinko.
3132 2014-09-27 Stefan Monnier <monnier@iro.umontreal.ca>
3134 * emacs-lisp/pcase.el (pcase--split-match, pcase--app-subst-match):
3135 Handle the case where `match' is :pcase--succeed or :pcase--fail
3138 Introduce global-eldoc-mode. Move Elisp-specific code to elisp-mode.el.
3139 * emacs-lisp/eldoc.el (global-eldoc-mode): New minor mode.
3140 (eldoc-schedule-timer): Obey it.
3141 (eldoc-documentation-function): Default to nil.
3142 (eldoc-mode): Don't enable if eldoc-documentation-function is not set.
3143 (eldoc-documentation-function-default, eldoc-get-fnsym-args-string)
3144 (eldoc-highlight-function-argument, eldoc-get-var-docstring)
3145 (eldoc-last-data-store, eldoc-docstring-first-line)
3146 (eldoc-docstring-format-sym-doc, eldoc-fnsym-in-current-sexp)
3147 (eldoc-beginning-of-sexp, eldoc-current-symbol)
3148 (eldoc-function-argstring): Move to elisp-mode.el.
3149 (eldoc-symbol-function): Remove, unused.
3150 * progmodes/elisp-mode.el: New file. Rename all "eldoc-*" to "elisp--*".
3151 (elisp-completion-at-point): Rename from lisp-completion-at-point.
3152 (elisp--preceding-sexp): Rename from preceding-sexp.
3153 * loadup.el: Load new file progmodes/elisp-mode.
3154 * ielm.el (inferior-emacs-lisp-mode): Set eldoc-documentation-function.
3155 * emacs-lisp/lisp.el (lisp--local-variables-1, lisp--local-variables)
3156 (lisp--local-variables-completion-table, lisp--expect-function-p)
3157 (lisp--form-quoted-p, lisp--company-doc-buffer)
3158 (lisp--company-doc-string, lisp--company-location)
3159 (lisp-completion-at-point): Move to elisp-mode.el.
3160 * emacs-lisp/lisp-mode.el (lisp--mode-syntax-table): New syntax-table,
3161 extracted from emacs-lisp-mode-syntax-table.
3162 (emacs-lisp-mode-abbrev-table, emacs-lisp-mode-syntax-table): Move to
3164 (lisp-imenu-generic-expression): Add comments to document what comes
3165 from which Lisp dialect.
3166 (emacs-lisp-mode-map, emacs-lisp-byte-compile)
3167 (emacs-lisp-byte-compile-and-load, emacs-lisp-mode-hook)
3168 (emacs-lisp-mode, emacs-list-byte-code-comment-re)
3169 (emacs-lisp-byte-code-comment)
3170 (emacs-lisp-byte-code-syntax-propertize, emacs-lisp-byte-code-mode)
3171 (lisp-interaction-mode-map, lisp-interaction-mode)
3172 (eval-print-last-sexp, last-sexp-setup-props)
3173 (last-sexp-toggle-display, prin1-char, preceding-sexp)
3174 (eval-last-sexp-1, eval-last-sexp-print-value)
3175 (eval-last-sexp-fake-value, eval-sexp-add-defvars, eval-last-sexp)
3176 (eval-defun-1, eval-defun-2, eval-defun): Move to elisp-mode.el.
3178 2014-09-26 Paul Eggert <eggert@cs.ucla.edu>
3180 * progmodes/grep.el (grep-regexp-alist): Use more-accurate regexp.
3181 Do not match file names that end in '/', as they cannot be 'grep'
3182 hits nowadays. This prevents confusion when 'grep -r' reports a
3183 match in a file whose basename is ':12345:'. Conversely, do not
3184 require exactly the same sequence of spaces and tabs after both
3185 colons, and allow spaces or tabs before the second colon, as per
3186 the POSIX spec for 'grep' output.
3188 2014-09-26 Leo Liu <sdl.web@gmail.com>
3190 Add cl-parse-integer based on parse-integer (Bug#18557)
3191 * calendar/parse-time.el (parse-time-digits): Remove.
3192 (digit-char-p, parse-integer) Moved to cl-lib.el.
3193 (parse-time-tokenize, parse-time-rules, parse-time-string):
3194 Use cl-parse-integer.
3196 * emacs-lisp/cl-extra.el (cl-parse-integer): New function.
3198 * emacs-lisp/cl-lib.el (cl-digit-char-table): New var.
3199 (cl-digit-char-p): New function.
3201 2014-09-25 Juri Linkov <juri@jurta.org>
3203 * vc/add-log.el (change-log-next-buffer): Don't create an empty
3204 buffer "ChangeLog" when the current buffer doesn't match ChangeLog.[0-9].
3205 Return the current buffer if no files match the default pattern
3206 ChangeLog.[0-9]. Signal "end of multi" when file is nil. (Bug#18547)
3208 2014-09-25 Stefan Monnier <monnier@iro.umontreal.ca>
3210 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Don't modify
3211 the global vc-handled-backends (bug#18535).
3213 2014-09-24 Stefan Monnier <monnier@iro.umontreal.ca>
3215 * find-cmd.el (find-cmd): Use grep's `find-program' (bug#18518).
3216 Suggested by <lompik@voila.fr>.
3218 2014-09-24 Ulf Jasper <ulf.jasper@web.de>
3220 * net/newst-treeview.el (newsticker--treeview-do-get-node-by-id):
3221 Rename from `newsticker--treeview-do-get-node'.
3222 (newsticker--treeview-get-node-by-id):
3223 Rename from `newsticker--treeview-get-node'.
3224 (newsticker--treeview-buffer-init)
3225 (newsticker--treeview-buffer-init): Disable buffer undo.
3226 (newsticker--treeview-unfold-node): Adapt to modified
3227 `newsticker--group-find-parent-group'.
3228 (newsticker--group-do-find-group):
3229 Rename from `newsticker--group-do-find-group-for-feed'.
3230 Now works for both, groups and feeds.
3231 (newsticker--group-find-parent-group):
3232 Rename from `newsticker--group-find-group-for-feed'.
3233 Now works for both, groups and feeds.
3234 (newsticker--group-do-get-parent-group)
3235 (newsticker--group-get-parent-group): Remove.
3236 (newsticker-group-add-group): Change interactive prompts.
3237 (newsticker-group-add-group): Finally jump to added group.
3238 (newsticker-group-delete-group): Finally jump to current feed.
3239 (newsticker--group-do-rename-group, newsticker-group-rename-group)
3240 (newsticker--get-group-names, newsticker--group-names): New.
3241 (newsticker-group-move-feed): Finally jump to moved feed.
3242 (newsticker-group-shift-feed-down, newsticker-group-shift-feed-up)
3243 (newsticker-group-shift-group-down)
3244 (newsticker-group-shift-group-up, newsticker--group-shift): New.
3245 (newsticker-treeview-mode-map): New keybindings for new shift commands.
3247 * net/newst-backend.el (newsticker--item-list)
3248 (newsticker--item-position, newsticker--prev-message)
3249 (newsticker--scrollable-text): Move to newst-ticker.el.
3251 * net/newst-ticker.el (newsticker--item-list)
3252 (newsticker--item-position, newsticker--prev-message)
3253 (newsticker--scrollable-text): Move from newst-backend.el.
3255 2014-09-22 Kan-Ru Chen <kanru@kanru.info>
3257 * window.el (fit-window-to-buffer): When counting buffer width,
3258 count the whole visible buffer. Correctly convert the body-height
3259 to pixel size for window-text-pixel-size (Bug#18498).
3261 2014-09-22 Sam Steingold <sds@gnu.org>
3263 * progmodes/sql.el (sql-product-alist): Improve the Vertica entry.
3264 (sql-execute): Use `special-mode'.
3266 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
3268 Add pcase-defmacro, as well as `quote' and `app' patterns.
3269 * loadup.el: Increase max-lisp-eval-depth when macroexpanding macroexp.
3270 * emacs-lisp/pcase.el: Allow (F . ARGS) in `app' patterns.
3271 (pcase--funcall, pcase--eval): New functions.
3272 (pcase--u1): Use them for guard, pred, let, and app.
3273 (\`): Use the new feature to generate better code for vector patterns.
3274 * emacs-lisp/pcase.el: Use pcase-defmacro to handle backquote.
3275 (pcase--upat): Remove.
3276 (pcase--macroexpand): Don't hardcode handling of `.
3277 (pcase--split-consp, pcase--split-vector): Remove.
3278 (pcase--split-equal): Disregard ` since it's expanded away.
3279 (pcase--split-member): Optimize for quote rather than for `.
3280 (pcase--split-pred): Optimize for quote rather than for `.
3281 (pcase--u1): Remove handling of ` (and of `or' and `and').
3282 Quote non-selfquoting values when passing them to `eq'.
3283 Drop `app's let-binding if the variable is not used.
3284 (pcase--q1): Remove.
3285 (`): Define as a pattern macro.
3286 * emacs-lisp/pcase.el (pcase--match): New smart-constructor function.
3287 (pcase--expand pcase--q1, pcase--app-subst-match): Use it.
3288 (pcase--macroexpand): Handle self-quoting patterns here, expand them to
3290 (pcase--split-match): Don't hoist or/and here any more.
3291 (pcase--split-equal): Optimize quote patterns as well as ` patterns.
3292 (pcase--flip): New helper macro.
3293 (pcase--u1): Optimize the memq case directly.
3294 Don't handle neither self-quoting nor and/or patterns any more.
3295 * emacs-lisp/pcase.el (pcase-defmacro): New macro.
3296 (pcase--macroexpand): New function.
3297 (pcase--expand): Use it.
3298 * emacs-lisp/pcase.el (pcase--app-subst-match, pcase--app-subst-rest):
3299 New optimization functions.
3300 (pcase--u1): Add support for `quote' and `app'.
3301 (pcase): Document them in the docstring.
3303 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
3305 Use lexical-bindin in Ibuffer.
3306 * ibuffer.el (ibuffer-do-toggle-read-only): `arg' is unused.
3307 (ibuffer-compile-format): Simplify.
3308 (ibuffer-clear-summary-columns): Simplify.
3309 * ibuf-ext.el (ibuffer-generate-filter-groups): Don't use the third
3310 elem of dotimes when we don't refer to the iteration var from it.
3311 (ibuffer-toggle-sorting-mode): Avoid add-to-list.
3312 * ibuf-macs.el (define-ibuffer-column, define-ibuffer-op):
3313 Silence byte-compiler.
3315 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
3317 * font-lock.el (font-lock-compile-keyword): Don't confuse a lambda
3318 expression for a list.
3320 * emacs-lisp/bytecomp.el (byte-compile-lambda): Don't add fundoc usage
3321 for functions with no arguments.
3323 * mpc.el (mpc-data-directory): Use locate-user-emacs-file.
3324 (mpc-volume-refresh): Make sure the corresponding header-line is updated.
3326 2014-09-17 Tom Willemse <tom@ryuslash.org> (tiny change)
3328 * simple.el (clone-indirect-buffer): Mention the return value
3331 * progmodes/prog-mode.el (prog-mode-hook): Replace reference to
3332 Text mode in docstring (bug#18464).
3334 2014-09-21 Stefan Monnier <monnier@iro.umontreal.ca>
3336 * progmodes/perl-mode.el (perl-syntax-propertize-function):
3337 Accept underscores in identifiers after "sub" (bug#18502).
3339 2014-09-21 Tassilo Horn <tsdh@gnu.org>
3341 * textmodes/reftex-sel.el (reftex-select-label-mode)
3342 (reftex-select-bib-mode, reftex-insert-docstruct): Derive modes
3343 from special-mode (instead of fundamental-mode) and propertize
3344 with font-lock-face instead of just face. (Bug#18496)
3346 * textmodes/reftex-toc.el (reftex-toc-mode, reftex-toc): Ditto.
3348 2014-09-19 Dmitry Gutov <dgutov@yandex.ru>
3350 * emacs-lisp/lisp.el (lisp-completion-at-point): Only calculate
3351 `table-etc' when `end' is non-nil.
3352 (lisp-completion-at-point): Move `end' back if it's after quote.
3353 If in comment or string, only complete when after backquote.
3355 (lisp-completion-at-point): Don't use
3356 `lisp--local-variables-completion-table' in the
3357 `lisp--form-quoted-p' case.
3359 2014-09-19 Dmitry Gutov <dgutov@yandex.ru>
3361 * emacs-lisp/lisp.el (lisp--expect-function-p)
3362 (lisp--form-quoted-p): New functions.
3363 (lisp-completion-at-point): Use them to see if we're completing a
3364 variable reference, a function name, or just any symbol.
3365 http://lists.gnu.org/archive/html/emacs-devel/2014-02/msg00229.html
3367 2014-09-18 Ivan Kanis <ivan@kanis.fr>
3369 * net/shr.el, net/eww.el: Don't override `shr-width', but
3370 introduce a new variable `shr-internal-width'. This allows users
3371 to specify a width themselves.
3373 2014-09-18 Lars Magne Ingebrigtsen <larsi@gnus.org>
3375 * image-mode.el (image-toggle-display-image): If we have a
3376 `fit-width' or a `fit-height', don't limit the size of the image
3377 to the window size, because that doesn't preserve the aspect ratio.
3378 * image-mode.el: Move defvars earlier to avoid a byte-compilation
3381 2014-09-17 Reuben Thomas <rrt@sc3d.org>
3383 * progmodes/js.el: Add interpreter-mode-alist support for various
3384 JavaScript interpreters.
3386 2014-09-17 Paul Eggert <eggert@cs.ucla.edu>
3388 Don't assume 'grep' supports GREP_OPTIONS.
3389 The GREP_OPTIONS environment variable is planned to be marked
3390 obsolescent in GNU grep, due to problems in its use, so stop
3392 * progmodes/grep.el (grep-highlight-matches): Document this.
3393 (grep-process-setup): Do not set GREP_OPTIONS.
3394 (grep-compute-defaults): Use an explicit --color option if supported.
3396 2014-09-16 Stefan Monnier <monnier@iro.umontreal.ca>
3398 * msb.el (msb--make-keymap-menu, msb-menu-bar-update-buffers):
3399 Don't add outdated key-shortcut cache (bug#18482).
3401 2014-09-15 Glenn Morris <rgm@gnu.org>
3403 * image.el (image-multi-frame-p): Fix thinko - do not force
3404 a delay if none was specified. (Bug#18334)
3406 2014-09-15 Kan-Ru Chen <kanru@kanru.info>
3408 * window.el (fit-window-to-buffer): Doc fix.
3410 2014-09-15 Ivan Shmakov <ivan@siamics.net>
3412 * desktop.el (desktop-create-buffer): Check that buffers are still live
3413 before burying them (bug#18373).
3415 2014-09-15 Glenn Morris <rgm@gnu.org>
3417 * calendar/diary-lib.el (diary-list-entries):
3418 Restore 24.3 display behavior. (Bug#18381)
3420 2014-09-15 Eli Zaretskii <eliz@gnu.org>
3422 * mouse.el (mouse-drag-line): On text-mode frames, count the mode
3423 line and header line as 1 pixel. This fixes the 1-"pixel" (row)
3424 discrepancy between window-pixel-edges and mouse events, and
3425 avoids moving mode line up when the mouse click is on the modeline
3426 and no drag is attempted.
3428 2014-09-14 Daniel Colascione <dancol@dancol.org>
3430 * register.el (insert-register): Change default interactive
3433 2014-09-14 Michael Albinus <michael.albinus@gmx.de>
3435 * net/tramp-cache.el (tramp-flush-file-function): Simplify check.
3436 Suppress debug messages.
3438 * net/tramp.el (tramp-file-name-handler):
3439 * net/tramp-gvfs.el (tramp-gvfs-url-file-name): Apply `cons' where
3442 2014-09-13 Christopher Schmidt <ch@ristopher.com>
3444 * calendar/calendar.el (calendar-update-mode-line):
3445 Do not overwrite mode-line-format if calendar-mode-line-format is
3448 2014-09-13 Leo Liu <sdl.web@gmail.com>
3450 * emacs-lisp/pcase.el (pcase--dontwarn-upats): New var.
3451 (pcase--expand): Use it.
3452 (pcase-exhaustive): New macro. (Bug#16567)
3454 * emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-2):
3455 Add pcase-exhaustive.
3457 2014-09-13 Eli Zaretskii <eliz@gnu.org>
3459 * mail/rmailmm.el (rmail-mime-insert-html): Decode the HTML part
3460 using the specified transfer-encoding, if any, or 'undecided'.
3461 (rmail-mime-render-html-shr): Bind shr-width to nil, so lines are
3462 broken at the window margin.
3464 2013-12-27 Ken Olum <kdo@cosmos.phy.tufts.edu>
3466 Support rendering of HTML parts in Rmail (bug#4258).
3467 * mail/rmailmm.el (rmail-mime-process): Handle text/html
3468 separately from other text/ types. Suppress tagline for
3470 (rmail-mime-parse): Don't change visibility of tagline here.
3471 (rmail-mime-set-bulk-data, rmail-mime-insert-bulk):
3472 Handle text/html specially.
3473 (rmail-mime-render-html-function,rmail-mime-prefer-html): New variables.
3474 (rmail-mime-insert-html, rmail-mime-render-html-shr)
3475 (rmail-mime-render-html-lynx): New functions.
3476 (rmail-mime-fix-inserted-faces): New function.
3477 (rmail-mime-process-multipart): Find the best part to show
3478 following rmail-mime-prefer-html if set.
3479 (rmail-mime-searching): New variable.
3480 (rmail-search-mime-message): Bind rmail-mime-searching to
3481 suppress rendering while searching.
3483 2014-09-12 Sam Steingold <sds@gnu.org>
3485 * progmodes/sql.el (sql-product-alist): Add vertica.
3486 (sql-vertica-program, sql-vertica-options)
3487 (sql-vertica-login-params, sql-comint-vertica, sql-vertica):
3488 New functions and variables to support Vertica.
3489 Inspired by code by Roman Scherer <roman@burningswell.com>.
3491 2014-09-11 Paul Eggert <eggert@cs.ucla.edu>
3493 * ses.el (ses-file-format-extend-parameter-list): Rename from
3494 ses-file-format-extend-paramter-list, to correct a misspelling.
3497 2014-09-10 Alan Mackenzie <acm@muc.de>
3499 CC Mode: revert recent changes and fix bug 17463 (cc-langs.elc
3500 gets loaded at run-time).
3501 * progmodes/cc-langs.el (c-no-parens-syntax-table): Rename the
3502 c-lang-const to c-make-no-parens-syntax-table and correct the
3504 (c-no-parens-syntax-table): Correct the logic of the
3507 2014-09-10 Stefan Monnier <monnier@iro.umontreal.ca>
3509 CC-mode: Set open-paren-in-column-0-is-defun-start to nil;
3511 * progmodes/cc-mode.el (c-basic-common-init):
3512 Set open-paren-in-column-0-is-defun-start.
3513 (adaptive-fill-first-line-regexp, font-lock-syntactic-keywords):
3514 Remove declarations, unused.
3515 (run-mode-hooks): Remove declaration.
3516 (font-lock-defaults): Use plain `defvar' to declare.
3517 (c-run-mode-hooks): Test existence of run-mode-hooks with fboundp.
3518 * progmodes/cc-langs.el (c-filter-ops): Avoid `setq'.
3519 (c-make-mode-syntax-table): Don't micro-optimize.
3520 (c-keywords, c-keyword-member-alist): Simplify.
3521 (c-kwds-lang-consts): Don't eval at compile-time.
3522 (c-primary-expr-regexp): Comment out unused vars.
3523 * progmodes/cc-fonts.el (c-font-lock-context): Declare at top-level.
3524 (c-font-byte-compile): New var.
3525 (c--compile): New function. Use it instead of `byte-compile'.
3526 (c-cpp-matchers): Quote the value returned by
3527 `c-make-syntactic-matcher' in case it's not self-evaluating.
3528 (c-basic-matchers-before): Avoid a plain MATCHER as keyword, wrap it in
3529 parentheses instead (in case MATCHER happens to be a list).
3530 (c-font-lock-enum-tail): Remove unused var `start'.
3531 (c-font-lock-objc-methods): Silence byte-compiler warnings.
3532 * progmodes/cc-engine.el (c-syntactic-re-search-forward): Sink an `if'
3533 test into an argument.
3534 * progmodes/cc-defs.el (c-point, c-major-mode-is, c-put-char-property)
3535 (c-get-char-property): Don't use `eval' just to unquote a constant.
3536 (c-use-extents): Remove. Use (featurep 'xemacs), compiled
3538 (c-put-char-property-fun): Don't call `byte-compile' by hand.
3539 (c-clear-char-property, c-clear-char-properties): Check that `property'
3540 is a quoted constant.
3541 (c-emacs-features): Remove `infodock', `syntax-properties', and
3542 `pps-extended-state' (never used), `8-bit' and `1-bit' (use (featurep
3543 'xemacs) instead). Use `with-temp-buffer' and let-bind vars after
3544 changing buffer, so we don't have to setq them again afterwards.
3545 (c-lang-const): Remove redundant symbolp assertions.
3546 (c-find-assignment-for-mode): Use `or'.
3547 * Makefile.in (compile-one-process): Remove cc-mode dependency.
3549 2014-09-09 Sam Steingold <sds@gnu.org>
3551 * progmodes/sql.el (sql-default-directory): Fix type annotation.
3553 2014-09-09 Stefan Monnier <monnier@iro.umontreal.ca>
3555 * progmodes/cc-awk.el: Remove unneeded cc-bytecomp use.
3556 Change doc comments into docstrings.
3557 * Makefile.in: Remove cc-awk dependency.
3559 2014-09-08 Sam Steingold <sds@gnu.org>
3561 * progmodes/sql.el (sql-send-line-and-next): New command,
3563 (sql-show-sqli-buffer): Display the buffer instead of its name and
3564 bind the command to C-c C-z.
3565 (sql-default-directory): New user option.
3566 (sql-product-interactive): Bind `default-directory' to it to
3567 enable remote connections using Tramp.
3568 (sql-set-sqli-buffer): Call `sql-product-interactive' when no
3569 suitable buffer is available.
3571 2014-09-08 Glenn Morris <rgm@gnu.org>
3573 * calendar/calendar.el (calendar-basic-setup):
3574 Fix calendar-view-holidays-initially-flag and fancy display.
3575 * calendar/diary-lib.el (diary-live-p): Doc fix.
3577 * calendar/calendar.el (calendar-basic-setup):
3578 Avoid clobbering calendar with diary. (Bug#18381)
3580 2014-09-08 Stefan Monnier <monnier@iro.umontreal.ca>
3582 * vc/vc-dir.el (vc-dir-update): Don't burp in corner case.
3584 2014-09-08 Lars Ljung <lars@matholka.se> (tiny change)
3586 * isearch.el (isearch-yank-word-or-char): Obey superword-mode
3587 as well (bug#18400).
3589 2014-09-08 Eli Zaretskii <eliz@gnu.org>
3591 * subr.el (posn-actual-col-row): Doc fix. (Bug#18385)
3593 2014-09-06 Leo Liu <sdl.web@gmail.com>
3595 * emacs-lisp/pcase.el (pcase): Doc fix.
3596 (pcase--split-vector): New function.
3597 (pcase--q1): Support vector qpattern. (Bug#18327)
3599 2014-09-05 Sam Steingold <sds@gnu.org>
3601 * textmodes/tex-mode.el (tex-print-file-extension): New user
3603 (tex-print): Use it instead of the hard-coded string.
3605 2014-09-05 Michael Albinus <michael.albinus@gmx.de>
3607 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
3608 Expand `default-directory'.
3610 2014-09-05 Martin Rudalics <rudalics@gmx.at>
3612 * scroll-bar.el (horizontal-scroll-bars-available-p):
3614 (horizontal-scroll-bar-mode): Rewrite using
3615 horizontal-scroll-bars-available-p.
3616 * menu-bar.el (menu-bar-showhide-scroll-bar-menu): Rewrite using
3617 horizontal-scroll-bars-available-p.
3619 2014-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
3621 * subr.el (call-process-shell-command, process-file-shell-command):
3622 Make the `args' obsolete (bug#18409).
3623 (start-process-shell-command, start-file-process-shell-command):
3626 2014-09-05 Jay Belanger <jay.p.belanger@gmail.com>
3628 * calc/calc-forms.el (math-normalize-hms): Do a better check for
3629 "negative" hms forms.
3631 2014-09-04 Rasmus Pank Roulund <emacs@pank.eu>
3633 * vc/vc-git.el (vc-git-conflicted-files): Fix bug when git status
3634 returns nil (bug#18391).
3636 2014-09-04 Stefan Monnier <monnier@iro.umontreal.ca>
3638 * emacs-lisp/eldoc.el (eldoc-function-argstring): Don't strip
3639 terminating paren (bug#18352).
3640 (eldoc-last-data-store): Return cached data.
3641 (eldoc-get-var-docstring): Avoid setq.
3642 (eldoc-get-fnsym-args-string): Clarify data flow.
3644 2014-09-04 Thierry Volpiatto <thierry.volpiatto@gmail.com>
3646 * emacs-lisp/eldoc.el (eldoc-highlight-function-argument): Handle the
3647 case where we're currently providing part of the &rest arg after some
3648 &key args, as in define-ibuffer-op (bug#18048).
3650 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
3652 * progmodes/which-func.el (which-func-ff-hook): Obey pre-existing
3653 buffer-local setting of which-func-mode.
3654 (which-func-mode): Use defvar-local.
3655 (which-function-mode): Don't reset which-func-mode in each buffer since
3656 it might have been set by someone else.
3657 (which-func-update-ediff-windows): Check which-function-mode.
3659 2014-09-03 Martin Rudalics <rudalics@gmx.at>
3661 * frame.el (frame-initialize): Remove horizontal-scroll-bars
3662 from frame-initial-frame-alist.
3663 * scroll-bar.el (previous-horizontal-scroll-bar-mode)
3664 (horizontal-scroll-bar-mode-explicit)
3665 (set-horizontal-scroll-bar-mode, get-horizontal-scroll-bar-mode)
3666 (toggle-horizontal-scroll-bar): Remove.
3667 (horizontal-scroll-bar-mode): Remove defcustom.
3668 (horizontal-scroll-bar-mode): Fix doc-string.
3669 (scroll-bar-toolkit-scroll)
3670 (scroll-bar-toolkit-horizontal-scroll): Add doc-strings stubs.
3672 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
3674 * emacs-lisp/package.el (package-generate-description-file):
3675 Properly quote the arguments (bug#18332). Change second arg.
3676 (package--alist-to-plist-args): Rename from package--alist-to-plist and
3678 (package--make-autoloads-and-stuff): Fix the test for pre-existence of
3679 the *-pkg.el file. Adjust to new calling convention of
3680 package-generate-description-file.
3682 * progmodes/gud.el (gud-gdb-completion-at-point): Add hack (bug#18282).
3683 (gud-gdb-completions): Remove obsolete workaround.
3685 2014-09-03 Eli Zaretskii <eliz@gnu.org>
3687 * subr.el (posn-col-row): Revert the change from commit
3688 2010-11-13T21:07:58Z!eliz@gnu.org, which
3689 was inadvertently merged from emacs-23 release branch in 2010-11-18T03:54:14Z!monnier@iro.umontreal.ca
3690 monnier@iro.umontreal.ca-20101118035414-yvlg7k7dk4k4l3q, and
3691 introduced an off-by-one error in the reported row when there is a
3692 header line. (Bug#18384)
3694 2014-09-03 Fabián Ezequiel Gallina <fgallina@gnu.org>
3696 * progmodes/python.el (python-indent-post-self-insert-function):
3697 Avoid electric colon at beginning-of-defun. (Bug#18228)
3699 2014-09-03 Glenn Morris <rgm@gnu.org>
3701 * tutorial.el (tutorial--display-changes):
3702 Fix 2014-08-01 change. (Bug#18382)
3704 2014-09-03 Ken Brown <kbrown@cornell.edu>
3706 * startup.el (fancy-splash-frame): Extend the fix for Bug#16014 to
3707 the Cygwin-w32 build. (Bug#18347)
3709 2014-09-03 Glenn Morris <rgm@gnu.org>
3711 * tar-mode.el (tar--extract, tar-extract):
3712 Avoid permanently disabling undo in extracted buffers. (Bug#18344)
3714 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
3716 * progmodes/sh-script.el (sh-font-lock-quoted-subshell): Try to better
3717 handle multiline elements (bug#18380).
3719 2014-09-01 Eli Zaretskii <eliz@gnu.org>
3721 * ls-lisp.el (ls-lisp-use-string-collate)
3722 (ls-lisp-UCA-like-collation): New defcustoms.
3723 (ls-lisp-string-lessp): Use them to control sorting by file
3725 (ls-lisp-version-lessp): New function.
3726 (ls-lisp-handle-switches): Use it to implement the -v switch of
3728 (ls-lisp--insert-directory): Mention the -v switch in the doc string.
3730 2014-08-31 Christoph Scholtes <cschol2112@gmail.com>
3732 * ibuffer.el: Replace mode-specific quit function with
3733 `quit-window' via `special-mode'.
3734 (ibuffer-mode-map): Use keybindings from special-mode-map instead
3736 (ibuffer): Don't store previous windows configuration.
3737 Let `quit-window' handle restoring.
3738 (ibuffer-quit): Remove function. Use `quit-window' instead.
3739 (ibuffer-restore-window-config-on-quit): Remove variable.
3740 (ibuffer-prev-window-config): Remove variable.
3742 2014-08-29 Michael Heerdegen <michael_heerdegen@web.de>
3744 * emacs-lisp/easy-mmode.el (define-minor-mode): Use mode function
3745 name instead of variable name in hook docstring. (Bug#18349)
3747 2014-08-29 Martin Rudalics <rudalics@gmx.at>
3749 * window.el (display-buffer-at-bottom): Prefer bottom-left
3750 window to other bottom windows. Reuse a bottom window if it
3751 shows the buffer already. Suggested by Juri Linkov
3752 <juri@jurta.org> in discussion of (Bug#18181).
3754 2014-08-29 Leo Liu <sdl.web@gmail.com>
3756 * files.el (minibuffer-with-setup-hook): Allow (:append FUN) to
3757 append to minibuffer-setup-hook. (Bug#18341)
3759 2014-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
3761 * progmodes/cc-defs.el: Expose c-lanf-defconst's expressions to the
3763 (lookup-syntax-properties): Silence byte-compiler.
3764 (c-lang-defconst): Quote the code with `lambda' rather than with
3766 (c-lang-const): Avoid unneeded setq.
3767 (c-lang-constants-under-evaluation): Add docstring.
3768 (c-lang--novalue): New constant.
3769 (c-find-assignment-for-mode): Use it instead of c-lang-constants.
3770 (c-get-lang-constant): Same here.
3771 Get the mode's value using `funcall' now that the code is quoted
3774 2014-08-28 Michael Albinus <michael.albinus@gmx.de>
3776 * net/tramp.el (tramp-handle-shell-command): Use `display-buffer'.
3779 2014-08-28 Martin Rudalics <rudalics@gmx.at>
3781 * scroll-bar.el (scroll-bar-horizontal-drag-1): Handle new
3782 interpretation of `portion-whole'.
3784 2014-08-28 Michael Albinus <michael.albinus@gmx.de>
3786 * net/tramp-adb.el: Spell author name correctly.
3788 2014-08-28 João Távora <joaotavora@gmail.com>
3790 * net/shr.el (shr-expand-url): Plain expand-file-name is not enough;
3791 use url-expand-file-name. (Bug#18310)
3793 2014-08-28 Glenn Morris <rgm@gnu.org>
3795 * emulation/cua-rect.el (cua--highlight-rectangle):
3796 Avoid error at point-min. (Bug#18309)
3798 2014-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
3800 * progmodes/python.el (python-shell-prompt-detect): Remove redundant
3801 executable-find (bug#18244).
3803 * simple.el (self-insert-uses-region-functions): Defvar.
3805 2014-08-28 Glenn Morris <rgm@gnu.org>
3807 * subr.el (remq): Revert 2014-08-25 doc change (not always true).
3809 2014-08-27 Dmitry Antipov <dmantipov@yandex.ru>
3811 * startup.el (normal-top-level): Now use internal--top-level-message.
3813 2014-08-26 Dmitry Antipov <dmantipov@yandex.ru>
3815 * startup.el (normal-top-level): Use top-level-message.
3817 2014-08-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
3819 * net/shr.el (shr-copy-url): Encode copied URL to avoid getting
3820 URLs containing spaces and the like.
3822 2014-08-25 Christoph Scholtes <cschol2112@gmail.com>
3824 * subr.el (remq): Fix docstring (Bug#18253).
3826 2014-08-25 Christoph Scholtes <cschol2112@gmail.com>
3828 * replace.el (query-replace): Fix typo in docstring (Bug#18320).
3830 2014-08-24 Alan Mackenzie <acm@muc.de>
3832 Handle C++11's "auto" and "decltype" constructions.
3833 * progmodes/cc-engine.el (c-forward-type): Enhance to recognise
3834 and return 'decltype.
3835 (c-forward-decl-or-cast-1): New let variables backup-kwd-sym,
3836 prev-kwd-sym, new-style-auto. Enhance to handle the new "auto"
3838 * progmodes/cc-fonts.el (c-font-lock-declarations): Handle the
3840 (c-font-lock-c++-new): Handle "decltype" constructions.
3841 * progmodes/cc-langs.el (c-auto-ops, c-auto-ops-re):
3842 New c-lang-defconsts/defvars.
3843 (c-haskell-op, c-haskell-op-re): New c-lang-defconsts/defvars.
3844 (c-typeof-kwds, c-typeof-key): New c-lang-defconsts/defvars.
3845 (c-typeless-decl-kwds): Append "auto" onto the C++ value.
3846 (c-not-decl-init-keywords): Also exclude c-typeof-kwds from value.
3848 Make ">>" act as double template ender in C++ Mode. (Bug#11386)
3849 * progmodes/cc-langs.el (c->-op-cont-tokens): New lang-const split
3850 off from c->-op-cont-re.
3851 (c->-op-cont-tokens): Change to use the above.
3852 (c->-op-without->-cont-regexp): New lang-const.
3853 * progmodes/cc-engine.el (c-forward-<>-arglist-recur):
3854 Use c->-op-without->-cont-regexp in place of c->-op-cont-tokens.
3857 2014-08-23 Alan Mackenzie <acm@muc.de>
3859 * progmodes/cc-fonts.el (c-font-lock-declarators): Fix infinite
3860 loop, bug #18306. The bug was introduced on 2014-08-02.
3862 2014-08-21 Eli Zaretskii <eliz@gnu.org>
3864 * textmodes/texnfo-upd.el (texinfo-specific-section-type):
3865 Don't recognize a Top node if there are other sectioning commands
3866 earlier in the Texinfo file. This fixes a bug in
3867 texinfo-make-menu and avoids inflooping in
3868 texinfo-all-menus-update when they are invoked on texinfo.texi.
3870 2014-08-21 Martin Rudalics <rudalics@gmx.at>
3872 * window.el (window--side-window-p): New function.
3873 (split-window, window-splittable-p): Use window--side-window-p to
3874 determine whether WINDOW can be split (Bug#18304).
3875 * calendar/calendar.el (calendar-basic-setup): Fix one call of
3876 `window-splittable-p' and add another (Bug#18304).
3878 2014-08-20 Sam Steingold <sds@gnu.org>
3880 * progmodes/python.el (python-new-pythonpath): Extract from
3881 `python-shell-calculate-process-environment'.
3883 2014-08-18 Thierry Volpiatto <thierry.volpiatto@gmail.com>
3885 * emacs-lisp/eldoc.el (eldoc-highlight-function-argument): Add support
3886 for &key args (bug#18048).
3888 2014-08-18 Stefan Monnier <monnier@iro.umontreal.ca>
3890 * emacs-lisp/eldoc.el (eldoc-argument-case): Obsolete and change default.
3891 (eldoc-function-argstring-format): Remove.
3892 (eldoc-function-argstring): Always return upcase args.
3893 Use help-make-usage. Don't add parens.
3894 (eldoc-get-fnsym-args-string): Don't obey eldoc-argument-case since
3895 it's too late to do it right (bug#18048).
3897 2014-08-18 Eli Zaretskii <eliz@gnu.org>
3899 * scroll-bar.el (scroll-bar-horizontal-drag-1)
3900 (scroll-bar-toolkit-horizontal-scroll): When determining the
3901 paragraph direction, use the buffer of the window designated in
3904 2014-08-16 Andreas Schwab <schwab@linux-m68k.org>
3906 * vc/diff-mode.el (diff-fixup-modifs): Handle empty line in
3907 context of unified diff.
3909 2014-08-16 Paul Eggert <eggert@cs.ucla.edu>
3911 Add dependencies to fix loaddefs race during parallel builds.
3912 Without this, for example, 'make -j bootstrap' can fail and report
3913 "Opening input file: no such file or directory,
3914 .../lisp/calendar/diary-loaddefs.el ... recipe for target
3915 'calendar/hol-loaddefs.el' failed", where the hol-loaddefs.el rule
3916 got confused because diary-loaddefs.el was being built in parallel.
3917 * Makefile.in ($(CAL_DIR)/diary-loaddefs.el):
3918 Depend on $(CAL_DIR)/cal-loaddefs.el.
3919 ($(CAL_DIR)/hol-loaddefs.el): Depend on $(CAL_DIR)/diary-loaddefs.el.
3921 2014-08-16 Martin Rudalics <rudalics@gmx.at>
3923 * scroll-bar.el (scroll-bar-horizontal-drag-1): Use cdr of
3924 portion-whole for scrolling right-to-left text.
3926 2014-08-15 Leo Liu <sdl.web@gmail.com>
3928 * speedbar.el (speedbar-generic-list-tag-p): Allow special
3929 elements from imenu.
3931 2014-08-15 Glenn Morris <rgm@gnu.org>
3933 * subr.el (with-output-to-temp-buffer): Doc fix; from elisp manual.
3935 2014-08-13 Jan Nieuwenhuizen <janneke@gnu.org>
3937 * progmodes/compile.el (compilation-error-regexp-alist-alist):
3938 Add Guile regexpses.
3940 2014-08-13 Jan Nieuwenhuizen <janneke@gnu.org>
3942 * progmodes/gud.el (guiler): New function. Starts the Guile REPL;
3943 add Guile debugger support for GUD.
3945 2014-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
3947 * obsolete/mouse-sel.el (mouse-sel-mode): Use add/remove-function.
3948 (mouse-sel--ignore): New function.
3949 (mouse-sel-has-been-enabled, mouse-sel-original-bindings)
3950 (mouse-sel-original-interprogram-cut-function)
3951 (mouse-sel-original-interprogram-paste-function): Remove.
3953 2014-08-13 Eric S. Raymond <esr@thyrsus.com>
3955 * vc/vc-git.el (vc-git-resolve-when-done): New function.
3956 Call "git add" when there are no longer conflict markers.
3958 2014-08-13 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
3960 * vc/vc-git.el (vc-git-find-file-hook): New function.
3961 Adds support for calling smerge (and resolve) on a conflicted file.
3962 (vc-git-conflicted-files): New function.
3963 Useful in itself and a step towards better smerge support.
3965 2014-08-12 Stefan Monnier <monnier@iro.umontreal.ca>
3967 * mpc.el (mpc-reorder): Don't bother splitting the "active" elements
3968 to the first part if they're the same as the selection.
3970 2014-08-12 Lars Magne Ingebrigtsen <larsi@gnus.org>
3972 * image-mode.el (image-transform-reset): New command and menu item.
3973 (image-mode-map): Rearrange the menu items to put presumably more
3974 obscure items at the end.
3976 2014-08-12 Juri Linkov <juri@jurta.org>
3978 * vc/vc-annotate.el (vc-annotate-background-mode):
3979 Use `with-demoted-errors' instead of `ignore-errors'. (Bug#18189)
3981 2014-08-12 Stefan Monnier <monnier@iro.umontreal.ca>
3983 * files.el (out-of-memory-warning-percentage): Turn it off by default.
3985 2014-08-11 Sam Steingold <sds@gnu.org>
3987 * textmodes/sgml-mode.el (sgml-validate-command): Set depending on
3988 the presence of known validators (tidy, (o)nsgmls).
3990 2014-08-11 Ulf Jasper <ulf.jasper@web.de>
3992 Newsticker: introduce `newsticker-treeview-date-format'. (Bug#17227)
3994 * net/newst-treeview.el (newsticker-treeview-date-format): New.
3995 (newsticker--treeview-list-add-item): Use `newsticker-treeview-date-format'.
3997 2014-08-11 Glenn Morris <rgm@gnu.org>
3999 * files.el (basic-save-buffer-2): Revert 2013-01-31 change, which
4000 chose coding system for writing before backing up, since it causes
4001 a more serious problem than the one it solves. (Closes Bug#18141,
4004 2014-08-11 Martin Rudalics <rudalics@gmx.at>
4006 * window.el (window-total-size): Make doc-string more self-contained.
4008 * window.el (display-buffer-below-selected): Restore original
4009 behavior if buffer is already displayed in the window below the
4010 selected one (Bug#18181).
4012 2014-08-11 Stefan Monnier <monnier@iro.umontreal.ca>
4014 * mouse.el (mouse--down-1-maybe-follows-link): Don't convert the down
4017 2014-08-11 Eli Zaretskii <eliz@gnu.org>
4019 * info.el (info): Doc fix.
4021 2014-08-11 Stefan Monnier <monnier@iro.umontreal.ca>
4023 * info.el (Info-mode-map): Override a global down-mouse-2 binding
4026 2014-08-11 Eli Zaretskii <eliz@gnu.org>
4028 * simple.el (default-line-height): A floating-point value of
4029 line-spacing means a fraction of the default frame font's height,
4030 not of the font currently used by the 'default' face.
4031 Truncate the pixel value, like the display engine does.
4032 (window-screen-lines): Use window-inside-pixel-edges for
4033 determining the window height in pixels. (Bug#18195)
4035 2014-08-11 Grégoire Jadi <daimrod@gmail.com>
4037 * leim/quail/latin-post.el: Transform " __" into " _". (Bug#18023)
4039 2014-08-10 Ulf Jasper <ulf.jasper@web.de>
4041 Enumerate evaluated sexp diary entries (Bug#7911).
4042 * calendar/icalendar.el (icalendar-export-sexp-enumerate-all)
4043 (icalendar-export-sexp-enumeration-days): New.
4044 (icalendar-export-region): Now `icalendar--convert-to-ical'
4045 returns a cons cell or a list of cons cells.
4046 (icalendar--convert-to-ical): Take care of
4047 `icalendar-export-sexp-enumerate-all'. Return (a list of) cons cells.
4048 (icalendar--convert-ordinary-to-ical)
4049 (icalendar--convert-weekly-to-ical, icalendar--convert-yearly-to-ical)
4050 (icalendar--convert-block-to-ical, icalendar--convert-block-to-ical)
4051 (icalendar--convert-float-to-ical, icalendar--convert-cyclic-to-ical)
4052 (icalendar--convert-anniversary-to-ical): Return cons cell.
4053 (icalendar--convert-sexp-to-ical): Enumerate evaluated sexp
4054 entries. Return (list of) cons cells.
4056 2014-08-09 Juri Linkov <juri@jurta.org>
4058 * vc/vc-annotate.el (vc-annotate-background-mode): Add :set
4059 to reevaluate `vc-annotate-color-map'. (Bug#18189)
4061 2014-08-09 Alan Mackenzie <acm@muc.de>
4063 * progmodes/cc-fonts.el (c-font-lock-declarators): Remove check
4064 for top-level that can cause unacceptable slow-down in scrolling.
4065 See email Subject: Huge {...} blocks in C/C++ again, from Dmitry
4066 Antipov from 2013-10-14 in emacs-devel.
4068 2014-08-08 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
4070 * ibuffer.el (ibuffer-mode-map): Use toggle button for
4071 `ibuffer-auto-mode' menu entry.
4072 (ibuffer-mode-hook): Add `ibuffer-auto-mode' customization option.
4074 2014-08-08 Matthias Meulien <orontee@gmail.com>
4076 * progmodes/prog-mode.el (prog-mode-hook): Make customizable.
4079 2014-08-07 Martin Rudalics <rudalics@gmx.at>
4081 * window.el (window--min-size-1): Explicitly set WINDOW arg in
4082 calls of window-min-pixel-height and window-min-pixel-width.
4084 2014-08-07 Reuben Thomas <rrt@sc3d.org>
4086 * progmodes/ada-mode.el:
4087 * net/tramp.el (tramp-handle-file-symlink-p):
4088 * net/tramp-ftp.el (tramp-ftp-file-name-handler): Remove a comment
4089 about VMS, which we no longer support.
4090 * progmodes/ada-xref.el (ada-xref-current): Remove mention of VMS,
4091 and fix a FIXME, using convert-standard-filename in place of
4092 removed ada-convert-file-name.
4094 2014-08-07 Eli Zaretskii <eliz@gnu.org>
4096 * files.el (auto-mode-alist): Remove support for VMS from a pattern.
4098 2014-08-07 Reuben Thomas <rrt@sc3d.org>
4100 Refer to MS-DOS using the same name everywhere.
4101 * arc-mode.el, files.el, frame.el: ``MS-DOG'', ``MSDOG'' and
4102 ``msdog'' become ``MS-DOS''.
4104 2014-08-07 Michael Albinus <michael.albinus@gmx.de>
4106 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
4107 Use cached "remote-copy-args" value, if available. (Bug#18199)
4109 2014-08-07 Leo Liu <sdl.web@gmail.com>
4111 * help.el (temp-buffer-setup-hook,temp-buffer-show-hook):
4112 Revert change on 2014-03-22.
4114 2014-08-06 Ulf Jasper <ulf.jasper@web.de>
4116 * calendar/icalendar.el (icalendar--diarytime-to-isotime)
4117 (icalendar--convert-ordinary-to-ical): Allow for missing minutes
4121 2014-08-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
4123 * image-mode.el (image-toggle-display-image): Always rescale images
4124 to not be bigger than the current window.
4126 2014-08-05 Eric Brown <brown@fastmail.fm> (tiny change)
4128 * net/eww.el (eww-bookmarks-directory): New variable.
4129 (eww-write-bookmarks): Use it.
4130 (eww-read-bookmarks): Ditto.
4132 2014-08-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
4134 * net/shr.el (shr-copy-url): Also copy the image URL.
4136 2014-08-05 Michael Albinus <michael.albinus@gmx.de>
4138 * net/tramp-cache.el (tramp-flush-file-function): Suppress function
4139 also for Tramp working buffers.
4141 2014-08-04 Fabián Ezequiel Gallina <fgallina@gnu.org>
4143 * progmodes/python.el: Fix completions inside (i)pdb.
4144 (python-shell-completion-pdb-string-code): Make obsolete.
4145 (python-shell-completion-get-completions):
4146 Use python-shell-completion-string-code resending setup code
4147 continuously for (i)pdb.
4149 2014-08-04 Paul Eggert <eggert@cs.ucla.edu>
4151 * rect.el (rectangle--default-line-number-format): Rename
4152 from misspelled rectange--default-line-number-format (Bug#18045).
4155 2014-08-03 Paul Eggert <eggert@cs.ucla.edu>
4157 Don't mishandle year-9999 dates (Bug#18176).
4158 * calendar/parse-time.el (parse-time-rules):
4159 Allow years up to most-positive-fixnum.
4160 * calendar/time-date.el (date-to-time):
4161 Pass "Specified time is not representable" errors through.
4163 2014-08-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
4165 * progmodes/python.el: Completion code cleanups.
4166 (python-shell-completion-get-completions): Detect and send import
4167 statements directly to completion function.
4168 (python-shell-completion-at-point): Simplify prompt calculation
4169 and import vs input completion logic.
4171 2014-08-02 Alan Mackenzie <acm@muc.de>
4173 Fix confusion in C++ file caused by comma in "= {1,2},".
4175 * progmodes/cc-engine.el (c-beginning-of-statement-1): In checking
4176 for a statement boundary marked by "}", check there's no "="
4178 (c-guess-basic-syntax CASE 9B): Call c-beginning-of-statement with
4179 non-nil `comma-delim' argument.
4180 * progmodes/cc-fonts.el (c-font-lock-declarators): Parse an
4181 initializer expression more accurately.
4183 Correct loop termination condition in c-syntactic-skip-backward.
4184 * progmodes/cc-engine.el (c-syntactic-skip-backward): Correct for
4185 the situation where, after moving back out of a literal,
4186 skip-chars-backward doesn't move further, yet checks have still to
4189 2014-08-01 Eli Zaretskii <eliz@gnu.org>
4191 * tutorial.el (tutorial--display-changes): Accept punctuation
4192 characters before the key binding. (Bug#18146)
4194 2014-07-31 Fabián Ezequiel Gallina <fgallina@gnu.org>
4196 * progmodes/python.el: Shell output capture enhancements.
4197 (python-shell-accept-process-output): New function.
4198 (inferior-python-mode)
4199 (python-shell-send-setup-code): Use it.
4201 2014-07-30 Christophe Deleuze <christophe.deleuze@free.fr> (tiny change)
4203 * calendar/icalendar.el (icalendar--decode-isodatetime):
4204 Use actual current-time-zone when converting to local time. (Bug#15408)
4206 2014-07-29 Martin Rudalics <rudalics@gmx.at>
4208 * window.el (window--state-put-2): Handle horizontal scroll
4211 2014-07-29 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
4213 * menu-bar.el (menu-bar-update-buffers): Update item list format
4214 in `buffers-menu' to confirm with changes to `get_keyelt'
4215 (r117463). (Bug#18016)
4217 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
4219 * progmodes/python.el (inferior-python-mode): Make input prompts
4222 2014-07-28 Emilio C. Lopes <eclig@gmx.net>
4224 * net/tramp-sh.el (tramp-get-remote-python): Also search for
4225 executables named "python2" or "python3".
4226 (tramp-get-remote-uid-with-python): Use parentheses around
4227 arguments to `print' to make it compatible with Python 3.
4228 (tramp-get-remote-gid-with-python): Ditto. (Bug#18118)
4230 2014-07-28 Eli Zaretskii <eliz@gnu.org>
4232 * window.el (window--pixel-to-total): Use FRAME's root window, not
4233 that of the selected frame. (Bug#18112, Bug#16674)
4235 2014-07-28 Andreas Schwab <schwab@linux-m68k.org>
4237 * textmodes/tex-mode.el (tex-font-lock-verb): Doc fix.
4240 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
4242 * progmodes/python.el (inferior-python-mode): Doc fix.
4244 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
4246 * calendar/todo-mode.el (todo-edit-item--next-key): If next key is
4247 not a character, ignore it instead of raising an error.
4249 * calendar/todo-mode.el: Fix handling of marked items and make
4250 minor code improvements.
4251 (todo-edit-item): If there are marked items, ensure user can only
4252 invoke editing commands that work with marked items.
4253 (todo-edit-item--text): When there are marked items, make it a
4254 noop if invoked with point not on an item; otherwise, ensure it
4255 applies only to item at point.
4256 (todo-item-undone): If there are marked not-done items, return
4257 point to its original position before signaling user error.
4258 (todo--user-error-if-marked-done-item): New function.
4259 (todo-edit-item--header, todo-edit-item--diary-inclusion)
4260 (todo-item-done): Use it.
4262 2014-07-28 Glenn Morris <rgm@gnu.org>
4264 * files.el (toggle-read-only): Re-add basic doc-string.
4265 * vc/vc-hooks.el (vc-toggle-read-only): Tweak obsolescence mesage.
4267 * progmodes/prolog.el (prolog-mode-keybindings-edit):
4268 Replace missing `switch-to-prolog' with `run-prolog'.
4269 (switch-to-prolog): Define as (obsolete) alias, as in 23.4.
4271 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
4273 * calendar/todo-mode.el (todo-set-top-priorities): Fix overwriting
4274 of file-wide setting when changing category-wide setting.
4276 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
4278 * doc-view.el (doc-view-open-text): Don't require that the
4279 document is saved in a file (e.g., email attachment).
4281 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
4283 Parse completion input in a iPython friendly way. (Bug#18084)
4284 * progmodes/python.el
4285 (python-shell-completion-at-point): Rename from
4286 python-shell-completion-complete-at-point.
4287 (inferior-python-mode): Use it.
4288 (python-completion-at-point): Rename from
4289 python-completion-complete-at-point. Parse input up to first
4290 backward occurrence of whitespace, open-paren, close-paren or
4292 (python-mode): Use it.
4294 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
4296 Prevent Python process shell buffer to pop twice.
4297 * progmodes/python.el (python-shell-switch-to-shell): Do not call
4300 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
4302 * progmodes/python.el
4303 (python-shell-with-shell-buffer): New macro.
4304 (python-shell-font-lock-get-or-create-buffer)
4305 (python-shell-font-lock-kill-buffer)
4306 (python-shell-font-lock-with-font-lock-buffer)
4307 (python-shell-font-lock-cleanup-buffer)
4308 (python-shell-font-lock-toggle): Use it.
4309 (python-shell-font-lock-turn-on)
4310 (python-shell-font-lock-turn-off): Use it. Make command.
4312 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
4314 Grab all Python process output before inferior-python-mode hooks.
4315 * progmodes/python.el (inferior-python-mode):
4316 Call accept-process-output and sit-for to ensure all output for process
4317 has been received before running hooks.
4318 (python-shell-internal-get-or-create-process):
4319 Cleanup accept-process-output and sit-for calls.
4321 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
4323 More robust shell startup and code setup.
4324 * progmodes/python.el (python-shell-make-comint):
4325 Remove accept-process-output call.
4326 (python-shell-get-buffer): Return current buffer if major-mode is
4327 inferior-python-mode.
4328 (python-shell-get-or-create-process): Use it.
4329 (python-shell-send-setup-code): Send all setup code in one string,
4330 output success message and accept-process-output.
4332 2014-07-27 Eli Zaretskii <eliz@gnu.org>
4334 * scroll-bar.el (scroll-bar-toolkit-horizontal-scroll):
4335 Add rudimentary support for bidirectional text.
4337 2014-07-27 Martin Rudalics <rudalics@gmx.at>
4339 * frame.el (frame-notice-user-settings): Rewrite using
4340 frame-initial-frame-tool-bar-height.
4341 * menu-bar.el (menu-bar-horizontal-scroll-bar)
4342 (menu-bar-no-horizontal-scroll-bar): New functions.
4343 (menu-bar-showhide-scroll-bar-menu): Add bindings for horizontal
4345 * scroll-bar.el (scroll-bar-lines)
4346 (set-horizontal-scroll-bar-mode)
4347 (get-horizontal-scroll-bar-mode, horizontal-scroll-bar-mode)
4348 (scroll-bar-horizontal-drag-1, scroll-bar-horizontal-drag)
4349 (scroll-bar-toolkit-horizontal-scroll): New functions.
4350 (horizontal-scroll-bar-mode)
4351 (previous-horizontal-scroll-bar-mode)
4352 (horizontal-scroll-bar-mode-explicit): New variables.
4353 (horizontal-scroll-bar-mode): New option.
4354 (toggle-horizontal-scroll-bar): Do something.
4355 (top-level): Bind horizontal-scroll-bar mouse-1.
4356 * startup.el (tool-bar-originally-present): Remove variable.
4357 (command-line): Don't set tool-bar-originally-present.
4358 * window.el (window-min-height): Update doc-string.
4359 (window--dump-frame): Dump horizontal scroll bar values.
4360 (window--min-size-1): Handle minibuffer window separately.
4361 Count in margins and horizontal scroll bar. Return safe value
4362 iff IGNORE equals 'safe.
4363 (frame-windows-min-size): New function (used by frame resizing
4365 (fit-frame-to-buffer, fit-window-to-buffer): Count in horizontal
4367 (window--sanitize-window-sizes): New function.
4368 (window-split-min-size): Remove.
4369 (split-window): Count divider-width. Don't use
4370 `window-split-min-size' any more. Reword error messages.
4371 Sanitize windows sizes after splitting.
4373 2014-07-27 Thien-Thi Nguyen <ttn@gnu.org>
4375 Use `defvar-local' more.
4376 * progmodes/hideshow.el
4377 (hs-c-start-regexp, hs-block-start-regexp)
4378 (hs-block-start-mdata-select, hs-block-end-regexp)
4379 (hs-forward-sexp-func, hs-adjust-block-beginning): ...here;
4380 remove corresponding `make-variable-buffer-local' top-level calls.
4382 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
4384 Cleanup error signals. (Bug#18067)
4385 * progmodes/python.el
4386 (python-indent-shift-left): Use user-error instead.
4387 (python-shell-prompt-detect): Use lwarn with python group.
4388 (python-completion-complete-at-point)
4389 (python-eldoc--get-doc-at-point): Don't signal error.
4391 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
4393 Support for packages in Python shell. (Bug#13570)
4394 * progmodes/python.el (python-shell--package-depth): New var.
4395 (python-shell-package-enable): New command.
4396 (python-util-list-directories, python-util-list-files)
4397 (python-util-list-packages): New functions.
4399 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
4401 Faster comint output. (Bug#16875)
4402 * progmodes/python.el:
4403 (python-comint-output-filter-function): Make obsolete.
4404 (python-comint-postoutput-scroll-to-bottom): New function.
4405 (inferior-python-mode): Set comint-output-filter-functions to a
4408 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
4410 * progmodes/python.el (python-shell-font-lock-post-command-hook):
4411 Safeguard current point and undo history.
4413 2014-07-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
4415 Robust shell syntax highlighting. (Bug#18084, Bug#16875)
4416 * progmodes/python.el:
4417 (python-shell-prompt-input-regexps): Add iPython block prompt.
4418 (python-shell-output-syntax-table): Delete var.
4419 (python-shell-font-lock-with-font-lock-buffer): New macro.
4420 (python-shell-font-lock-get-or-create-buffer)
4421 (python-shell-font-lock-kill-buffer)
4422 (python-shell-font-lock-cleanup-buffer)
4423 (python-shell-font-lock-post-command-hook)
4424 (python-shell-font-lock-turn-off): New functions.
4425 (python-shell-font-lock-turn-on): New function.
4426 (inferior-python-mode): Use it.
4427 (python-shell-font-lock-toggle): New command.
4428 (python-shell-font-lock-enable): Rename from
4429 python-shell-enable-font-lock.
4430 (run-python-internal): Use it.
4431 (python-shell-font-lock-comint-output-filter-function): New function.
4432 (python-shell-comint-end-of-output-p): New function.
4433 (python-shell-output-filter): Use it.
4434 (python-util-comint-last-prompt): New function.
4435 (python-util-text-properties-replace-name): New function.
4437 2014-07-25 Glenn Morris <rgm@gnu.org>
4439 * vc/ediff-init.el (ediff-toggle-read-only-function):
4440 * vc/ediff-util.el (ediff-toggle-read-only):
4441 Replace obsolete toggle-read-only with read-only-mode.
4443 2014-07-24 Michael Albinus <michael.albinus@gmx.de>
4445 * net/tramp-cache.el (tramp-flush-file-function): Wrap the code
4446 with `save-match-data'. (Bug#18095)
4448 2014-07-21 Vincent Belaïche <vincentb1@users.sourceforge.net>
4450 * ses.el (ses-truncate-cell): Use cl-progv instead of eval in
4451 order to ensure that row and col are lexically bound inside the
4454 2014-07-21 Glenn Morris <rgm@gnu.org>
4456 * progmodes/hideif.el (hide-ifdef-mode-submap):
4457 Also substitute read-only-mode.
4458 * bindings.el (mode-line-toggle-read-only):
4459 * bs.el (bs-toggle-readonly):
4460 * buff-menu.el (Buffer-menu-toggle-read-only):
4461 * dired.el (dired-toggle-read-only):
4462 * files.el (view-read-only, find-file-read-only)
4463 (find-file-read-only-other-window)
4464 (find-file-read-only-other-frame):
4465 * progmodes/hideif.el (hide-ifdef-toggle-outside-read-only):
4466 Doc fixes re toggle-read-only.
4468 2014-07-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
4470 * progmodes/python.el: Add comment about pipe buffering and
4471 solutions for missing/delayed output in inferior Python shells.
4474 * progmodes/python.el (python-mode): Don't set
4475 mode-require-final-newline. (Bug#17990)
4477 Make python.el work with IPython automatically. (Bug#15510)
4478 * progmodes/python.el:
4479 (python-shell-completion-setup-code): New value supporting iPython.
4480 (python-shell-completion-string-code): New value supporting iPython.
4481 (python-shell-completion-get-completions): Use them.
4482 (python-shell-completion-module-string-code): Make obsolete.
4483 (python-shell-prompt-input-regexps)
4484 (python-shell-prompt-output-regexps): Add safeguard for ipdb.
4485 (python-shell-output-filter): Fix comment typo.
4487 Fix Python shell prompts detection for remote hosts.
4488 * progmodes/python.el (python-shell-prompt-detect):
4489 Replace call-process with process-file and make it more robust.
4491 Autodetect Python shell prompts. (Bug#17370)
4492 * progmodes/python.el:
4493 (python-shell-interpreter-interactive-arg)
4494 (python-shell-prompt-detect-enabled)
4495 (python-shell-prompt-detect-failure-warning)
4496 (python-shell-prompt-input-regexps)
4497 (python-shell-prompt-output-regexps): New vars.
4498 (python-shell-prompt-calculated-input-regexp)
4499 (python-shell-prompt-calculated-output-regexp): New vars.
4500 (python-shell-get-process-name)
4501 (python-shell-internal-get-process-name)
4502 (python-shell-output-filter)
4503 (python-shell-completion-get-completions): Use them.
4504 (python-shell-prompt-detect)
4505 (python-shell-prompt-validate-regexps): New functions.
4506 (python-shell-prompt-set-calculated-regexps): New function.
4507 (inferior-python-mode): Use it. Also honor overriden
4508 python-shell-interpreter and python-shell-interpreter-args.
4509 (python-shell-make-comint): Honor overriden
4510 python-shell-interpreter and python-shell-interpreter-args.
4511 (python-shell-get-or-create-process): Make it testable by allowing
4512 to call run-python non-interactively.
4513 (python-util-valid-regexp-p): New function.
4514 (python-shell-prompt-regexp, python-shell-prompt-block-regexp)
4515 (python-shell-prompt-output-regexp)
4516 (python-shell-prompt-pdb-regexp): Use it as defcustom :safe.
4518 2014-07-21 Stefan Monnier <monnier@iro.umontreal.ca>
4520 * emacs-lisp/smie.el (smie-config--guess-1): Split from
4522 (smie-config--guess): Use it.
4524 * emacs-lisp/edebug.el: Use nadvice.
4525 (edebug-original-read): Remove.
4526 (edebug--read): Rename from edebug-read and add `orig' arg.
4527 (edebug-uninstall-read-eval-functions)
4528 (edebug-install-read-eval-functions): Use nadvice.
4529 (edebug-read-sexp, edebug-read-storing-offsets, edebug-read-symbol)
4530 (edebug-read-and-maybe-wrap-form1, edebug-instrument-callee)
4531 (edebug-read-string, edebug-read-function): Use just `read'.
4532 (edebug-original-debug-on-entry): Remove.
4533 (edebug--debug-on-entry): Rename from edebug-debug-on-entry and add
4535 (debug-on-entry): Override with nadvice.
4537 * mouse.el (tear-off-window): Rename from mouse-tear-off-window since
4538 it also makes sense to bind it to a non-mouse event.
4540 * vc/vc-bzr.el (vc-bzr-shelve): Make it operate on fileset.
4542 2014-07-19 Stefan Monnier <monnier@iro.umontreal.ca>
4544 * xt-mouse.el (xterm-mouse-event): Don't assume last-click is non-nil
4547 * rect.el (rectangle--string-preview): Don't assume there
4548 a non-nil default (bug#17984).
4550 2014-07-16 Glenn Morris <rgm@gnu.org>
4552 * desktop.el (after-init-hook): Disable startup frame restoration
4553 in non-graphical situations. (Bug#17693)
4555 * vc/vc-dispatcher.el (vc-log-edit): Do set up the log buffer
4556 if it was "empty", or used for a different set of files. (Bug#17884)
4558 2014-07-16 Eli Zaretskii <eliz@gnu.org>
4560 * bindings.el (mode-line-remote): If default-directory is not a
4561 string, don't call file-remote-p on it; instead state in the
4562 help-echo that it is nil. (Bug#17986)
4564 2014-07-14 Daniel Colascione <dancol@dancol.org>
4566 * progmodes/cc-langs.el: Change comments from `cl-macroexpand-all'
4567 to `macroexpand-all'
4569 * progmodes/cc-defs.el (c-lang-defconst-eval-immediately):
4570 Use `macroexpand-all' instead of `cl-macroexpand-all'.
4572 2014-07-12 Paul Eggert <eggert@cs.ucla.edu>
4574 Fix bug: C-x v v discarded existing log message (Bug#17884).
4575 * vc/vc-dispatcher.el (vc-log-edit):
4576 Don't clobber an already-existing log message.
4578 2014-07-12 Glenn Morris <rgm@gnu.org>
4580 * vc/log-edit.el (log-edit-changelog-entries):
4581 Check for a visited-but-never-saved ChangeLog.
4583 2014-07-12 Stefan Monnier <monnier@iro.umontreal.ca>
4585 * vc/log-edit.el (log-edit-changelog-entries): Don't both visiting
4586 a non-existing file (bug#17970).
4588 * faces.el (face-name): Undo last change.
4589 (x-resolve-font-name): Don't call face-name (bug#17956).
4591 2014-07-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
4593 Fix dedenters and electric colon handling. (Bug#15163)
4594 * progmodes/python.el
4595 (python-rx-constituents): Add dedenter and block-ender.
4596 (python-indent-dedenters, python-indent-block-enders): Delete.
4597 (python-indent-context): Return new case for dedenter-statement.
4598 (python-indent-calculate-indentation): Handle new case.
4599 (python-indent-calculate-levels): Fix levels calculation for
4600 dedenter statements.
4601 (python-indent-post-self-insert-function): Fix colon handling.
4602 (python-info-dedenter-opening-block-message): New function.
4603 (python-indent-line): Use it.
4604 (python-info-closing-block)
4605 (python-info-closing-block-message): Remove.
4606 (python-info-dedenter-opening-block-position)
4607 (python-info-dedenter-opening-block-positions)
4608 (python-info-dedenter-statement-p): New functions.
4610 2014-07-11 Dmitry Antipov <dmantipov@yandex.ru>
4612 * files.el (out-of-memory-warning-percentage): New defcustom.
4613 (warn-maybe-out-of-memory): Use it.
4615 2014-07-11 Michael Albinus <michael.albinus@gmx.de>
4617 * subr.el (read-passwd): Use `read-hide-char' if non-nil. Bind it
4618 when calling `read-string'. (Bug#17839)
4620 2014-07-10 Eli Zaretskii <eliz@gnu.org>
4622 * files.el (warn-maybe-out-of-memory): Fix the wording of the
4625 2014-07-10 Dmitry Antipov <dmantipov@yandex.ru>
4627 * files.el (warn-maybe-out-of-memory): New function.
4628 (find-file-noselect): Use it.
4630 2014-07-09 Sam Steingold <sds@gnu.org>
4632 * progmodes/cperl-mode.el (cperl-block-p): Treat the perl keyword
4633 `constant' like `bless', `return' &c
4635 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
4637 * rect.el (apply-on-rectangle): Check forward-line really moved to the
4640 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
4642 * progmodes/sh-script.el (sh-smie-sh-rules): Don't align with a && in
4643 the middle of a line (bug#17896).
4645 2014-07-09 Juri Linkov <juri@jurta.org>
4647 * startup.el (command-line): Append displaying the warning about
4648 the errors in the init file to the end of `after-init-hook'.
4651 * faces.el (face-name): Return input arg `face' as-is
4652 when it's not a symbol.
4653 (x-resolve-font-name): Don't check if the face is a symbol.
4656 * facemenu.el (list-colors-print): In help-echo format use %.2f
4657 instead of %d because now HSV values are floating-point components
4658 between 0.0 and 1.0.
4660 2014-07-09 Glenn Morris <rgm@gnu.org>
4662 * emulation/cua-rect.el (cua--activate-rectangle):
4663 Avoid setting cua--rectangle to nil. (Bug#17877)
4665 2014-07-09 Stephen Berman <stephen.berman@gmx.net>
4667 * calendar/todo-mode.el: Fix wrong-type-argument error when
4668 marking multiple consecutive items.
4669 (todo-toggle-mark-item): Don't try to mark the empty lines at the
4670 end of the todo and done items sections. Note in doc string that
4671 items marked by passing a numeric prefix argument can include the
4672 last todo and first done items.
4673 (todo-mark-category): Don't try to mark the empty line between the
4674 todo and done items sections.
4676 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
4678 * emacs-lisp/edebug.el (edebug-eval-defun): Print result using
4679 proper Lisp quoting (bug#17934).
4681 * progmodes/ruby-mode.el (ruby-mode-variables): Don't meddle with
4682 require-final-newline since prog-mode already took care of it (bug#17947).
4684 2014-07-09 Stephen Berman <stephen.berman@gmx.net>
4686 * calendar/todo-mode.el: Fix two bugs. Shorten Commentary and
4687 refer to the Todo mode Info manual. Update the comment on
4689 (todo-find-filtered-items-file): Add todo-prefix overlays.
4690 (todo-filter-items): Reorder a let-bound variable to avoid a
4691 wrong-type-argument error on canceling the file choice dialog.
4693 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
4695 * progmodes/octave.el (inferior-octave-mode):
4696 Set comint-input-ring-size to a number (bug#17912).
4698 2014-07-09 Juri Linkov <juri@jurta.org>
4700 * desktop.el (desktop-minor-mode-table): Add `defining-kbd-macro'
4701 and `isearch-mode' associated with nil. (Bug#17849)
4703 2014-07-08 Stefan Monnier <monnier@iro.umontreal.ca>
4705 * linum.el (linum--face-height): New function (bug#17813).
4706 (linum-update-window): Use it to adjust margin to linum's width.
4708 * leim/quail/sisheng.el (sisheng-list): Don't bother with-case-table.
4709 * eshell/em-smart.el (eshell-smart-scroll-window):
4710 Use with-selected-window.
4712 * xt-mouse.el (xterm-mouse-translate-1): Intern drag event (bug#17894).
4713 Remove also pointless window&mark manipulation.
4715 * progmodes/perl-mode.el: Use syntax-ppss; fix one indentation case.
4716 (perl-indent-line): Use syntax-ppss to detect we're in a doc-section.
4717 (perl-continuation-line-p): Don't skip over anything else than labels.
4718 Return the previous char.
4719 (perl-calculate-indent): Use syntax-ppss instead of parse-start
4720 and update callers accordingly. For continuation lines, check the
4721 the case of array hashes.
4722 (perl-backward-to-noncomment): Make it non-interactive.
4723 (perl-backward-to-start-of-continued-exp): Rewrite.
4725 2014-07-08 Sam Steingold <sds@gnu.org>
4727 * progmodes/inf-lisp.el (lisp-eval-paragraph, lisp-eval-form-and-next):
4730 2014-07-08 Juri Linkov <juri@jurta.org>
4732 * vc/vc-annotate.el (vc-annotate-background-mode): New defcustom.
4733 (vc-annotate-color-map): Use less saturated colors (20%) for
4735 (vc-annotate-very-old-color): Add default value for background-mode.
4736 (vc-annotate-background): Set default value to nil since now text on
4737 the default backgrounds should be legible in light and dark modes.
4738 (vc-annotate-lines): Use `vc-annotate-background-mode'. Doc fix.
4741 2014-07-08 Juri Linkov <juri@jurta.org>
4743 * simple.el (transpose-chars): Don't move point into read-only area.
4746 2014-07-08 Juri Linkov <juri@jurta.org>
4748 * window.el (with-displayed-buffer-window): New macro.
4749 (with-temp-buffer-window, with-current-buffer-window):
4750 Use `macroexp-let2' to evaluate and bind variables
4751 in the same order as macro arguments.
4752 (display-buffer--action-function-custom-type):
4753 Add `display-buffer-below-selected' and `display-buffer-at-bottom'.
4755 * minibuffer.el (minibuffer-completion-help): Replace
4756 `with-output-to-temp-buffer' with `with-displayed-buffer-window'
4757 with actions that display *Completions* at-bottom when called
4758 from the minibuffer, or below-selected in a normal buffer.
4759 Associate `window-height' with `fit-window-to-buffer'.
4760 Let-bind `pop-up-windows' to nil.
4762 * dired.el (dired-mark-pop-up): Use `with-displayed-buffer-window'
4763 instead of `with-current-buffer-window'. (Bug#17809)
4765 2014-07-07 Luke Lee <luke.yx.lee@gmail.com>
4767 * progmodes/hideif.el (hide-ifdef-env): Change to global.
4768 (hide-ifdef-env-backup): New variable.
4769 (hide-ifdef-expand-reinclusion-protection, hide-ifdef-header-regexp):
4770 New customizable variables.
4771 (hif-clear-all-ifdef-defined): New defun.
4772 (hif-merge-ifdef-region, hide-ifdef-region-internal, hide-ifdef-region)
4773 (hif-show-ifdef-region): Merge hidden regions to prevent continuous "...".
4774 (hif-tokenize): Fix for MS-DOS/Win EOL style.
4775 (hif-endif-to-ifdef, hif-make-range, hif-find-range, hif-possibly-hide):
4776 Fix bug to hide the correct #elif region(s).
4777 (hif-range-elif): New defun.
4778 (hif-recurse-level): New var.
4779 (hif-evaluate-region, hif-evaluate-macro): New defun.
4780 (hide-ifdef-guts): Prevent reinclusion protected C/C++ headers from
4782 (hide-ifdef-define, hide-ifdefs, hide-ifdef-block, show-ifdef-block):
4785 2014-07-04 Michael Albinus <michael.albinus@gmx.de>
4787 * net/dbus.el (dbus-peer-handler): New defun.
4788 (dbus-register-service): Register it. (Bug#17858)
4789 (dbus-managed-objects-handler): Fix docstring.
4791 2014-07-04 Phil Sainty <psainty@orcon.net.nz>
4793 * emacs-lisp/lisp.el (narrow-to-defun-include-comments): New var.
4794 (narrow-to-defun): New arg include-comments, defaulting to it
4797 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
4799 * rect.el (rectangle--highlight-for-redisplay): Don't pass `orig' with
4800 different calling convention to rectangle--unhighlight-for-redisplay.
4802 2014-07-03 Michael Albinus <michael.albinus@gmx.de>
4804 * net/tramp.el (tramp-call-process): Handle error strings.
4806 * net/tramp-adb.el (tramp-adb-sh-fix-ls-output): Use `bolp'.
4808 * net/tramp-sh.el (tramp-sh-handle-set-visited-file-modtime)
4809 (tramp-sh-handle-verify-visited-file-modtime): Use `point-at-eol'.
4811 * net/trampver.el: Update release number.
4813 2014-07-03 Juri Linkov <juri@jurta.org>
4815 * desktop.el (desktop-save): Rename arg `auto-save' to
4816 `only-if-changed'. Doc fix. (Bug#17873)
4818 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
4820 * mouse.el (mouse-yank-primary, mouse-yank-secondary):
4821 Use insert-for-yank (bug#17271).
4823 2014-07-03 Leo Liu <sdl.web@gmail.com>
4825 * emacs-lisp/pp.el (pp-eval-expression, pp-eval-last-sexp):
4826 Support lexical-binding.
4828 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
4830 * vc/log-edit.el (log-edit-goto-eoh): New function.
4831 (log-edit--match-first-line): Use it (bug#17861).
4833 2014-07-03 Glenn Morris <rgm@gnu.org>
4835 * vc/log-edit.el (log-edit-hook): Add missing :version.
4837 2014-07-03 Fabián Ezequiel Gallina <fgallina@gnu.org>
4839 * progmodes/python.el (python-indent-post-self-insert-function):
4840 Enhancements to electric indentation behavior inside
4843 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
4845 * ps-def.el (ps-generate-postscript-with-faces1): Don't mess with
4846 buffer-invisibility-spec (bug#17867).
4848 2014-07-03 Andreas Schwab <schwab@linux-m68k.org>
4850 * vc/vc-git.el (vc-git-checkin): When operating on the whole tree
4853 2014-07-03 Glenn Morris <rgm@gnu.org>
4855 * cus-edit.el (help):
4856 * finder.el (finder-known-keywords):
4857 * help.el (help-for-help-internal):
4858 * vc/ediff-mult.el (ediff-meta-buffer-verbose-message)
4859 (ediff-redraw-registry-buffer):
4860 * vc/ediff-ptch.el (ediff-patch-file-internal):
4861 Doc fixes re "online" help. (Bug#17803)
4863 * progmodes/idlwave.el (idlwave): Update url-link for custom group.
4864 (idlwave-mode): Doc URL update.
4866 2014-07-01 Juri Linkov <juri@jurta.org>
4868 * man.el: Display man pages immediately and use process-filter
4869 to format them asynchronously.
4870 (Man-width): Doc fix.
4872 (Man-start-calling): Use `with-selected-window' to get
4873 `frame-width' and `window-width'.
4874 (Man-getpage-in-background): Call `Man-notify-when-ready'
4875 immediately after creating a new buffer. Call `Man-mode' and set
4876 `mode-line-process' in the created buffer. Set process-filter to
4877 `Man-bgproc-filter' in start-process branch. In call-process branch
4878 call either `Man-fontify-manpage' or `Man-cleanup-manpage'.
4879 Use `Man-start-calling' inside `with-current-buffer'.
4880 (Man-fontify-manpage): Don't print messages. Fix boundary condition.
4881 (Man-cleanup-manpage): Don't print messages.
4882 (Man-bgproc-filter): New function.
4883 (Man-bgproc-sentinel): Add `save-excursion' to keep point when
4884 user moved it during asynchronous formatting. Move calls of
4885 `Man-fontify-manpage' and `Man-cleanup-manpage' to
4886 `Man-bgproc-filter'. Move the call of `Man-mode' to
4887 `Man-getpage-in-background'. Use `quit-restore-window'
4888 instead of `kill-buffer'. Use `message' instead of `error'
4889 because errors are caught by process sentinel.
4890 (Man-mode): Move calls of `Man-build-page-list',
4891 `Man-strip-page-headers', `Man-unindent', `Man-goto-page' to
4892 `Man-bgproc-sentinel'. Doc fix. (Bug#2588, bug#5054, bug#9084, bug#17831)
4894 * man.el (Man-bgproc-sentinel): Use `Man-page-from-arguments'
4895 for the message about the man page cleaned up.
4897 2014-07-01 Mario Lang <mlang@delysid.org>
4899 * net/gnutls.el (gnutls-negotiate): Prevent destructive modification of
4900 cosutomization option `gnutls-verify-error'.
4902 2014-07-01 Stefan Monnier <monnier@iro.umontreal.ca>
4904 * simple.el (deactivate-mark, set-mark-command, handle-shift-selection):
4905 Don't keep transient-mark-mode buffer-local when not needed (bug#6316).
4907 * xt-mouse.el (turn-on-xterm-mouse-tracking-on-terminal)
4908 (turn-off-xterm-mouse-tracking-on-terminal): Don't burp if the terminal
4909 is suspended (bug#17857).
4911 2014-07-01 Michael Albinus <michael.albinus@gmx.de>
4913 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
4914 Prefer utf-8 coding. (Bug#17859)
4916 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
4918 * emacs-lisp/subr-x.el (string-reverse): Define as obsolete alias
4921 2014-06-30 Glenn Morris <rgm@gnu.org>
4923 * emacs-lisp/autoload.el (autoload-ensure-writable): New variable.
4924 (autoload-ensure-default-file): Maybe make existing output writable.
4925 * Makefile.in (AUTOGEN_VCS): Remove.
4926 (autoloads): Use autoload-ensure-writable rather than AUTOGEN_VCS.
4928 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
4930 * emacs-lisp/subr-x.el (string-reverse): Use `reverse'.
4932 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
4934 New if-let, when-let, thread-first and thread-last macros.
4936 * emacs-lisp/subr-x.el
4937 (internal--listify, internal--check-binding)
4938 (internal--build-binding-value-form, internal--build-binding)
4939 (internal--build-bindings): New functions.
4940 (internal--thread-argument, thread-first, thread-last)
4941 (if-let, when-let): New macros.
4943 2014-06-30 Grégoire Jadi <daimrod@gmail.com>
4945 * net/rcirc.el (rcirc-buffer-process): Restore previous
4946 behaviour. (Bug#17772)
4948 2014-06-29 Alan Mackenzie <acm@muc.de>
4950 Don't call c-parse-state when c++-template-syntax-table is active.
4951 * progmodes/cc-engine.el (c-guess-continued-construct CASE G)
4952 (c-guess-basic-syntax CASE 5D.3): Rearrange so that
4953 c-syntactic-skip-backwards isn't called with the pertinent syntax table.
4955 2014-06-28 Stephen Berman <stephen.berman@gmx.net>
4957 * calendar/todo-mode.el (todo-set-top-priorities): Fix logic to
4958 account for file-wide setting of todo-top-priorities-overrides.
4959 Make code a bit cleaner.
4961 2014-06-28 Glenn Morris <rgm@gnu.org>
4963 * net/eww.el (eww-mode) <eww-current-title>: Make local. (Bug#17860)
4965 2014-06-28 Stephen Berman <stephen.berman@gmx.net>
4967 * calendar/todo-mode.el (todo-prefix-overlays): If there is no
4968 category-wide setting of todo-top-priorities-overrides, check for
4969 a file-wide setting and fontify accordingly.
4971 2014-06-28 Glenn Morris <rgm@gnu.org>
4973 * subr.el (read-passwd): Warn about batch mode. (Bug#17839)
4975 2014-06-28 Stefan Monnier <monnier@iro.umontreal.ca>
4977 * progmodes/hideif.el: Use lexical-binding. Fix up cl-lib usage.
4979 2014-06-28 K. Handa <handa@gnu.org>
4983 * composite.el: Setup composition-function-table for dotted circle.
4984 (compose-gstring-for-dotted-circle): New function.
4986 * international/characters.el: Add category "^" to all
4987 non-spacing characters.
4989 2014-06-28 Glenn Morris <rgm@gnu.org>
4991 * Makefile.in (doit): Remove force rule.
4992 (custom-deps, finder-data, autoloads, update-subdirs)
4993 (compile-one-process): PHONY targets do not need force rules.
4995 * Makefile.in (compile-main, compile, compile-always):
4996 No need to explicitly pass variables to ourself in recursive calls.
4998 2014-06-28 Stefan Monnier <monnier@iro.umontreal.ca>
5000 * files.el (minibuffer-with-setup-hook): Evaluate the first arg eagerly.
5002 2014-06-26 Glenn Morris <rgm@gnu.org>
5004 * Makefile.in (update-authors): Update for moved authors.el.
5006 2014-06-26 Leo Liu <sdl.web@gmail.com>
5008 * skeleton.el (skeleton-end-hook): Default to nil and move the
5009 work to skeleton-insert. (Bug#17850)
5011 2014-06-26 Dmitry Antipov <dmantipov@yandex.ru>
5013 * calc/calc-alg.el (math-beforep):
5014 * progmodes/cc-guess.el (c-guess-view-reorder-offsets-alist-in-style):
5015 Simplify because string-lessp can accept symbols as args.
5017 2014-06-26 Daiki Ueno <ueno@gnu.org>
5019 * emacs-lisp/package.el (package--check-signature):
5020 If package-check-signature is allow-unsigned, don't signal error when
5021 we can't verify signature because of missing public key
5024 2014-06-26 Glenn Morris <rgm@gnu.org>
5026 * emacs-lisp/cl-macs.el (help-add-fundoc-usage):
5027 Remove outdated declaration.
5029 * emacs-lisp/authors.el (authors-valid-file-names)
5030 (authors-renamed-files-alist): Additions.
5032 2014-06-26 Leo Liu <sdl.web@gmail.com>
5034 * textmodes/picture.el (picture-set-tab-stops):
5035 * ruler-mode.el (ruler-mode-mouse-add-tab-stop)
5036 (ruler-mode-ruler): Fix to work with nil tab-stop-list.
5038 * progmodes/asm-mode.el (asm-calculate-indentation):
5039 Use indent-next-tab-stop.
5041 * indent.el (indent-accumulate-tab-stops): New function.
5043 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
5045 * emacs-lisp/package.el (package-list-unsigned): New var (bug#17625).
5046 (package-desc-status): Obey it.
5048 2014-06-26 Stephen Berman <stephen.berman@gmx.net>
5050 * calendar/todo-mode.el: Fix two bugs.
5051 (todo-insert-item--basic): If user cancels item insertion to
5052 another category before setting priority, show original category
5053 whether it is in the same or a different file.
5054 (todo-set-item-priority): After selecting category, instead of
5055 moving point to top, which extends an active region, restore it.
5057 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
5059 * help-fns.el (describe-function-1): Check file-name is a string before
5060 calling help-fns--autoloaded-p (bug#17564).
5062 2014-06-26 Juri Linkov <juri@jurta.org>
5064 * desktop.el (desktop-auto-save-enable)
5065 (desktop-auto-save-disable): New functions.
5066 (desktop-save-mode, desktop-auto-save-timeout): Use them.
5067 (desktop-read): Disable the autosave before loading the desktop,
5068 and enable afterwards. (Bug#17351)
5070 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
5072 Fix some indentation problem with \; and pipes (bug#17842).
5073 * progmodes/sh-script.el (sh-mode-syntax-table): Set syntax of ;|&.
5074 (sh-smie--default-forward-token, sh-smie--default-backward-token):
5076 (sh-smie-sh-forward-token, sh-smie-sh-backward-token)
5077 (sh-smie-rc-forward-token, sh-smie-rc-backward-token): Use them.
5078 (sh-smie-sh-rules): Fix indentation of a pipe at BOL.
5080 2014-06-26 Glenn Morris <rgm@gnu.org>
5082 * emacs-lisp/find-func.el (find-function-C-source-directory):
5083 Use file-accessible-directory-p.
5085 * ps-samp.el: Make it slightly less awful.
5086 (ps-rmail-mode-hook, ps-gnus-article-prepare-hook, ps-vm-mode-hook):
5087 (ps-gnus-summary-setup, ps-info-mode-hook): Use [print] key.
5088 Only set local values.
5089 (ps-article-subject, ps-article-author): Use standard functions
5090 like mail-fetch-field.
5091 (ps-info-file, ps-info-node): Use match-string.
5092 (ps-jts-ps-setup, ps-jack-setup): Remove, merging into...
5093 (ps-samp-ps-setup): ... new function.
5095 * progmodes/idlw-shell.el (idlwave-shell-make-temp-file):
5096 Optimize away code unneeded on any modern Emacs.
5098 * emacs-lisp/authors.el: Move to ../admin.
5100 * emacs-lisp/ert.el (ert-summarize-tests-batch-and-exit): New.
5102 2014-06-26 Luke Lee <luke.yx.lee@gmail.com>
5104 * progmodes/hideif.el (hif-string-to-number): Fix return value bug.
5105 (hif-simple-token-only, hif-tokenize): Comment in detail mainly for
5106 performance enhancements.
5107 (hif-parse-if-exp): Rename to `hif-parse-exp'. Enhance for macro
5109 (hif-factor, hif-string-concatenation, intern-safe): Support string
5110 concatenation and argumented macro expansion.
5111 (hif-if-valid-identifier-p, hif-define-operator, hif-flatten)
5112 (hif-expand-token-list, hif-get-argument-list, hif-define-macro)
5113 (hif-delimit, hif-macro-supply-arguments, hif-invoke, hif-canonicalize)
5114 (hif-canonicalize-tokens, hif-place-macro-invocation)
5115 (hif-parse-macro-arglist): Mostly new functions for supporting
5116 argumented macro expansion.
5117 (hif-string-concatenation, hif-stringify, hif-token-concat)
5118 (hif-token-stringification, hif-token-concatenation):
5119 Stringification and concatenation.
5120 (hif-find-next-relevant): Fix comments.
5121 (hif-ifdef-to-endif, hif-looking-at-elif, hif-hide-line): Bug fix for
5122 some cases involving #elif.
5123 (hif-find-define, hif-add-new-defines): New functions for automatically
5124 scanning of defined symbols.
5125 (hide-ifdef-guts): Fix for defined symbol auto scanning.
5126 (hide-ifdef-undef): Fix behavior to match CPP.
5128 2014-06-25 Glenn Morris <rgm@gnu.org>
5130 * Makefile.in ($(lisp)/progmodes/cc-defs.elc)
5131 ($(lisp)/progmodes/cc-fonts.elc, $(lisp)/progmodes/cc-langs.elc)
5132 ($(lisp)/progmodes/cc-vars.elc): Drop hand-written deps on non-cc
5133 files. They are not relevant to the original issue (bug#1004),
5134 and cause unnecessary recompilation (bug#2151).
5136 2014-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
5138 * play/landmark.el: Use lexical-binding and avoid `intangible'.
5139 (landmark--last-pos): New var.
5140 (landmark--intangible-chars): New const.
5141 (landmark--intangible): New function.
5142 (landmark-mode, landmark-move): Use it.
5143 (landmark-mode): Remove properties.
5144 (landmark-plot-square, landmark-point-square, landmark-goto-xy)
5145 (landmark-cross-qtuple):
5146 Don't worry about `intangible' any more.
5147 (landmark-click, landmark-point-y): Same; and don't assume point-min==1.
5148 (landmark-init-display): Don't set `intangible' and `point-entered'.
5149 (square): Remove. Inline it instead.
5150 (landmark--distance): Rename from `distance'.
5151 (landmark-calc-distance-of-robot-from): Rename from
5152 calc-distance-of-robot-from.
5153 (landmark-calc-smell-internal): Rename from calc-smell-internal.
5155 2014-06-25 Dmitry Antipov <dmantipov@yandex.ru>
5157 * files.el (dir-locals-find-file, file-relative-name):
5158 * info.el (Info-complete-menu-item):
5159 * minibuffer.el (completion-table-subvert): Prefer string-prefix-p
5160 to compare-strings to avoid out-of-range errors.
5161 * subr.el (string-prefix-p): Adjust to match strict range
5162 checking in compare-strings.
5164 2014-06-24 Leonard Randall <leonard.a.randall@gmail.com> (tiny change)
5166 * textmodes/reftex-parse.el (reftex-using-biblatex-p): Make search
5167 for comment lines non-greedy and stopping at newlines to fix stack
5168 overflows with large files.
5170 2014-06-24 Eli Barzilay <eli@barzilay.org>
5172 * calculator.el (calculator-last-input): Drop 'ascii-character property
5175 2014-06-24 Leo Liu <sdl.web@gmail.com>
5177 * align.el (align-adjust-col-for-rule): Unbreak due to defaulting
5178 tab-stop-list to nil. (Bug#16381)
5180 * indent.el (indent-next-tab-stop): Rename from indent--next-tab-stop.
5181 (indent-rigidly-left-to-tab-stop)
5182 (indent-rigidly-right-to-tab-stop, tab-to-tab-stop)
5183 (move-to-tab-stop): Change callers.
5185 2014-06-24 Eli Zaretskii <eliz@gnu.org>
5187 * skeleton.el (skeleton-insert): Yet another fix of the doc string
5188 wrt behavior of \n as the first/last element of a skeleton.
5190 2014-06-24 Michael Albinus <michael.albinus@gmx.de>
5192 * net/tramp-adb.el (tramp-adb-handle-process-file):
5193 * net/tramp-sh.el (tramp-sh-handle-process-file):
5194 * net/tramp-smb.el (tramp-smb-handle-process-file): Do not raise
5195 the output buffer when DISPLAY is non-nil. (Bug#17815)
5197 2014-06-24 Glenn Morris <rgm@gnu.org>
5199 * play/landmark.el (landmark-move-down, landmark-move-up):
5200 Fix 2007-10-20 change - preserve horizontal position.
5202 2014-06-23 Sam Steingold <sds@gnu.org>
5204 * simple.el (kill-append): Remove undo boundary depending on ...
5205 (kill-append-merge-undo): New user option.
5207 2014-06-23 Stefan Monnier <monnier@iro.umontreal.ca>
5209 * simple.el (handle-shift-selection, exchange-point-and-mark)
5210 (activate-mark): Set transient-mark-mode buffer-locally (bug#6316).
5211 (transient-mark-mode): Use&set the global value.
5212 * mouse.el (mouse-set-region-1, mouse-drag-track): Idem.
5213 * emulation/edt.el (edt-emulation-off): Save&restore the global
5214 transient-mark-mode setting.
5215 * obsolete/pc-select.el (pc-selection-mode): Use the
5216 transient-mark-mode function.
5218 2014-06-23 Eli Zaretskii <eliz@gnu.org>
5220 * international/fontset.el (script-representative-chars):
5221 Add representative characters for scripts added in Unicode 7.0.
5222 (otf-script-alist): Synchronize with the latest registry of OTF
5225 * international/characters.el (char-script-table): Update for
5226 scripts added and codepoint ranges changed in Unicode 7.0.
5228 2014-06-23 Eli Barzilay <eli@barzilay.org>
5230 * calculator.el (calculator-standard-displayer): Fix bug in use of
5231 `calculator-groupize-number'.
5232 (calculator-funcall): Fix broken `cl-flet' use by moving it into the
5233 `eval' code, so it works in v24.3.1 too.
5234 (calculator-last-input): Comment to clarify purpose.
5236 2014-06-22 Mario Lang <mlang@delysid.org>
5238 * textmodes/rst.el (rst-comment-region): From from -> from.
5240 * net/tramp-adb.el (tramp-adb-send-command-and-check): And and -> and.
5242 2013-06-22 Dmitry Antipov <dmantipov@yandex.ru>
5244 * electric.el (electric-layout-post-self-insert-function):
5245 * emacs-lisp/ert.el (ert--insert-infos):
5246 * obsolete/vi.el (vi-set-mark):
5247 * term.el (term-handle-scroll):
5248 * textmodes/bibtex.el (bibtex-fill-field, bibtex-fill-entry):
5249 * wid-edit.el (widget-editable-list-value-create):
5250 Prefer point-marker to copy-marker of point.
5252 2014-06-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
5254 Fix completion retrieval parsing (bug#17209).
5255 * progmodes/python.el (python-mode):
5256 (python-util-strip-string): New function.
5257 (python-shell-completion-get-completions): Use it.
5259 2014-06-21 Eli Zaretskii <eliz@gnu.org>
5261 * skeleton.el (skeleton-insert): Fix last change.
5263 2014-06-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
5265 Enhancements for outline integration (bug#17796).
5266 * progmodes/python.el (python-mode): Properly set
5267 outline-heading-end-regexp so that comments after colons for
5268 defuns are supported.
5270 2014-06-21 Eli Zaretskii <eliz@gnu.org>
5272 * skeleton.el (skeleton-insert): Doc fix.
5274 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
5276 * emacs-lisp/smie.el (smie-config--guess): Fix typo.
5277 (smie-config-guess): Use smie-config-local so the rules are obeyed
5280 * mouse.el (mouse-drag-line): Don't re-add to unread-comment-events,
5281 since it's already done inside the loop (bug#17819).
5283 2014-06-21 Martin Rudalics <rudalics@gmx.at>
5285 * mouse.el (mouse-drag-line): Re-remove code initially removed
5286 on 2013-03-09 and inadvertently reintroduced on 2013-11-30
5289 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
5291 * progmodes/sh-script.el (sh-smie-sh-rules): For { after &&, don't
5292 align with the surrounding parent (bug#17721).
5294 2014-06-21 Eli Zaretskii <eliz@gnu.org>
5296 * textmodes/texinfo.el (texinfo-mode): Set skeleton-end-newline
5298 (texinfo-insert-block, texinfo-insert-@end)
5299 (texinfo-insert-@example, texinfo-insert-@quotation): Adjust to
5300 local setting of skeleton-end-newline by adding an explicit \n to
5301 the skeletons where appropriate. (Bug#17801)
5303 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
5305 * emacs-lisp/smie.el (smie--hanging-eolp-function): New var.
5306 (smie-indent--hanging-p): Use it.
5307 * progmodes/sh-script.el (sh-set-shell): Set it (bug#17621).
5309 2014-06-21 Leo Liu <sdl.web@gmail.com>
5311 * simple.el (read-quoted-char): Don't let help chars pop up help
5314 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
5316 * progmodes/sh-script.el (sh-smie-sh-rules): Use same rule for && as
5319 * xt-mouse.el (xterm-mouse--read-event-sequence-1000):
5320 Drop unknown events instead of burping.
5322 2014-06-21 Eli Zaretskii <eliz@gnu.org>
5324 * term/w32-win.el (dynamic-library-alist): Support giflib 5.1.0
5325 and later. (Bug#17790)
5327 2014-06-21 Juri Linkov <juri@jurta.org>
5329 * dired.el (dired-mark-pop-up): Let-bind display-buffer-mark-dedicated
5330 to `soft'. (Bug#17554)
5332 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
5334 * delsel.el (electric-newline-and-maybe-indent): Mark it as well
5337 2014-06-21 Dmitry Gutov <dgutov@yandex.ru>
5339 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Don't fontify
5340 `!' in `!~' with `font-lock-negation-char-face'. (Bug#17732)
5342 2014-06-21 Michael Albinus <michael.albinus@gmx.de>
5344 * net/dbus.el (dbus-call-method): Push only non D-Bus events into
5345 `unread-command-events'.
5347 2014-06-19 William Xu <william.xwl@gmail.com>
5349 * progmodes/hideif.el (hif-string-to-number): Don't return float for
5350 hex integer constants (bug#17807).
5352 2014-06-19 Stefan Monnier <monnier@iro.umontreal.ca>
5354 * international/mule-util.el (truncate-string-ellipsis): New var.
5355 (truncate-string-to-width): Use it.
5357 2014-06-19 Robert Brown <robert.brown@gmail.com> (tiny change)
5359 * emacs-lisp/lisp-mode.el (lisp-string-after-doc-keyword-p): New fun.
5360 (lisp-string-in-doc-position-p): New function, extracted from
5361 lisp-font-lock-syntactic-face-function.
5362 (lisp-font-lock-syntactic-face-function): Use them (bug#9130).
5364 2014-06-19 Grégoire Jadi <daimrod@gmail.com>
5366 * net/rcirc.el (rcirc-omit-mode): Fix recenter error. (Bug#17769)
5368 2014-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
5370 * play/bubbles.el (bubbles--initialize, bubbles--show-scores)
5371 (bubbles--game-over): Don't add `intangible' properties since they
5374 2014-06-18 Juri Linkov <juri@jurta.org>
5376 * vc/ediff-init.el (ediff-current-diff-Ancestor)
5377 (ediff-fine-diff-Ancestor, ediff-even-diff-A, ediff-even-diff-B)
5378 (ediff-even-diff-C, ediff-even-diff-Ancestor, ediff-odd-diff-A)
5379 (ediff-odd-diff-B, ediff-odd-diff-C, ediff-odd-diff-Ancestor):
5380 Add `min-colors 88' version with removed black/white foregrounds.
5383 2014-06-18 Juri Linkov <juri@jurta.org>
5385 * vc/diff-mode.el (diff-changed): Empty face definition to use
5386 `diff-removed' and `diff-added' on tty as well. (Bug#10181)
5387 (diff-context): Use darker color on light background and
5388 lighter color on dark background.
5390 2014-06-18 Juri Linkov <juri@jurta.org>
5392 * vc/diff-mode.el (diff-refine-changed): Rename from
5393 `diff-refine-change' for consistency with `diff-changed'.
5394 (diff-refine-change): Add obsolete face alias. (Bug#10181)
5396 * vc/smerge-mode.el (smerge-refined-changed): Rename from
5397 `smerge-refined-change'.
5398 (smerge-refined-change): Add obsolete face alias.
5400 2014-06-17 Stefan Monnier <monnier@iro.umontreal.ca>
5402 * rect.el (rectangle-preview): New custom.
5403 (rectangle): New group.
5404 (rectangle--pos-cols): Add `window' argument.
5405 (rectangle--string-preview-state, rectangle--string-preview-window):
5407 (rectangle--string-flush-preview, rectangle--string-erase-preview)
5408 (rectangle--space-to, rectangle--string-preview): New functions.
5409 (string-rectangle): Use them.
5410 (rectangle--inhibit-region-highlight): New var.
5411 (rectangle--highlight-for-redisplay): Obey it. Make sure
5412 `apply-on-region' uses the point-crutches of the right window.
5413 Use :align-to rather than multiple spaces.
5415 2014-06-16 Andrea Rossetti <andrea.rossetti@gmail.com> (tiny change)
5417 * ruler-mode.el (ruler-mode-window-col)
5418 (ruler-mode-mouse-set-left-margin)
5419 (ruler-mode-mouse-set-right-margin): Fix calculation of column
5420 from mouse position (Bug#17768).
5422 2014-06-16 Ron Schnell <ronnie@driver-aces.com>
5424 * play/dunnet.el (dun-doassign): Fix bug where UNIX variable assignment
5425 without varname or rhs causes crash.
5426 (dun-ftp): Fix bug where blank ftp password is allowed, making it
5427 impossible to win endgame.
5428 (dun-unix-verbs): Add ssh as alias to rlogin, because nobody knows what
5430 (dun-help): Bump version number; update contact info.
5432 2014-06-15 Eli Barzilay <eli@barzilay.org>
5434 * calculator.el (calculator-prompt, calculator-remove-zeros)
5435 (calculator-mode-hook, calculator-operators, calculator-stack)
5436 (calculator-mode): Tweak docstring.
5437 (calculator-user-operators): Tweak docstring, fix a bug in the last
5439 (calculator-displayer): `std' case has an optional boolean.
5440 (calculator-displayers): Use the new boolean to group in decimal mode.
5441 (calculator-mode-map, calculator, calculator-message)
5442 (calculator-op-arity, calculator-add-operators)
5443 (calculator-string-to-number, calculator-displayer-prev)
5444 (calculator-displayer-next, calculator-remove-zeros)
5445 (calculator-eng-display, calculator-number-to-string)
5446 (calculator-update-display, calculator-last-input)
5447 (calculator-clear-fragile, calculator-digit, calculator-decimal)
5448 (calculator-exp, calculator-saved-move, calculator-clear)
5449 (calculator-copy, calculator-put-value, calculator-help)
5450 (calculator-expt, calculator-truncate): Minor code improvements.
5451 (calculator-need-3-lines): New function pulling out code from
5453 (calculator-get-display): Rename from `calculator-get-prompt', and
5455 (calculator-push-curnum): Rename from `calculator-curnum-value', and
5456 extended for all uses of it. All callers changed.
5457 (calculator-groupize-number): New utility for splitting a number into
5459 (calculator-standard-displayer): Improve code, new optional argument to
5460 use comma-split groups, make second argument optional too to use with
5461 'left/'right inputs. All callers changed.
5462 (calculator-reduce-stack-once): New utility, doing the meat of what
5463 `calculator-reduce-stack' used to do, much improved (mostly using
5464 `pcase' for conciseness and clarity).
5465 (calculator-reduce-stack): Now doing just the reduction loop using
5466 `calculator-reduce-stack-once'.
5467 (calculator-funcall): Improve code, make it work in v24.3.1 too.
5468 (calculator-last-input): Improve code, remove some old cruft.
5469 (calculator-quit): Kill `calculator-buffer' in electric mode too.
5470 (calculator-integer-p): Remove.
5471 (calculator-fact): Improve code, make it work on non-integer values
5472 too (using truncated numbers).
5474 2014-06-15 Michael Albinus <michael.albinus@gmx.de>
5476 Sync with Tramp 2.2.10.
5478 * net/tramp.el (tramp-methods): Tweak docstring.
5479 (tramp-handle-file-accessible-directory-p): Check for
5480 `file-readable-p' instead of `file-executable-p'.
5481 (tramp-check-cached-permissions):
5482 Use `tramp-compat-file-attributes'.
5483 (tramp-call-process): Add new argument VEC. Adapt callees in all
5486 * net/tramp-adb.el (tramp-adb-handle-write-region): Improve messages.
5487 (tramp-adb-maybe-open-connection): Don't set
5488 `tramp-current-*' variables.
5490 * net/tramp-cache.el (tramp-flush-file-function): Do not flush
5491 file properties of temporary buffers.
5493 * net/tramp-ftp.el (top): Remove special handling for URL syntax.
5495 * net/tramp-gvfs.el (tramp-gvfs-methods) <sftp>: Add.
5496 (tramp-gvfs-handle-delete-file): Flush file
5497 properties, not directory properties.
5498 (tramp-gvfs-handle-file-attributes): Use `string-to-number' when
5499 reading "unix::mode".
5500 (tramp-gvfs-handle-file-name-all-completions):
5501 Use "-h" option for "gvfs-ls".
5502 (tramp-gvfs-url-file-name): `user' and `localname' could be nil.
5503 (tramp-gvfs-send-command): Simplify traces.
5505 * net/tramp-sh.el (vc-handled-backends, vc-bzr-program)
5506 (vc-git-program, vc-hg-program): Declare.
5507 (tramp-methods) <sftp>: Remove. It has never worked satisfactorily.
5508 (tramp-methods) <nc>: Add new method.
5509 (tramp-methods) <telnet>: Redirect stderr to "/dev/null".
5510 (tramp-methods) <plink, plinkx, pscp, psftp>: Improve
5512 (tramp-default-user-alist): Add "nc".
5513 (top): Remove completion function for "sftp". Add completion
5514 functions for "nc" and "psftp".
5515 (tramp-do-copy-or-rename-file-out-of-band): Tweak docstring.
5516 Implement support for "nc" method.
5517 (tramp-sh-handle-expand-file-name, tramp-local-coding-commands)
5518 (tramp-remote-coding-commands, tramp-call-local-coding-command):
5520 (tramp-sh-handle-write-region): Tweak error message.
5521 (tramp-sh-handle-vc-registered): Remove backends when the remote
5522 binary does not exist.
5523 (tramp-find-inline-encoding): Do not raise an error.
5524 (tramp-make-copy-program-file-name): Tweak docstring. Handle also
5525 the "nc" case. Quote result also locally.
5527 * net/tramp-smb.el (tramp-smb-handle-copy-directory)
5528 (tramp-smb-handle-set-file-acl): Use `start-process'.
5529 (tramp-smb-handle-insert-directory): Use progress reporter.
5530 (tramp-smb-handle-rename-file): Flush also file properties of
5533 * net/trampver.el: Update release number.
5535 2014-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
5537 * ses.el: Miscellaneous cleanups; use lexical-binding; avoid
5539 (ses-localvars): Remove ses--local-printer-list, unused.
5540 (ses--metaprogramming): New macro. Use it to defvar variables.
5541 (ses-set-localvars): Simplify.
5542 (ses--locprn, ses-cell): Use defstruct. Change ses-cell's
5543 property-list into an alist.
5544 (ses-locprn-get-compiled, ses-locprn-compiled-aset)
5545 (ses-locprn-get-def, ses-locprn-def-aset, ses-locprn-get-number):
5546 Remove; use defstruct accessors/setters instead.
5547 (ses-cell-formula-aset, ses-cell-printer-aset)
5548 (ses-cell-references-aset): Remove, use setf instead.
5549 (ses--alist-get): New function.
5550 (ses-cell-property): Rename from ses-cell-property-get and rewrite.
5551 Use an alist instead of a plist and don't do move-to-front since the
5552 list is always short.
5553 (ses-cell-property-get-fun, ses-cell-property-delq-fun)
5554 (ses-cell-property-set-fun, ses-cell-property-set)
5555 (ses-cell-property-pop-fun, ses-cell-property-get-handle)
5556 (ses-cell-property-handle-car, ses-cell-property-handle-setcar): Remove.
5557 (ses--letref): New macro.
5558 (ses-cell-property-pop): Rewrite.
5559 (ses--cell): Rename from ses-cell and make it into a function.
5560 Make `formula' fallback on `value' if nil.
5561 (ses--local-printer): Rename from ses-local-printer and make it into
5563 (ses-set-cell): Turn it into a macro so finding the accessor from the
5564 field name is done at compile time.
5565 (ses-repair-cell-reference-all): Test presence of `sym' rather than
5566 `ref' before adding `sym' to :ses-repair-reference.
5567 (ses-calculate-cell): Use ses--letref rather than
5568 ses-cell-property-get-handle.
5569 (ses-write-cells): Use a single prin1-to-string.
5570 (ses-setter-with-undo): New function.
5571 (ses-aset-with-undo, ses-set-with-undo): Rewrite using it.
5572 (ses-unset-with-undo): Remove.
5573 (ses-load): Prefer apply' over `eval'.
5574 (ses-read-printer, ses-set-column-width): Use standard "(default
5577 2014-06-15 Glenn Morris <rgm@gnu.org>
5579 * Makefile.in (leim, semantic): Use `make -C' rather than `cd && make'.
5581 * progmodes/cc-langs.el: Require cl-lib. (Bug#17463)
5582 Replace delete-duplicates and mapcan by cl- versions throughout.
5583 And cl-macroexpand-all by macroexpand-all.
5584 (delete-duplicates, mapcan, cl-macroexpand-all): No need to declare.
5586 2014-06-15 Eli Zaretskii <eliz@gnu.org>
5588 * subr.el (posn-col-row): Doc fix. (Bug#17768)
5590 2014-06-15 Juri Linkov <juri@jurta.org>
5592 * bindings.el: Put `ascii-character' property on keypad keys
5593 mapped to characters. (Bug#17759)
5595 2014-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
5597 * emacs-lisp/smie.el (smie-next-sexp): Fix up "other-end" info when
5598 bumping forward into a closing paren (bug#17761).
5600 * term/xterm.el (xterm--version-handler): Work around for OSX
5601 Terminal.app (bug#17607).
5603 2014-06-14 Ron Schnell <ronnie@driver-aces.com>
5605 * play/dunnet.el (dun-describe-room, dun-mode):
5606 If a lamp is in the room, you won't be eaten by a grue.
5608 2014-06-13 Glenn Morris <rgm@gnu.org>
5610 * Makefile.in ($(lisp)/cus-load.el, $(lisp)/finder-inf.el)
5611 (autoloads, $(lisp)/subdirs.el, compile-main, leim, semantic, compile)
5612 (compile-always): GNU make automatically passes
5613 command-line arguments to sub-makes.
5615 * calendar/calendar.el (calendar-generate-window):
5616 Remove pointless call to font-lock-fontify-buffer.
5618 2014-06-13 Matthias Meulien <orontee@gmail.com>
5620 * simple.el (completion-list-mode-map): Navigate with tab and backtab
5623 2014-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
5625 * simple.el (set-mark-command): Simplify a bit.
5627 2014-06-12 Nicolas Richard <theonewiththeevillook@yahoo.fr>
5629 * help.el (help--key-binding-keymap): New function.
5630 (help--binding-locus): New function.
5631 (describe-key): Mention the keymap in which the binding was
5634 2014-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
5636 * hippie-exp.el (he--all-buffers): New function.
5637 (try-expand-line-all-buffers, try-expand-list-all-buffers)
5638 (try-expand-dabbrev-all-buffers): Use it.
5640 2014-06-12 Emilio C. Lopes <eclig@gmx.net>
5642 * hippie-exp.el (try-expand-line-all-buffers)
5643 (try-expand-list-all-buffers, try-expand-dabbrev-all-buffers):
5644 Read hippie-expand-only-buffers and hippie-expand-ignore-buffers in the
5645 original buffer, in case they're buffer-local.
5647 2014-06-12 Vincent Belaïche <vincentb1@users.sourceforge.net>
5649 * ses.el (ses-initial-global-parameters-re): New defconst, a
5650 specific regexp is needed now that ses.el can handle both
5651 file-format 2 --- ie. no local printers --- and 3 --- i.e. may have
5653 (ses-localvars): Add local variables needed for local printer handling.
5654 (ses-set-localvars): Handle hashmap initialisation.
5655 (ses-paramlines-plist): Add param-line for number of local printers.
5656 (ses-paramfmt-plist): New defconst, needed for code factorization
5657 between functions `ses-set-parameter' and
5658 `ses-file-format-extend-paramter-list'
5659 (ses-make-local-printer-info): New defsubst.
5660 (ses-locprn-get-compiled, ses-locprn-compiled-aset)
5661 (ses-locprn-get-def, ses-locprn-def-aset, ses-locprn-get-number)
5662 (ses-cell-printer-aset): New defmacro.
5663 (ses-local-printer-compile): New defun.
5664 (ses-local-printer): New defmacro.
5665 (ses-printer-validate, ses-call-printer): Add support for local
5667 (ses-file-format-extend-paramter-list): New defun.
5668 (ses-set-parameter): Use const `ses-paramfmt-plist' for code
5670 (ses-load): Add support for local printer functions.
5671 (ses-read-printer): Update docstring and add support for local printer
5673 (ses-refresh-local-printer, ses-define-local-printer): New defun.
5674 (ses-safe-printer): Add support for local printer functions.
5676 2014-06-12 Ivan Andrus <darthandrus@gmail.com>
5678 * ffap.el (ffap-lax-url): New var (bug#17723).
5679 (ffap-url-at-point): Use it.
5680 (ffap-file-at-point): Avoid returning just "/".
5682 2014-06-12 Matthias Meulien <orontee@gmail.com>
5684 * progmodes/python.el (import skeleton): New skeleton (bug#17672).
5685 (python-mode-map): Bind it.
5687 * progmodes/python.el (class skeleton): Don't erase last char of class
5690 2014-06-12 Cameron Desautels <camdez@gmail.com> (tiny change)
5692 * help.el (where-is): Use `default' arg of completing-read (bug#17705).
5694 2014-06-12 Kevin Ryde <user42_kevin@yahoo.com.au>
5696 * files.el (auto-mode-alist): Map .ad files to xdefaults-mode
5699 2014-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
5701 * international/mule-cmds.el: Use lexical-binding.
5702 (ucs-names): Simplify.
5704 2014-05-18 Eric Hanchrow <eric.hanchrow@gmail.com>
5706 * progmodes/python.el (run-python): Use read-shell-command.
5708 2014-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
5710 * rect.el: Make it possible to move bounds past EOL or into TABs.
5711 (operate-on-rectangle): Use apply-on-rectangle.
5712 (rectangle--mark-crutches): New var.
5713 (rectangle--pos-cols, rectangle--col-pos, rectangle--point-col)
5714 (rectangle--crutches, rectangle--reset-crutches): New functions.
5715 (apply-on-rectangle): Obey crutches. Avoid setq.
5716 Fix missing final iteration if end is at EOB&BOL.
5717 (rectangle-mark-mode-map): Add remap bindings for
5718 exchange-point-and-mark and char/line movements.
5719 (rectangle--*-char): New function.
5720 (rectangle-exchange-point-and-mark, rectangle-right-char)
5721 (rectangle-left-char, rectangle-forward-char)
5722 (rectangle-backward-char, rectangle-next-line)
5723 (rectangle-previous-line): New commands.
5724 (rectangle--place-cursor): New function.
5725 (rectangle--highlight-for-redisplay): Use it. Use apply-on-rectangle.
5727 2014-06-08 Glenn Morris <rgm@gnu.org>
5729 * startup.el (initial-buffer-choice): Doc fix.
5730 Reset :version (adding an option does not merit a :version bump).
5732 * bookmark.el (bookmark-load):
5733 * uniquify.el (uniquify-buffer-name-style): Doc fixes.
5735 2014-06-08 Juri Linkov <juri@jurta.org>
5737 * desktop.el: Activate auto-saving on window configuration changes.
5738 (desktop-save-mode, desktop-auto-save-timeout): Add/remove
5739 `desktop-auto-save-set-timer' to/from
5740 `window-configuration-change-hook'.
5741 (desktop-auto-save-set-timer): Change REPEAT arg of
5742 `run-with-idle-timer' from t to nil.
5743 http://lists.gnu.org/archive/html/emacs-devel/2014-06/msg00147.html
5745 2014-06-08 Santiago Payà i Miralta <santiagopim@gmail.com>
5747 * vc/vc-hg.el (vc-hg-working-revision): Use "hg parent" and
5748 vc-hg-command (bug#17570).
5750 2014-06-08 Stefan Monnier <monnier@iro.umontreal.ca>
5752 * international/mule-cmds.el (ucs-names): Add special entry for BEL
5755 2014-06-08 Glenn Morris <rgm@gnu.org>
5757 * startup.el (window-setup-hook): Doc fix.
5759 * emacs-lisp/package.el (package-check-signature)
5760 (package-unsigned-archives): Doc fixes.
5762 2014-06-08 Martin Rudalics <rudalics@gmx.at>
5764 * window.el (display-buffer-use-some-window): Don't make window
5765 used smaller than it was before (Bug#17671).
5767 2014-06-08 Eli Zaretskii <eliz@gnu.org>
5769 * menu-bar.el (menu-bar-open): Fix last change: use the PC
5770 'redisplay' instead of '(sit-for 0)'.
5772 2014-06-08 Michael Albinus <michael.albinus@gmx.de>
5774 * net/tramp.el (tramp-ssh-controlmaster-options):
5775 Improve search regexp. (Bug#17653)
5777 2014-06-08 Glenn Morris <rgm@gnu.org>
5779 * emacs-lisp/package.el (package-pinned-packages): Doc fix.
5781 2014-06-08 Eli Zaretskii <eliz@gnu.org>
5783 * menu-bar.el (menu-bar-open): Fix invocation via M-x.
5785 2014-06-06 Santiago Payà i Miralta <santiagopim@gmail.com>
5787 * vc/vc-hg.el (vc-hg-create-tag, vc-hg-retrieve-tag): New functions
5790 * vc/vc-hg.el (vc-hg-log-graph): New var.
5791 (vc-hg-print-log): Use it.
5792 (vc-hg-root-log-format): Include branch name and bookmarks; ignore
5793 graph output (bug#17515).
5795 2014-06-06 Stefan Monnier <monnier@iro.umontreal.ca>
5797 * mouse.el (mouse-posn-property): Ignore buffer position info when the
5798 even happened elsewhere.
5800 2014-06-06 Mario Lang <mlang@delysid.org>
5802 * emacs-lisp/tabulated-list.el (tabulated-list-print): Only call
5803 `recenter' if `current-buffer' is equal to `window-buffer'.
5805 2014-06-05 Leo Liu <sdl.web@gmail.com>
5807 * emacs-lisp/cl-macs.el (cl-macrolet): Avoid excessive progn's.
5809 2014-06-05 Michal Nazarewicz <mina86@mina86.com>
5811 * textmodes/tildify.el (tildify-foreach-region-outside-env):
5812 New function which calls a callback on portions of the buffer that are
5813 outside of ignored environments.
5814 (tildify-build-regexp): Remove function since it is now
5815 incorporated in `tildify-foreach-region-outside-env' where it is
5816 optimized and simplified by the use of `mapconcat'.
5817 (tildify-tildify): Return number of substitutions made so that…
5818 (tildify-count): …can be removed.
5819 (tildify-find-env): Accept a new PAIRS argument which was
5820 previously looked up in `tildify-ignored-environments-alist' each
5821 time the function was called. With this change, the lookup is
5822 performed only once in `tildify-foreach-region-outside-env'.
5823 (tildify-region): Greatly simplify the function since now most of
5824 the work is done by `tildify-foreach-region-outside-env'.
5825 (tildify-mode-alist): Simplify slightly by avoiding if and setq
5826 and instead using or.
5828 * textmodes/tildify.el (tildify-ignored-environments-alist):
5829 Optimize environments regexes
5831 Each time beginning of an environment to ignore is found,
5832 `tildify-find-env' needs to identify regexp for the ending
5833 of the environment. This is done by trying all the opening
5834 regexes on matched text in a loop, so to speed that up, this
5835 loop should have fewer things to match, which can be done by
5836 using alternatives in the opening regexes.
5838 Coincidentally, this should make matching of the opening
5839 regexp faster as well thanks to the use of `regexp-opt' and
5840 having common prefix pulled from many regexes.
5842 * textmodes/tildify.el (tildify-string-alist)
5843 (tildify-ignored-environments-alist): Add `nxml-mode' to the list
5844 of supported modes since `xml-mode' is no longer a thing but just
5845 an alias to the former. Also include comments and insides of tags
5846 in `tildify-ignored-environments-alist' for XML modes. Finally,
5847 since XML does not define “ ”[1], use a numeric reference for
5848 a no-break space (namely “ ”)
5850 [1] XML specification defines only a handful of predefined entities.
5851 The list is at <http://www.w3.org/TR/REC-xml/#sec-predefined-ent>
5852 and includes only <, >, &, ' and " (meaning <,
5853 >, &, ' and " respectively). This is in contrast to HTML and even
5854 XHTML which defined a whole bunch of entities including “ ”.
5856 * textmodes/tildify.el (tildify-pattern-alist)
5857 (tildify-string-alist, tildify-ignored-environments-alist):
5858 Improve defcustom's types by adding more tags explaining what each
5859 value means and replace “sexp” used in
5860 `tildify-ignored-environments-alist' with a full type declaration.
5862 * textmodes/tildify.el (tildify-find-env): Fix matched group
5863 indexes in end-regex building
5865 When looking for a start of an ignore-environment, the regex is built
5866 by concatenating regexes of all the environments configured in
5867 `tildify-ignored-environments-alist'. So for example, the following
5868 list could be used to match TeX's \verb and \verb* commands:
5870 (("\\\\verb\\(.\\)" . (1))
5871 ("\\\\verb\\*\\(.\\)" . (1)))
5873 This would result in the following regex being used to find the start
5874 of any of the variants of the \verb command:
5876 \\\\verb\\(.\\)\\|\\\\verb\\*\\(.\\)
5878 But now, if “\\\\verb\\*\\(.\\)” matches, the first capture group
5879 won't match anything, and thus (match-string 1) will be nil, which
5880 will cause building of the end-matching regex to fail.
5882 Fix this by using capture groups from the time when the opening
5883 regexes are matched individually.
5885 * textmodes/tildify.el (tildify-find-env): Fix end-regex building
5886 in `tildify-find-env'
5888 The `tildify-ignored-environments-alist' allows the end-regex to
5889 be provided not as a static string but mix of strings and indexes
5890 of groups matched the begin-regex. For example, the “\verb!…!”
5891 TeX-command (where “!” is an arbitrary character) is handled
5894 ("\\\\verb\\*?\\(.\\)" . (1))
5896 In the same way, the following should be supported as well:
5898 ("open-\\(.\\)" . ("end-" 1))
5900 However the tildify-find-env function fails at
5903 (if (stringp (setq aux (car expression)))
5904 expression ; BUG: expression is a list
5905 (regexp-quote (match-string aux))))
5907 where the string part is handled incorrectly.
5909 The most trivial fix would be to replace `expression' in the
5910 true-part of the if-statement with `aux', but instead, this commit
5911 optimizes `tildify-find-env' by changing it to use `mapconcat'
5912 rather than open-coded while-loop.
5914 2014-06-05 Mario Lang <mlang@delysid.org>
5916 * woman.el (woman-mapcan): Remove.
5917 (woman-parse-colon-path): Use cl-mapcan instead.
5919 2014-06-03 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
5921 * register.el: Add link to Emacs manual in Commentary.
5923 2014-06-02 Sam Steingold <sds@gnu.org>
5925 * menu-bar.el (lookup-key-ignore-too-long): Extract from...
5926 (popup-menu): ...here.
5927 (menu-bar-open): Use it to avoid an error when `lookup-key'
5930 2014-06-02 Michael Albinus <michael.albinus@gmx.de>
5932 * net/tramp.el (tramp-call-process): Add traces.
5933 (tramp-handle-unhandled-file-name-directory): Return "/".
5935 2014-06-02 Wilson Snyder <wsnyder@wsnyder.org>
5937 Sync with upstream verilog-mode revision 3cd8144.
5938 * progmodes/verilog-mode.el (verilog-mode-version): Bump.
5939 (verilog-auto-arg-format): New option, to support newlines in AUTOARG.
5940 (verilog-type-font-keywords): Add nor.
5941 (verilog-batch-execute-func): Force reading of Local Variables.
5942 Fix printing "no changes to be saved" with verilog-batch.
5943 (verilog-auto-arg-ports): Doc fix.
5944 Add verilog-auto-arg-format to support newlines in AUTOARG.
5945 (verilog-auto-arg): Doc fix.
5947 2014-06-02 Glenn Morris <rgm@gnu.org>
5949 * emulation/crisp.el, emulation/tpu-edt.el, emulation/tpu-extras.el:
5950 * emulation/tpu-mapper.el, emulation/vi.el, emulation/vip.el:
5951 * emulation/ws-mode.el: Move to obsolete/.
5952 * Makefile.in (AUTOGEN_VCS): Update for moved tpu-edu.el.
5954 2014-06-02 Eli Zaretskii <eliz@gnu.org>
5956 * simple.el (keyboard-quit): Force update of mode lines, to remove
5957 the "Def" indicator, if we were defining a macro. (Bug#17615)
5959 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
5961 * minibuffer.el (minibuffer-force-complete-and-exit):
5962 Obey minibuffer-default (bug#17545).
5964 * progmodes/js.el (js-indent-line): Don't mix columns and chars
5967 * subr.el (set-transient-map): Don't wait for some "nested"
5968 transient-map to finish if we're only supposed to be active for
5969 the next command (bug#17642).
5971 2014-06-02 Leo Liu <sdl.web@gmail.com>
5973 * emacs-lisp/gv.el (window-buffer, window-display-table)
5974 (window-dedicated-p, window-hscroll, window-point, window-start):
5975 Fix gv-expander. (Bug#17630)
5977 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
5979 * mouse.el (mouse-posn-property): Ignore posn-point for mode-line
5982 * leim/quail/latin-pre.el ("latin-2-prefix"): Use ",," rather than ", "
5983 for the single comma, since ", " is *very* common in normal French text
5986 2014-06-02 Glenn Morris <rgm@gnu.org>
5988 * emacs-lisp/package.el (package-check-signature)
5989 (package-unsigned-archives): Fix :version.
5991 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
5993 * subr.el (sit-for): Don't run input-methods (bug#15614).
5995 2014-06-02 Glenn Morris <rgm@gnu.org>
5997 * cus-start.el: Fix some :version numbers.
5999 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
6001 * simple.el (deactivate-mark): Set mark-active to nil even if
6002 deactivation is done via setting transient-mark-mode to nil,
6003 since one is buffer-local and the other is global.
6005 * emacs-lisp/byte-opt.el (byte-optimize-binary-predicate): Don't assume
6006 there can't be more than 2 arguments (bug#17584).
6008 2014-06-02 Glenn Morris <rgm@gnu.org>
6010 * simple.el (filter-buffer-substring-functions)
6011 (filter-buffer-substring-function, buffer-substring-filters)
6012 (filter-buffer-substring, buffer-substring--filter): Doc fixes.
6014 * minibuffer.el (completion-in-region-functions, completion-in-region)
6015 (completion--in-region): Doc fixes.
6017 * abbrev.el (abbrev-expand-functions, abbrev-expand-function)
6018 (expand-abbrev, abbrev--default-expand): Doc fixes.
6020 2014-06-02 Paul Eggert <eggert@cs.ucla.edu>
6022 Include sources used to create macuvs.h.
6023 * international/README: Refer to the Unicode Terms of Use rather
6024 than copying it bodily here, as that simplifies maintenance.
6026 2014-06-01 Glenn Morris <rgm@gnu.org>
6028 * loadup.el (load-prefer-newer): Set non-nil when dumping. (Bug#17629)
6030 2014-05-31 Glenn Morris <rgm@gnu.org>
6032 * files.el (locate-dominating-file): Expand file argument. (Bug#17641)
6034 2014-05-30 Glenn Morris <rgm@gnu.org>
6036 * loadup.el: Treat `command-line-args' more flexibly.
6038 2014-05-30 Alan Mackenzie <acm@muc.de>
6040 Guard (looking-at "\\s!") from XEmacs.
6041 * progmodes/cc-engine.el (c-state-pp-to-literal): Add guard form.
6043 2014-05-30 Ken Olum <kdo@cosmos.phy.tufts.edu>
6045 * mail/rmail.el (rmail-delete-forward, rmail-delete-backward):
6046 The argument COUNT is now optional, to be more backward-compatible.
6047 Doc fix. (Bug#17560)
6049 2014-05-29 Reuben Thomas <rrt@sc3d.org>
6051 * whitespace.el (whitespace-report-region):
6052 Simplify documentation.
6053 (whitespace-report-region): Allow report-if-bogus to take the
6054 value `never', for non-interactive use.
6055 (whitespace-report): Refer to whitespace-report-region's
6058 2014-05-29 Stefan Monnier <monnier@iro.umontreal.ca>
6060 * whitespace.el: Use font-lock-flush. Minimize refontifications.
6061 Side benefit: it works without jit-lock.
6062 (whitespace-point--used): New buffer-local var.
6063 (whitespace-color-on): Initialize it and flush it. Use font-lock-flush.
6064 (whitespace-color-off): Use font-lock-flush.
6065 (whitespace-point--used, whitespace-point--flush-used): New functions.
6066 (whitespace-trailing-regexp, whitespace-empty-at-bob-regexp)
6067 (whitespace-empty-at-eob-regexp): Use them.
6068 (whitespace-post-command-hook): Rewrite.
6070 * font-lock.el (font-lock-flush, font-lock-ensure): New functions.
6071 (font-lock-fontify-buffer): Mark interactive-only.
6072 (font-lock-multiline, font-lock-fontified, font-lock-set-defaults):
6074 (font-lock-specified-p): Remove redundant boundp check.
6075 (font-lock-flush-function, font-lock-ensure-function): New vars.
6076 (font-lock-turn-on-thing-lock): Set them.
6077 (font-lock-default-fontify-buffer): Obey font-lock-dont-widen.
6078 (font-lock-after-change-function): Make `old-len' optional.
6079 (font-lock-set-defaults): Remove redundant `set' of font-lock-defaults.
6080 Call font-lock-flush, just in case.
6081 * progmodes/verilog-mode.el (verilog-preprocess): Disable workaround in
6083 * progmodes/vera-mode.el (vera-fontify-buffer): Declare obsolete.
6084 (vera-mode-map, vera-mode-menu): Remove bindings to it.
6085 * progmodes/idlw-help.el (idlwave-help-fontify): Use font-lock-ensure
6086 and with-syntax-table.
6087 * textmodes/conf-mode.el (conf-quote-normal):
6088 * progmodes/sh-script.el (sh-set-shell):
6089 * progmodes/prog-mode.el (prettify-symbols-mode):
6090 * progmodes/f90.el (f90-font-lock-n):
6091 * progmodes/cwarn.el (cwarn-mode):
6092 * nxml/nxml-mode.el (nxml-toggle-char-ref-extra-display):
6093 * progmodes/compile.el (compilation-setup, compilation--unsetup):
6094 * hi-lock.el (hi-lock-mode, hi-lock-unface-buffer)
6095 (hi-lock-set-pattern, hi-lock-set-file-patterns): Use font-lock-flush.
6096 * mail/rmail.el (rmail-variables): Set font-lock-dont-widen instead of
6097 font-lock-fontify-buffer-function and
6098 font-lock-unfontify-buffer-function.
6099 (rmail-unfontify-buffer-function, rmail-fontify-message):
6100 Use with-silent-modifications.
6101 * htmlfontify.el (hfy-force-fontification): Use jit-lock-fontify-now
6102 and font-lock-ensure.
6103 * bs.el (bs-show-in-buffer): Use font-lock-ensure.
6105 2014-05-28 Thien-Thi Nguyen <ttn@gnu.org>
6107 * emacs-lisp/package.el (package-generate-autoloads):
6108 Inhibit backup files.
6110 2014-05-28 Stefan Monnier <monnier@iro.umontreal.ca>
6112 * progmodes/hideshow.el (hs-hide-all): Call syntax-propertize
6115 2014-05-21 Michal Nazarewicz <mina86@mina86.com>
6117 * textmodes/tildify.el (tildify-buffer, tildify-region):
6118 Add dont-ask option.
6120 2014-05-28 Stefan Monnier <monnier@iro.umontreal.ca>
6122 * subr.el (zerop): Move from C. Add compiler-macro (bug#17475).
6123 * emacs-lisp/byte-opt.el (byte-optimize-zerop): Remove.
6125 * subr.el (internal--funcall-interactively): New.
6126 (internal--call-interactively): Remove.
6127 (called-interactively-p): Detect funcall-interactively instead of
6129 * simple.el (repeat-complex-command): Use funcall-interactively.
6130 (repeat-complex-command--called-interactively-skip): Remove.
6132 2014-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
6134 * register.el (register-read-with-preview): Don't burp on
6135 frame switches (e.g. due to the frame we just popped).
6137 * mouse.el (mouse-set-region): Handle spurious drag events (bug#17562).
6138 (mouse-drag-track): Annotate `mouse-drag-start' so we know we moved.
6140 2014-05-26 Andreas Schwab <schwab@linux-m68k.org>
6142 * cus-face.el (custom-face-attributes): Add :distant-foreground.
6144 2014-05-26 Martin Rudalics <rudalics@gmx.at>
6146 * window.el (window--dump-frame): Remove interactive specification.
6148 2014-05-26 Glenn Morris <rgm@gnu.org>
6150 * hippie-exp.el (he-line-search-regexp):
6151 Handle comint-prompt-regexp containing subgroups. (Bug#17529)
6153 2014-05-26 Stephen Berman <stephen.berman@gmx.net>
6155 * calendar/todo-mode.el: Remove dependence on auto-mode-alist,
6156 to avoid errors when trying to create or visit a file foo.todo
6157 located outside to todo-directory, and to allow having such files
6158 without them being tied to Todo mode (bug#17482).
6159 (todo-show, todo-move-category, todo-merge-category, todo-find-archive)
6160 (todo-archive-done-item, todo-find-filtered-items-file)
6161 (todo-filter-items, todo-find-item, todo-diary-goto-entry)
6162 (todo-category-completions, todo-read-category): When visiting a
6163 Todo file, make sure we're in the right mode and the buffer local
6165 (todo-make-categories-list, todo-reset-nondiary-marker)
6166 (todo-reset-done-string, todo-reset-comment-string):
6167 After processing all Todo files, kill the buffers of those files that
6168 weren't being visited before the processing.
6169 (todo-display-as-todo-file, todo-add-to-buffer-list)
6170 (todo-visit-files-commands): Comment out.
6171 (todo-modes-set-3, todo-mode): Comment out additions to find-file-hook.
6172 (auto-mode-alist): Remove add-to-list calls making Todo file
6173 extensions unrestrictedly tied to Todo modes.
6175 2014-05-26 Stefan Monnier <monnier@iro.umontreal.ca>
6177 * emacs-lisp/nadvice.el (advice--member-p): Change second arg.
6178 (advice-function-member-p): Tell it to check both names and functions
6180 (advice--add-function): Adjust call accordingly.
6182 2014-05-26 Stephen Berman <stephen.berman@gmx.net>
6184 * calendar/todo-mode.el: Miscellaneous bug fixes.
6185 (todo-delete-file): When deleting an archive but not its todo
6186 file, make sure to update the todo file's category sexp.
6187 (todo-move-category): Keep the moved category's name unless the
6188 file moved to already has a category with that name. If the
6189 numerically last category of the source file was moved, make the
6190 first category current to avoid selecting a nonexisting category.
6191 (todo-merge-category): Fix implementation to make merging to a
6192 category in another file work as documented. Eliminate now
6193 insufficient and unnecessary renaming of archive category, correct
6194 document string accordingly, and clarify it. If the numerically
6195 last category of the source file was merged, make the first
6196 category current to avoid selecting a nonexisting category.
6197 (todo-archive-done-item): When there are marked items and point
6198 happens to be on an unmarked item, ignore the latter. Don't leave
6199 point below last item after archiving marked items.
6200 (todo-unarchive-items): Fix logic to ensure unarchiving an item
6201 from an archive with only one category deletes the archive only
6202 when the category is empty after unarchiving. Make sure the todo
6203 file's category sexp is updated.
6204 (todo-read-file-name): Allow an existing file name even when it is
6205 not required (todo-move-category needs this to work as documented).
6206 (todo-add-file): Call todo-validate-name to reject the name of an
6207 existing todo file (needed due to fix in todo-read-file-name).
6208 (todo-reset-nondiary-marker): Also reset in filtered items files.
6209 (todo-reset-done-string, todo-reset-comment-string): Also reset in
6210 regexp filtered items files.
6211 (todo-reset-highlight-item): Also reset in filtered items files.
6212 Fix incorrect variable reference in document string.
6214 2014-05-26 Glenn Morris <rgm@gnu.org>
6216 * window.el (window--dump-frame): Avoid error in --without-x builds.
6218 2014-05-26 Glenn Morris <rgm@gnu.org>
6220 * nxml/nxml-mode.el (xml-mode): Only define this alias once.
6222 2014-05-26 Eli Zaretskii <eliz@gnu.org>
6224 * frame.el (set-frame-font): Doc fix.
6226 * menu-bar.el (menu-set-font): Doc fix. (Bug#17532)
6228 2014-05-26 Dmitry Gutov <dgutov@yandex.ru>
6230 * emacs-lisp/package.el (package--download-one-archive):
6231 Use `write-region' instead of `save-buffer' to avoid running various
6233 (describe-package-1): Same. Insert newline at the end of the
6234 buffer if appropriate.
6236 2014-05-26 Juri Linkov <juri@jurta.org>
6238 * avoid.el (mouse-avoidance-set-mouse-position): Don't raise frame.
6239 (mouse-avoidance-ignore-p): Remove `switch-frame', add `focus-out'.
6240 Add more modifiers: meta, control, shift, hyper, super, alt.
6243 * avoid.el (mouse-avoidance-banish-position): Fix defcustom :options
6244 to allow changing its value with `set-variable'.
6246 2014-05-26 Stefan Monnier <monnier@iro.umontreal.ca>
6248 * progmodes/scheme.el (scheme-mode-syntax-table): Remove hack for
6250 (scheme-syntax-propertize, scheme-syntax-propertize-sexp-comment):
6252 (scheme-mode-variables): Set syntax-propertize-function instead of
6253 font-lock-syntactic-face-function.
6254 (scheme-font-lock-syntactic-face-function): Delete.
6256 * emacs-lisp/lisp.el (end-of-defun): Ensure we move (bug#17274).
6258 * emacs-lisp/timer.el (timer-event-handler): Don't run if canceled
6261 2014-05-26 Michael Albinus <michael.albinus@gmx.de>
6263 * net/tramp-sh.el (tramp-find-inline-encoding): Do not match "%%t"
6264 for a temporary file name.
6266 2014-05-26 Eli Zaretskii <eliz@gnu.org>
6268 * simple.el (line-move-ignore-invisible): Doc fix. (Bug#17511)
6270 2014-05-26 Michael Albinus <michael.albinus@gmx.de>
6272 * net/dbus.el (dbus-init-bus, dbus-call-method)
6273 (dbus-call-method-asynchronously, dbus-send-signal)
6274 (dbus-method-return-internal, dbus-method-error-internal):
6275 Check, whether Emacs has been compiled with D-Bus support. (Bug#17508)
6277 2014-05-26 Nicolas Richard <theonewiththeevillook@yahoo.fr>
6279 * emacs-lisp/eieio-opt.el (eieio-help-class): Correctly deal with
6280 methods which do not have a doc string. (Bug#17490)
6282 2014-05-25 Tassilo Horn <tsdh@gnu.org>
6284 * textmodes/reftex-ref.el (reftex-format-special): Make it work
6285 also for AMS Math's \eqref macro.
6287 2014-05-25 Thien-Thi Nguyen <ttn@gnu.org>
6289 Arrange to never byte-compile the generated -pkg.el file.
6291 * emacs-lisp/package.el (package-generate-description-file):
6292 Output first-line comment to set buffer-local var `no-byte-compile'.
6293 Suggested by Dmitry Gutov:
6294 <http://lists.gnu.org/archive/html/emacs-devel/2014-05/msg00401.html>.
6296 2014-05-25 Thien-Thi Nguyen <ttn@gnu.org>
6298 Fix bug: Properly quote args to generated -pkg.el `define-package'.
6300 * emacs-lisp/package.el (package-generate-description-file):
6301 Inline `package--alist-to-plist'; rewrite to selectively
6302 quote alist values that are not self-quoting.
6303 (package--alist-to-plist): Delete func.
6305 2014-05-25 Andreas Schwab <schwab@linux-m68k.org>
6307 * term/xterm.el (xterm-function-map): Add mapping for shifted
6310 2014-05-24 Daniel Colascione <dancol@dancol.org>
6312 * progmodes/subword.el (subword-find-word-boundary): Move point to
6313 correct spot before search. (Bug#17580)
6315 * emacs-lisp/nadvice.el (defun): Write in eval-and-compile to avoid
6318 2014-05-24 Leo Liu <sdl.web@gmail.com>
6320 * calc/calc.el (math-bignum): Handle most-negative-fixnum. (Bug#17556)
6322 2014-05-23 Stefan Monnier <monnier@iro.umontreal.ca>
6324 * minibuffer.el (completion--sreverse): Remove.
6325 (completion--common-suffix): Use `reverse' instead.
6326 * emacs-lisp/regexp-opt.el (regexp-opt-group): Use `reverse' on strings.
6328 2014-05-22 Glenn Morris <rgm@gnu.org>
6330 * shell.el (shell-mode) <shell-dirstack-query>: Bypass bash aliases.
6332 2014-05-21 Daniel Colascione <dancol@dancol.org>
6334 * files.el (interpreter-mode-alist): Add mksh.
6336 * progmodes/sh-script.el (sh-ancestor-alist): Add mksh, a pdksh
6338 (sh-alias-alist): Alias /system/bin/sh (Android's system shell) to
6339 mksh. Improve custom spec; allow regular expressions.
6340 (sh-shell): Delegate name splitting to `sh-canonicalize-shell'.
6341 (sh-after-hack-local-variables): New function.
6342 (sh-mode): Use it; respect file-local `sh-shell' variable. (bug#17333)
6343 (sh-set-shell): Use `sh-canonicalize-shell' instead of open-coding
6345 (sh-canonicalize-shell): Rewrite to support regexes.
6347 2014-05-21 Leo Liu <sdl.web@gmail.com>
6349 * emacs-lisp/cl-lib.el (cl-endp): Fix last change.
6351 2014-05-19 Leo Liu <sdl.web@gmail.com>
6353 * emacs-lisp/cl-lib.el (cl-endp): Conform to CL's semantics.
6355 2014-05-18 Glenn Morris <rgm@gnu.org>
6358 * play/gametree.el: `track-mouse' is always defined since 2012-11-24.
6360 2014-05-14 Sam Steingold <sds@gnu.org>
6362 * progmodes/python.el (python-shell-get-or-create-process):
6363 Do not bind `current-prefix-arg' so that C-c C-z does not talk
6364 back unless requested.
6366 2014-05-14 Glenn Morris <rgm@gnu.org>
6368 * subr.el (with-file-modes): New macro.
6369 * printing.el (pr-save-file-modes): Make obsolete.
6370 * eshell/esh-util.el (eshell-with-file-modes): Make obsolete.
6371 * emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-2):
6372 Add with-file-modes.
6373 * doc-view.el (doc-view-make-safe-dir):
6374 * epg.el (epg--start):
6375 * files.el (locate-user-emacs-file, make-temp-file)
6376 (backup-buffer-copy, move-file-to-trash):
6377 * printing.el (pr-despool-print, pr-call-process, pr-text2ps):
6378 * eshell/esh-util.el (eshell-with-private-file-modes)
6379 (eshell-make-private-directory):
6380 * net/browse-url.el (browse-url-mosaic):
6381 * obsolete/mailpost.el (post-mail-send-it):
6382 * obsolete/pgg-pgp.el (pgg-pgp-verify-region):
6383 * obsolete/pgg-pgp5.el (pgg-pgp5-verify-region):
6384 Use with-file-modes.
6386 * vc/emerge.el (emerge-make-temp-file): Simplify.
6388 2014-05-14 Stephen Berman <stephen.berman@gmx.net>
6389 Stefan Monnier <monnier@iro.umontreal.ca>
6391 * minibuffer.el (completion-pcm--merge-try): Merge trailing / with
6394 2014-05-14 Glenn Morris <rgm@gnu.org>
6396 * vc/emerge.el (emerge-temp-file-prefix):
6397 Make pointless option obsolete.
6398 (emerge-temp-file-mode): Make non-functional option obsolete.
6400 2014-05-14 Michael Albinus <michael.albinus@gmx.de>
6402 * net/browse-url.el (browse-url):
6403 Use `unhandled-file-name-directory' when setting `default-directory',
6404 in order to circumvent stalled remote connections. (Bug#17425)
6406 2014-05-14 Glenn Morris <rgm@gnu.org>
6408 * printing.el (subst-char-in-string, make-temp-file, pr-get-symbol):
6409 Optimize on Emacs, which has the relevant functions for ages.
6411 2014-05-13 Stefan Monnier <monnier@iro.umontreal.ca>
6413 * simple.el (undo-make-selective-list): Obey undo-no-redo.
6415 2014-05-12 Sam Steingold <sds@gnu.org>
6417 * calendar/time-date.el (seconds-to-string): New function to
6418 pretty print time delay in seconds.
6420 2014-05-12 Stefan Monnier <monnier@iro.umontreal.ca>
6422 * mpc.el (mpc-format): Trim Date to the year.
6423 (mpc-songs-hashcons): Shorten the Date field.
6425 * emacs-lisp/nadvice.el (advice--interactive-form): Don't get fooled
6426 into autoloading just because of a silly indirection.
6428 2014-05-12 Santiago Payà i Miralta <santiagopim@gmail.com>
6430 * vc/vc-hg.el (vc-hg-unregister): New function. (Bug#17454)
6432 2014-05-12 Glenn Morris <rgm@gnu.org>
6434 * emacs-lisp/find-gc.el: Move to ../admin.
6436 * printing.el (pr-version):
6437 * ps-print.el (ps-print-version): Also mention bug-gnu-emacs.
6439 * net/browse-url.el (browse-url-mosaic):
6440 Create /tmp/Mosaic.PID as a private file.
6442 2014-05-12 Stefan Monnier <monnier@iro.umontreal.ca>
6444 * emacs-lisp/nadvice.el: Support adding a given function multiple times.
6445 (advice--member-p): If name is given, only compare the name.
6446 (advice--remove-function): Don't stop at the first match.
6447 (advice--normalize-place): New function.
6448 (add-function, remove-function): Use it.
6449 (advice--add-function): Pass the name, if any, to
6450 advice--remove-function.
6452 2014-05-12 Philipp Rumpf <prumpf@gmail.com> (tiny change)
6454 * electric.el (electric-indent-post-self-insert-function): Don't use
6455 `pos' after modifying the buffer (bug#17449).
6457 2014-05-12 Stephen Berman <stephen.berman@gmx.net>
6459 * calendar/todo-mode.el (todo-insert-item-from-calendar):
6460 Correct argument list to conform to todo-insert-item--basic.
6462 2014-05-12 Glenn Morris <rgm@gnu.org>
6464 * files.el (cd-absolute): Test if directory is accessible
6465 rather than executable. (Bug#17330)
6467 * progmodes/compile.el (recompile):
6468 Handle C-u M-x recompile from a non-compilation buffer. (Bug#17444)
6470 * net/browse-url.el (browse-url-mosaic):
6471 Be careful when writing /tmp/Mosaic.PID. (Bug#17428)
6472 This is CVE-2014-3423.
6474 2014-05-11 Stefan Monnier <monnier@iro.umontreal.ca>
6476 * mouse.el: Use the normal toplevel loop while dragging.
6477 (mouse-set-point): Handle multi-clicks.
6478 (mouse-set-region): Handle multi-clicks for drags.
6479 (mouse-drag-region): Update call accordingly.
6480 (mouse-drag-track): Remove `do-mouse-drag-region-post-process' hack.
6481 Use the normal event loop instead of a local while/read-event loop.
6482 (global-map): Remove redundant bindings for double/triple-mouse-1.
6483 * xt-mouse.el (xterm-mouse-translate-1): Only process one event at a time.
6484 Generate synthetic down events when the protocol only sends up events.
6485 (xterm-mouse-last): Remove.
6486 (xterm-mouse--read-event-sequence-1000): Use xterm-mouse-last-down
6487 terminal parameter instead.
6488 (xterm-mouse--set-click-count): New function.
6489 (xterm-mouse-event): Detect/generate double/triple clicks.
6490 * reveal.el (reveal-close-old-overlays): Don't close while dragging.
6492 * info.el (Info-quoted): New face.
6493 (Info-mode-font-lock-keywords): New var.
6494 (Info-mode): Use it.
6496 * emacs-lisp/lisp-mode.el (preceding-sexp): Exclude leading "," which
6497 are a hindrance for C-x C-e.
6499 2014-05-11 Leo Liu <sdl.web@gmail.com>
6501 * net/rcirc.el (rcirc-sentinel): Fix last change.
6503 2014-05-08 Sam Steingold <sds@gnu.org>
6505 * net/rcirc.el (rcirc-reconnect-delay): New user option.
6506 (rcirc-sentinel): Auto-reconnect to the server if
6507 `rcirc-reconnect-delay' is non-0 (but not more often than its
6508 value in case the host is off-line).
6510 2014-05-09 Eli Zaretskii <eliz@gnu.org>
6512 * progmodes/grep.el (lgrep): Fix a typo in last commit.
6514 2014-05-09 Glenn Morris <rgm@gnu.org>
6516 * files.el (file-expand-wildcards):
6517 * man.el (Man-support-local-filenames):
6518 * printing.el (pr-i-directory, pr-interface-directory):
6519 * progmodes/grep.el (lgrep, rgrep):
6520 * textmodes/ispell.el (ispell-call-process)
6521 (ispell-call-process-region, ispell-start-process)
6522 (ispell-init-process): Use file-accessible-directory-p.
6524 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
6526 * xt-mouse.el: Drop spurious/oddly shaped events (bug#17378).
6527 (xterm-mouse--read-event-sequence-1000): Return nil if something
6529 (xterm-mouse-event): Propagate it.
6530 (xterm-mouse-translate-1): Handle it.
6532 2014-05-08 Stephen Berman <stephen.berman@gmx.net>
6534 * calendar/todo-mode.el (todo-insert-item--apply-args): When all
6535 four slots of the parameter list are filled, make sure to pass it
6536 to the argument list of todo-insert-item--basic.
6538 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
6540 * emacs-lisp/package.el (package-compute-transaction): Topological sort.
6541 Add optional `seen' argument to detect and break infinite loops.
6543 2014-05-08 Eli Zaretskii <eliz@gnu.org>
6545 * emacs-lisp/find-gc.el (find-gc-unsafe, find-unsafe-funcs)
6546 (trace-unsafe, trace-use-tree): Make parentheses style be
6547 according to Emacs style.
6549 2014-05-08 Michael Albinus <michael.albinus@gmx.de>
6551 * net/tramp-sh.el (tramp-remote-process-environment):
6552 Remove HISTFILE and HISTSIZE; it's too late to set them here.
6554 (tramp-open-shell): Do not let-bind `tramp-end-of-output'.
6555 Add "HISTFILE=/dev/null" to the shell's env arguments. Do not send
6556 extra "PSx=..." commands.
6557 (tramp-maybe-open-connection): Setenv HISTFILE to /dev/null.
6560 (tramp-uudecode): Replace the hard-coded temporary file name by a
6562 (tramp-remote-coding-commands): Enhance docstring.
6563 (tramp-find-inline-encoding): Replace "%t" by a temporary file
6565 This is CVE-2014-3424.
6567 2014-05-08 Glenn Morris <rgm@gnu.org>
6569 * emacs-lisp/find-gc.el (find-gc-source-directory): Give it a value.
6570 (find-gc-source-files): Update some names.
6571 (trace-call-tree): Simplify and update.
6572 Avoid predictable temp-file names. (http://bugs.debian.org/747100)
6573 This is CVE-2014-3422.
6575 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
6577 * minibuffer.el (completion--try-word-completion): Revert fix for
6578 Bug#15980 (bug#17375).
6580 * xt-mouse.el (xterm-mouse--read-event-sequence-1000): (bug#17378)
6581 Always store button numbers in the same way in xterm-mouse-last;
6582 Don't burp is xterm-mouse-last is not set as expected.
6583 Never return negative indices.
6585 2014-05-08 Dmitry Gutov <dgutov@yandex.ru>
6587 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
6588 Backtrack one char if the global/char-literal var matcher hits
6589 inside a string. The next char could be the beginning of an
6590 expression expansion.
6592 2014-05-08 Glenn Morris <rgm@gnu.org>
6594 * help-fns.el (describe-function-1): Test for an autoload before a
6595 macro, since `macrop' works on autoloads. (Bug#17410)
6597 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
6599 * electric.el (electric-indent-functions-without-reindent): Add yaml.
6601 * minibuffer.el (completion-table-with-quoting) <completion--unquote>:
6602 Make sure the new point we return is within the new string (bug#17239).
6604 2014-05-05 Daniel Colascione <dancol@dancol.org>
6606 * progmodes/compile.el (compilation-error-regexp-alist-alist):
6607 Port `gnu' pattern to rx.
6609 2014-05-05 Jarek Czekalski <jarekczek@poczta.onet.pl>
6611 Remove unneeded prompt when closing a buffer with active
6612 emacsclient ("Buffer ... still has clients"), #16548.
6613 * server.el (server-start): Remove the only call to:
6614 (server-kill-buffer-query-function): Remove.
6616 2014-05-04 Leo Liu <sdl.web@gmail.com>
6618 * calendar/diary-lib.el (calendar-chinese-month-name-array):
6619 Defvar to pacify compiler.
6621 2014-05-04 Eli Zaretskii <eliz@gnu.org>
6623 * mail/rmailsum.el (rmail-new-summary-1): Fix a typo in a comment.
6625 2014-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
6627 * vc/ediff-diff.el (ediff-set-fine-diff-properties-in-one-buffer):
6628 Use nil rather than `default' for the "default" appearance (bug#17388).
6629 * vc/ediff-util.el (ediff-inferior-compare-regions)
6630 (ediff-toggle-autorefine, ediff-unselect-difference): Don't use
6631 a misleading `default' value when it's really a boolean.
6632 * vc/ediff-init.el (ediff-set-overlay-face): Don't set help-echo if the
6633 overlay is not visible.
6635 2014-05-04 Stephen Berman <stephen.berman@gmx.net>
6637 * calendar/todo-mode.el (todo-edit-file): Use display-warning.
6638 (todo-menu): Uncomment and update.
6640 2014-05-04 Stephen Berman <stephen.berman@gmx.net>
6642 * calendar/todo-mode.el: Reimplement item editing to have the same
6643 basic user interface as item insertion, and make small UI and
6644 larger internal improvements to the latter.
6645 (todo-insert-item): Add reference to the Todo mode user manual to
6646 the documentation string.
6647 (todo-insert-item--basic): Rename from todo-basic-insert-item and
6648 adjust all callers. Change signature to combine diary and
6649 nonmarking arguments. Incorporate functionality of deleted item
6650 copying command and add error checking. Remove detailed
6651 descriptions of the arguments from the documentation string, since
6652 this is treated in the Todo mode user manual.
6653 (todo-copy-item, todo-edit-multiline-item)
6654 (todo-edit-done-item-comment, todo-edit-item-header)
6655 (todo-edit-item-time, todo-edit-item-date-from-calendar)
6656 (todo-edit-item-date-to-today, todo-edit-item-date-day-name)
6657 (todo-edit-item-date-year, todo-edit-item-date-month)
6658 (todo-edit-item-date-day, todo-edit-item-diary-nonmarking):
6660 (todo-edit-item): Reimplement as wrapper command for
6661 todo-edit-item--next-key and make it distinguish done and not done
6663 (todo-edit-item--text): New function, replacing old command
6664 todo-edit-item and incorporating deleted commands
6665 todo-edit-multiline-item and todo-edit-done-item-comment.
6666 (todo-edit-item--header): Rename from todo-basic-edit-item-header.
6667 Use only numeric value of prefix argument. Remove detailed
6668 descriptions of the arguments from the documentation string, since
6669 this is treated in the Todo mode user manual.
6670 (todo-edit-item--diary-inclusion): New function, replacing old
6671 command todo-edit-item-diary-inclusion and incorporating and fixing
6672 functionality of deleted command todo-edit-item-diary-nonmarking,
6673 making sure to remove todo-nondiary-marker when adding
6674 diary-nonmarking-symbol.
6675 (todo-edit-category-diary-inclusion): Make sure to delete
6676 diary-nonmarking-symbol when adding todo-nondiary-marker.
6677 (todo-edit-category-diary-nonmarking): Fix indentation.
6678 (todo-insert-item--parameters): Group diary and nonmarking
6679 parameters together.
6680 (todo-insert-item--apply-args): Adjust to signature of
6681 todo-insert-item--basic and incorporate copy parameter.
6682 Make small code improvements.
6683 (todo-insert-item--next-param): Improve prompt and adjust it to
6684 new parameter grouping. Remove obsolete code.
6685 (todo-edit-item--param-key-alist)
6686 (todo-edit-item--date-param-key-alist)
6687 (todo-edit-done-item--param-key-alist): New defconsts.
6688 (todo-edit-item--prompt): New variable.
6689 (todo-edit-item--next-key): New function.
6690 (todo-key-bindings-t): Bind "e" to todo-edit-item.
6691 Remove bindings of deleted commands.
6693 2014-05-04 Leo Liu <sdl.web@gmail.com>
6695 * emacs-lisp/cl-macs.el (cl-deftype): Fix indentation.
6697 2014-05-04 Glenn Morris <rgm@gnu.org>
6699 * allout-widgets.el (allout-widgets-tally)
6700 (allout-decorate-item-guides):
6701 * menu-bar.el (menu-bar-positive-p):
6702 * minibuffer.el (completion-pcm-complete-word-inserts-delimiters):
6703 * progmodes/gdb-mi.el (gdbmi-same-start, gdbmi-is-number):
6704 * progmodes/js.el (js--inside-param-list-p)
6705 (js--inside-dojo-class-list-p, js--forward-destructuring-spec):
6706 * progmodes/prolog.el (region-exists-p):
6707 * progmodes/verilog-mode.el (verilog-scan-cache-ok-p):
6708 * textmodes/reftex-parse.el (reftex-using-biblatex-p):
6709 Doc fixes (replace `iff').
6711 2014-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
6713 * mpc.el (mpc-volume-mouse-set): Don't burp at the boundaries.
6715 2014-05-04 Leo Liu <sdl.web@gmail.com>
6717 Support Chinese diary entries in calendar and diary. (Bug#17393)
6718 * calendar/cal-china.el (calendar-chinese-month-name-array): New var.
6719 (calendar-chinese-from-absolute-for-diary)
6720 (calendar-chinese-to-absolute-for-diary)
6721 (calendar-chinese-mark-date-pattern, diary-chinese-mark-entries)
6722 (diary-chinese-list-entries): New functions to list and mark
6723 Chinese diary entries in the calendar window.
6724 (diary-chinese-anniversary)
6725 (diary-chinese-insert-anniversary-entry)
6726 (diary-chinese-insert-entry, diary-chinese-insert-monthly-entry)
6727 (diary-chinese-insert-yearly-entry): New commands to insert
6728 Chinese diary entries.
6730 * calendar/diary-lib.el (diary-font-lock-keywords):
6731 Support font-locking Chinese dates.
6733 * calendar/cal-menu.el (cal-menu-diary-menu): Add entries for
6734 inserting Chinese diary entries.
6736 * calendar/calendar.el (diary-chinese-entry-symbol):
6737 New customizable variable.
6738 (calendar-mode-map): Add bindings for inserting Chinese diary
6741 2014-05-03 Juri Linkov <juri@jurta.org>
6743 * dired.el (dired-check-switches, dired-switches-recursive-p):
6744 New functions. (Bug#17218)
6745 (dired-switches-escape-p, dired-move-to-end-of-filename):
6746 Use `dired-check-switches'.
6747 (dired-insert-old-subdirs, dired-build-subdir-alist)
6748 (dired-sort-R-check): Use `dired-switches-recursive-p'.
6750 2014-05-01 Barry O'Reilly <gundaetiapo@gmail.com>
6752 * simple.el (undo-make-selective-list): New algorithm fixes
6753 incorrectness of position adjustments when undoing in region.
6755 (undo-elt-crosses-region): Make obsolete.
6756 (undo-adjust-elt, undo-adjust-beg-end, undo-adjust-pos):
6757 New functions to adjust positions using undo-deltas.
6759 2014-05-01 Stefan Monnier <monnier@iro.umontreal.ca>
6761 * emacs-lisp/lisp-mode.el (lisp--match-hidden-arg): Only highlight past
6762 the last consecutive closing paren (bug#17345).
6764 2014-04-30 Reuben Thomas <rrt@sc3d.org>
6766 * dired.el (dired-mode): make terminology for eXpunge command
6767 consistent. (Bug#17276)
6769 2014-04-30 Eli Zaretskii <eliz@gnu.org>
6771 * dired.el (dired-initial-position-hook, dired-initial-position):
6774 2014-04-30 Glenn Morris <rgm@gnu.org>
6776 * mail/rmail.el (rmail-quit): Handle killed summaries. (Bug#17283)
6778 2014-04-30 Matthias Dahl <matthias.dahl@binary-island.eu>
6780 * faces.el (face-spec-recalc): Apply X resources only after the
6781 defface spec has been applied. Thus, X resources are no longer
6782 overriden by the defface spec which also fixes issues on win32 where
6783 the toolbar coloring was wrong because it is set through X resources
6784 and was (wrongfully) overriden. (Bug#16694)
6786 2014-04-30 Stefan Monnier <monnier@iro.umontreal.ca>
6788 * textmodes/rst.el (electric-pair-pairs): Declare.
6789 (rst-mode): Set it (bug#17131).
6791 2014-04-30 Juri Linkov <juri@jurta.org>
6793 * desktop.el (desktop-value-to-string): Let-bind `print-length'
6794 and `print-level' to nil. (Bug#17351)
6796 2014-04-30 Nicolas Richard <theonewiththeevillook@yahoo.fr>
6798 * battery.el (battery-update): Handle the case where battery
6799 status is "N/A" (bug#17319).
6801 2014-04-28 Stefan Monnier <monnier@iro.umontreal.ca>
6803 * progmodes/ps-mode.el: Use SMIE. Move string and comment recognition
6804 to syntax-propertize.
6805 (ps-mode-auto-indent): Mark as obsolete.
6806 (ps-mode-font-lock-keywords-1): Remove string-or-comment handling.
6807 (ps-mode-font-lock-keywords-3): Use symbol regexp operators instead of
6808 word regexp operators.
6809 (ps-mode-map): Move initialization into declaration. Remove binding
6810 for TAB, RET, >, ], and }.
6811 (ps-mode-syntax-table): Move initialization into declaration.
6812 Don't give word syntax to non-word chars.
6813 (ps-run-mode-map): Move initialization into declaration.
6814 (ps-mode-menu-main): Remove auto-indent entry.
6815 (ps-mode-smie-rules): New function.
6816 (ps-mode): Setup smie, syntax-propertize, and electric-indent-mode.
6817 (ps-mode-looking-at-nested, ps-mode-match-string-or-comment): Remove.
6818 (ps-mode--string-syntax-table): New const.
6819 (ps-mode--syntax-propertize-special, ps-mode-syntax-propertize):
6821 (ps-mode-newline, ps-mode-tabkey, ps-mode-r-brace, ps-mode-r-angle)
6822 (ps-mode-r-gt, ps-mode-r-balance): Remove functions.
6824 2014-04-27 Daniel Colascione <dancol@dancol.org>
6826 * term/xterm.el (xterm-paste): Use large finite timeout when
6827 reading event to avoid putting keys in this-command-keys.
6829 2014-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
6831 * progmodes/perl-mode.el (perl--syntax-exp-intro-regexp): New var.
6832 (perl-syntax-propertize-function): Use it. Extend handling of
6833 here-docs to the unquoted case.
6835 2014-04-25 Eli Zaretskii <eliz@gnu.org>
6837 * tooltip.el (tooltip-show-help-non-mode, tooltip-show-help):
6838 Use equal-including-properties to compare help-echo strings (bug#17331).
6840 2014-04-25 Leo Liu <sdl.web@gmail.com>
6842 * emacs-lisp/lisp-mode.el (emacs-lisp-mode-syntax-table):
6843 Fix syntax for @. (Bug#17325)
6845 2014-04-25 Daniel Colascione <dancol@dancol.org>
6847 * emacs-lisp/cl.el (gv): Require gv early to break eager
6848 macro-expansion cycles.
6850 2014-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
6852 * simple.el (region-active-p): Check there's a mark (bug#17324).
6854 * simple.el (completion-list-mode-map): Use choose-completion for the
6855 mouse binding as well (bug#17302).
6856 (completion-list-mode, completion-setup-function): Adjust docstring and
6857 echo area message accordingly.
6858 * progmodes/idlwave.el (idlwave-choose-completion): Adjust to new
6859 calling convention of choose-completion.
6860 * comint.el (comint-dynamic-list-completions):
6861 * term.el (term-dynamic-list-completions): Accept choose-completion.
6863 * progmodes/perl-mode.el (perl-syntax-propertize-function): Slash after
6864 &, |, +, - and * can't be a division (bug#17317).
6866 * term/xterm.el (xterm--version-handler): Don't use modern xterm
6867 features on gnome-terminal (bug#16988).
6869 2014-04-25 Thien-Thi Nguyen <ttn@gnu.org>
6871 Improve Scheme font-locking for (define ((foo ...) ...) ...).
6873 * progmodes/scheme.el (scheme-font-lock-keywords-1): To find
6874 the declared object, ignore zero or more parens, not zero or one.
6876 2014-04-24 Leo Liu <sdl.web@gmail.com>
6878 * progmodes/xscheme.el (xscheme-expressions-ring)
6879 (xscheme-expressions-ring-yank-pointer, xscheme-running-p)
6880 (xscheme-control-g-disabled-p, xscheme-process-filter-state)
6881 (xscheme-allow-output-p, xscheme-prompt)
6882 (xscheme-string-accumulator, xscheme-mode-string): Use defvar-local.
6884 * progmodes/scheme.el (would-be-symbol, next-sexp-as-string):
6885 Comment out unused functions.
6887 2014-04-24 Stefan Monnier <monnier@iro.umontreal.ca>
6889 * info.el: Use lexical-binding and cl-lib.
6890 Use defvar-local and setq-local instead of make-local-variable.
6891 (Info-apropos-matches): Avoid add-to-list.
6892 (Info-edit-mode-map): Fix obsolescence call to Info-edit-map.
6894 2014-04-24 Daniel Colascione <dancol@dancol.org>
6896 * progmodes/sh-script.el (sh-builtins): Add coproc to list of bash builtins.
6898 2014-04-23 Stefan Monnier <monnier@iro.umontreal.ca>
6900 * emacs-lisp/cl-macs.el (cl--loop-let): Fix last merge.
6902 2014-04-22 Michael Heerdegen <michael_heerdegen@web.de>
6904 * dired.el (dired-insert-set-properties): Do not consider
6905 subdirectory headings and empty lines to be information that
6906 `dired-hide-details-mode' should hide. (Bug#17228)
6908 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
6910 * net/tramp-sh.el (tramp-sh-handle-file-name-all-completions):
6911 Remove test messages.
6912 (tramp-do-copy-or-rename-file-out-of-band): Do not quote `source'
6915 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
6917 * dframe.el (dframe-get-focus): Remove `hook' argument (bug#17311).
6918 * speedbar.el (speedbar-get-focus): Run the "hook" afterwards instead.
6920 * emacs-lisp/cl-macs.el (cl--loop-let): Avoid `nil' as var name.
6922 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
6924 * net/tramp-sh.el (tramp-sh-handle-file-name-all-completions):
6925 Set "IFS=" when using read builtin, in order to preserve spaces in
6926 the file name. Add test messages for hunting a bug on hydra.
6927 (tramp-get-ls-command): Undo using "-b" argument. It doesn't help.
6929 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
6931 * progmodes/prog-mode.el (prettify-symbols--compose-symbol):
6932 Don't prettify a word within a symbol.
6934 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
6936 * net/tramp-sh.el (tramp-get-ls-command): Use "-b" argument if
6939 2014-04-22 Daniel Colascione <dancol@dancol.org>
6941 * emacs-lisp/byte-run.el (function-put): Unbreak build: don't
6942 use defun to define `function-put'.
6944 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
6946 * emacs-lisp/lisp-mode.el (lisp--match-hidden-arg): New function.
6947 (lisp-el-font-lock-keywords-2, lisp-cl-font-lock-keywords-2): Use it.
6948 (lisp-mode-variables): Set font-lock-extra-managed-props.
6950 * emacs-lisp/byte-run.el (function-put): New function.
6951 (defun-declarations-alist): Use it. Add `pure' and `side-effect-free'.
6952 * emacs-lisp/cl-macs.el (cl-defstruct, cl-struct-sequence-type)
6953 (cl-struct-slot-info, cl-struct-slot-offset, cl-struct-slot-value):
6956 2014-04-22 Daniel Colascione <dancol@dancol.org>
6958 * emacs-lisp/macroexp.el (internal-macroexpand-for-load):
6959 Add `full-p' parameter; when nil, call `macroexpand' instead of
6962 * emacs-lisp/byte-run.el (eval-when-compile, eval-and-compile):
6965 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
6966 Use lambda function values, not quoted lambdas.
6967 (byte-compile-recurse-toplevel): Remove extraneous &optional.
6969 * emacs-lisp/cl-macs.el
6970 (cl-struct-sequence-type, cl-struct-slot-info): Declare pure.
6971 (cl-struct-slot-value): Conditionally use aref or nth so that the
6972 compiler produces optimal code.
6974 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
6976 * emacs-lisp/cl-macs.el (cl-struct-slot-offset): Mark as pure.
6977 (inline): Don't inline cl--set-elt.
6978 (cl-struct-slot-value): Remove explicit gv-setter and compiler-macro.
6979 Define as inlinable instead.
6980 (cl-struct-set-slot-value): Remove.
6982 * emacs-lisp/cl-lib.el (cl--set-elt): Remove.
6983 * emacs-lisp/cl-seq.el (cl-replace, cl-substitute, cl-nsubstitute):
6986 2014-04-21 Daniel Colascione <dancol@dancol.org>
6988 * emacs-lisp/cl-macs.el (cl--const-expr-val): We didn't need the
6989 last two parameters after all.
6990 (cl--expr-contains,cl--compiler-macro-typep,cl--compiler-macro-member)
6991 (cl--compiler-macro-assoc,cl-struct-slot-value)
6992 (cl-struct-set-slot-value): Stop using them.
6994 (2014-04-21 Stefan Monnier <monnier@iro.umontreal.ca>
6996 * image-mode.el (image-mode-window-put): Don't assume there's a `t'
6997 entry in image-mode-winprops-alist.
6999 2014-04-21 Daniel Colascione <dancol@dancol.org>
7001 * emacs-lisp/bytecomp.el (byte-compile-recurse-toplevel): New function.
7002 (byte-compile-recurse-toplevel, byte-compile-initial-macro-environment)
7003 (byte-compile-toplevel-file-form): Use it.
7005 * emacs-lisp/cl-macs.el:
7006 (cl--loop-let): Properly destructure `while' clauses.
7008 2014-04-20 Daniel Colascione <dancol@dancol.org>
7010 * vc/vc.el (vc-root-dir): New public autoloaded function for
7011 generically finding the current VC root.
7012 * vc/vc-hooks.el (vc-not-supported): New error.
7013 (vc-call-backend): Signal `vc-not-supported' instead of generic error.
7015 2014-04-20 Daniel Colascione <dancol@dancol.org>
7017 * emacs-lisp/cl-macs.el (cl-the): Make `cl-the' assert its type
7019 (cl--const-expr-val): cl--const-expr-val should macroexpand its
7020 argument in case we're inside a symbol-macrolet.
7021 (cl--do-arglist, cl--compiler-macro-typep)
7022 (cl--compiler-macro-member, cl--compiler-macro-assoc): Pass macro
7023 environment to `cl--const-expr-val'.
7024 (cl-struct-sequence-type,cl-struct-slot-info)
7025 (cl-struct-slot-offset, cl-struct-slot-value)
7026 (cl-struct-set-slot-value): New functions.
7028 2014-04-19 Stefan Monnier <monnier@iro.umontreal.ca>
7030 * progmodes/sh-script.el (sh-smie--sh-keyword-p): Handle variable
7031 assignments such as "case=hello" (bug#17297).
7033 2014-04-18 Michael Albinus <michael.albinus@gmx.de>
7035 * net/tramp.el (tramp-run-real-handler, tramp-file-name-handler):
7037 (tramp-file-name-handler, tramp-completion-file-name-handler):
7038 Revert patch from 2014-04-10, it isn't necessary anymore.
7039 (tramp-autoload-file-name-handler)
7040 (tramp-register-autoload-file-name-handlers): New defuns.
7041 (top): Autoload call of `tramp-register-autoload-file-name-handlers'.
7042 (tramp-register-file-name-handlers): Remove also
7043 `tramp-autoload-file-name-handler' from `file-name-handler-list'.
7044 Do not autoload its invocation, but eval it after loading of 'tramp.
7046 * net/tramp-adb.el (tramp-unload-hook): Unload `tramp-adb'.
7048 * net/tramp-compat.el (tramp-unload-hook): Unload `tramp-loaddefs'.
7050 2014-04-17 Daniel Colascione <dancol@dancol.org>
7052 Add support for bracketed paste mode; add infrastructure for
7053 managing terminal mode enabling and disabling automatically.
7056 (xterm-mouse-mode): Simplify.
7057 (xterm-mouse-tracking-enable-sequence)
7058 (xterm-mouse-tracking-disable-sequence): New constants.
7059 (turn-on-xterm-mouse-tracking-on-terminal)
7060 (turn-off-xterm-mouse-tracking-on-terminal):
7061 Use tty-mode-set-strings and tty-mode-reset-strings terminal
7062 parameters instead of random hooks.
7063 (turn-on-xterm-mouse-tracking)
7064 (turn-off-xterm-mouse-tracking): Delete.
7066 * term/xterm.el (xterm-extra-capabilities): Fix bitrotted comment.
7067 (xterm-paste-ending-sequence): New constant.
7068 (xterm-paste): New command used for bracketed paste support.
7070 (xterm-modify-other-keys-terminal-list): Delete obsolete variable.
7071 (terminal-init-xterm-bracketed-paste-mode): New function.
7072 (terminal-init-xterm): Call it.
7073 (terminal-init-xterm-modify-other-keys): Use tty-mode-set-strings
7074 and tty-mode-reset-strings instead of random hooks.
7075 (xterm-turn-on-modify-other-keys)
7076 (xterm-turn-off-modify-other-keys)
7077 (xterm-remove-modify-other-keys): Delete obsolete functions.
7079 * term/screen.el: Rewrite to just use the xterm code.
7080 Add copyright notice. Mention tmux.
7082 2014-04-17 Ian D <dunni@gnu.org> (tiny change)
7084 * image-mode.el (image-mode-window-put): Also update the property of
7085 the "default window".
7086 * doc-view.el (doc-view-new-window-function): If no window
7087 exists, move to the last known page.
7089 2014-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
7091 * progmodes/perl-mode.el (perl-calculate-indent): Don't auto-indent in
7092 here-documents (bug#17262).
7094 2014-04-16 Eli Zaretskii <eliz@gnu.org>
7096 * term/pc-win.el (x-list-fonts, x-get-selection-value):
7097 Provide doc strings, as required by snarf-documentation.
7099 2014-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
7101 * ps-def.el (ps-generate-postscript-with-faces1): Use the new `sorted'
7102 arg of overlays-at. Use `invisible-p'.
7104 * obsolete/lucid.el (extent-at):
7105 * htmlfontify.el (hfy-overlay-props-at): Use the new `sorted' arg of
7107 (hfy-fontify-buffer): Remove unused var `orig-ovls'.
7109 2014-04-16 João Távora <joaotavora@gmail.com>
7111 * net/shr.el (shr-expand-url): Use `expand-file-name' for relative
7114 2014-04-16 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
7116 * vc/ediff-diff.el (ediff-set-fine-diff-properties-in-one-buffer):
7117 Use mapc to loop over a vector. (Bug#17257).
7119 2014-04-16 Michael Albinus <michael.albinus@gmx.de>
7121 * net/tramp-sh.el (tramp-sh-handle-file-truename): Revert previous
7122 patch, there are new problems with file names containing spaces.
7123 Get rid of backticks. (Bug#17238)
7125 2014-04-16 João Távora <joaotavora@gmail.com>
7127 * elec-pair.el (electric-pair--syntax-ppss): Simplify and fix
7130 2014-04-16 Eli Zaretskii <eliz@gnu.org>
7132 * frame.el (blink-cursor-blinks, blink-cursor-blinks-done): Doc fixes.
7133 (blink-cursor-mode): Mention customization variables and the
7134 effect of 'blink-cursor-blinks'.
7136 2014-04-16 Barry O'Reilly <gundaetiapo@gmail.com>
7138 * simple.el (undo): Prevent insertion of identity mapping into
7139 undo-equiv-table so as undo-only does not inf loop in the presence
7140 of consecutive nils in undo list.
7142 2014-04-16 Matthias Dahl <matthias.dahl@binary-island.eu>
7144 * faces.el (make-face): Deprecate optional argument as it is no
7145 longer needed/used since the conditional X resources handling
7146 has been pushed down to make-face-x-resource-internal itself.
7147 (make-empty-face): Don't pass optional argument to make-face.
7149 2014-04-16 Karl Fogel <kfogel@red-bean.com>
7151 * savehist.el (savehist-save): Remove workaround for a read-passwd
7152 bug that was fixed before 24.3. Thanks to Juanma Barranquero for
7153 noticing that the shim was still present.
7155 2014-04-14 Stefan Monnier <monnier@iro.umontreal.ca>
7157 * doc-view.el (doc-view-set-doc-type): Ignore file name case; add .pps.
7159 2014-04-14 Juanma Barranquero <lekktu@gmail.com>
7161 * faces.el (face-set-after-frame-default): Remove unused local variable.
7163 2014-04-12 Stefan Monnier <monnier@iro.umontreal.ca>
7165 * progmodes/grep.el: Use lexical-binding.
7166 (grep-expand-template): Pass explicit lexical env to `eval'.
7167 (zrgrep): Let-bind grep-find-template explicitly.
7169 * emacs-lisp/cl-lib.el (current-case-table): Remove setter.
7170 * leim/quail/sisheng.el (sisheng-list): Use with-case-table.
7172 2014-04-12 Eli Zaretskii <eliz@gnu.org>
7174 * international/characters.el <standard-case-table>: Add entries
7175 for letters from the Coptic block u+2C80-u+2CFF. (Bug#17243)
7176 Set category of Coptic characters be 'g' (Greek).
7178 2014-04-12 Leo Liu <sdl.web@gmail.com>
7180 * progmodes/octave.el (completion-table-with-cache):
7181 Define if not available.
7182 (octave-goto-function-definition, octave-sync-function-file-names)
7183 (octave-find-definition-default-filename):
7184 Backquote upattern for compatibility.
7186 2014-04-12 Michael Albinus <michael.albinus@gmx.de>
7188 * net/tramp-sh.el (tramp-sh-handle-file-truename): Quote the file
7189 name twice due to backticks. (Bug#17238)
7191 2014-04-12 Glenn Morris <rgm@gnu.org>
7193 * term/w32-win.el (x-win-suspend-error):
7194 * term/x-win.el (x-win-suspend-error): Sync docs.
7196 2014-04-12 Matthias Dahl <matthias.dahl@binary-island.eu>
7198 * faces.el (make-face): Remove deprecated optional argument.
7199 The conditional application of X resources is handled directly by
7200 make-face-x-resource-internal since Emacs 24.4.
7201 (make-empty-face): Don't pass optional argument to make-face.
7203 2014-04-11 Glenn Morris <rgm@gnu.org>
7205 * Makefile.in (EMACSDATA, EMACSDOC, EMACSPATH): Unexport. (Bug#16429)
7207 2014-04-11 Stefan Monnier <monnier@iro.umontreal.ca>
7209 Ediff's overlay priorities cause more trouble than they solve.
7210 * vc/ediff-init.el (ediff-shadow-overlay-priority): Remove variable.
7211 (ediff-highest-priority): Remove function (bug#17234).
7212 * vc/ediff-util.el (ediff-highlight-diff-in-one-buffer):
7213 * vc/ediff-diff.el (ediff-set-diff-overlays-in-one-buffer)
7214 (ediff-set-fine-diff-properties-in-one-buffer): Don't mess with
7217 2014-04-11 Feng Li <fengli@gmail.com> (tiny change)
7219 * progmodes/pascal.el (pascal-font-lock-keywords): Fix incorrect format
7220 entry; use symbol boundaries to avoid mis-matches.
7222 2014-04-11 Michael Albinus <michael.albinus@gmx.de>
7224 * net/tramp.el (tramp-file-name-handler)
7225 (tramp-completion-file-name-handler): Avoid recursive loading.
7227 * net/tramp-sh.el (tramp-make-copy-program-file-name):
7228 Quote result also locally.
7230 2014-04-11 Stefan Monnier <monnier@iro.umontreal.ca>
7232 * emulation/cua-base.el (<toplevel>, cua--pre-command-handler-1):
7233 Remove left-over code.
7235 * newcomment.el (comment-indent-new-line): Sink code where it's used.
7236 Reuse the previous comment's indentation unconditionally if it's on its
7239 2014-04-09 Daniel Colascione <dancol@dancol.org>
7241 * emacs-lisp/lisp.el (backward-up-list): Add `escape-strings',
7242 `no-syntax-crossing' arguments. Forward to `up-list'.
7243 (up-list): Add `escape-strings', `no-syntax-crossing' arguments.
7244 Implement logic for escaping from strings. Use narrowing to deal
7247 2014-04-09 Leo Liu <sdl.web@gmail.com>
7249 * net/rcirc.el (rcirc-connection-info): New variable.
7250 (rcirc-connect): Use it to store connection info.
7251 (rcirc-buffer-process): Avoid get-buffer-process which returns nil
7253 (rcirc-cmd-reconnect): New command. (Bug#17045)
7254 (rcirc-mode, set-rcirc-encode-coding-system)
7255 (set-rcirc-decode-coding-system, rcirc-connect): Use setq-local.
7257 2014-04-09 Daniel Colascione <dancol@dancol.org>
7259 * emacs-lisp/cl-indent.el: Add comment claiming
7260 facility is also good for elisp.
7261 (lisp-indent-find-method): New function.
7262 (common-lisp-indent-function): Recognize cl-loop.
7263 (common-lisp-indent-function-1): Recognize cl constructs; use
7264 `lisp-indent-find-method' instead of `get' directly.
7265 (if): Use else-body style for elisp.
7267 2014-04-09 Dmitry Gutov <dgutov@yandex.ru>
7269 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Highlight more
7270 Module methods. (Bug#17216)
7272 2014-04-09 Stefan Monnier <monnier@iro.umontreal.ca>
7274 * help.el (describe-bindings): Fix buffer handling (bug#17210).
7275 (describe-bindings-internal): Mark obsolete.
7277 2014-04-09 Stefan Monnier <monnier@iro.umontreal.ca>
7279 * subr.el (with-silent-modifications): Don't bind deactivate-mark,
7280 buffer-file-name, and buffer-file-truename any more.
7282 2014-04-08 Leo Liu <sdl.web@gmail.com>
7284 Use lexical-binding and require cl-lib.
7285 * net/rcirc.el (rcirc, rcirc-handler-ctcp-KEEPALIVE)
7286 (rcirc-handler-generic, rcirc-fill-paragraph)
7287 (rcirc-format-response-string, rcirc-target-buffer)
7288 (rcirc-last-line, rcirc-record-activity, rcirc-split-activity)
7289 (rcirc-activity-string, rcirc-make-trees, rcirc-cmd-ctcp)
7290 (rcirc-ctcp-sender-PING, rcirc-browse-url)
7291 (rcirc-markup-timestamp, rcirc-markup-attributes)
7292 (rcirc-markup-my-nick, rcirc-markup-urls)
7293 (rcirc-markup-bright-nicks, rcirc-markup-fill)
7294 (rcirc-check-auth-status, rcirc-handler-WALLOPS)
7295 (rcirc-handler-JOIN, rcirc-handler-PART-or-KICK)
7296 (rcirc-handler-PART, rcirc-handler-KICK, rcirc-handler-QUIT)
7297 (rcirc-handler-NICK, rcirc-handler-PING, rcirc-handler-PONG)
7298 (rcirc-handler-TOPIC, rcirc-handler-301, rcirc-handler-317)
7299 (rcirc-handler-332, rcirc-handler-333, rcirc-handler-477)
7300 (rcirc-handler-MODE, rcirc-handler-353, rcirc-handler-366)
7301 (rcirc-authenticate, rcirc-handler-INVITE, rcirc-handler-ERROR)
7302 (rcirc-handler-ctcp-VERSION, rcirc-handler-ctcp-TIME)
7303 (rcirc-handler-CTCP-response): Fix unused arguments warnings and
7306 2014-04-07 João Távora <joaotavora@gmail.com>
7308 * elec-pair.el (electric-pair--syntax-ppss):
7309 When inside comments parse from comment beginning.
7310 (electric-pair--balance-info): Fix typo in comment.
7311 (electric-pair--in-unterminated-string-p): Delete.
7312 (electric-pair--unbalanced-strings-p): New function.
7313 (electric-pair-string-bound-function): New var.
7314 (electric-pair-inhibit-if-helps-balance): Decide quote pairing
7315 according to `electric-pair--in-unterminated-string-p'
7317 * elec-pair.el (electric-pair-inhibit-if-helps-balance):
7318 Inhibit quote pairing if point-max is inside an unterminated string.
7319 (electric-pair--looking-at-unterminated-string-p): Delete.
7320 (electric-pair--in-unterminated-string-p): New function.
7322 2014-04-07 Glenn Morris <rgm@gnu.org>
7324 * shell.el (shell-directory-tracker):
7325 Go back to just ignoring failures. (Bug#17159)
7327 2014-04-07 João Távora <joaotavora@gmail.com>
7329 Fix `electric-pair-delete-adjacent-pairs' in modes binding
7330 backspace. (bug#16981)
7331 * elec-pair.el (electric-pair-backward-delete-char): Delete.
7332 (electric-pair-backward-delete-char-untabify): Delete.
7333 (electric-pair-mode-map): Bind backspace to a menu item filtering
7334 a new `electric-pair-delete-pair' command.
7335 (electric-pair-delete-pair): New command.
7337 * progmodes/python.el (python-electric-pair-string-delimiter):
7338 Fix triple-quoting electricity. (Bug#17192)
7340 * elec-pair.el (electric-pair-post-self-insert-function):
7341 Don't skip whitespace when `electric-pair-text-pairs' and
7342 `electric-pair-pairs' were used. syntax to
7343 electric-pair--skip-whitespace. (Bug#17183)
7345 2014-04-07 Eli Zaretskii <eliz@gnu.org>
7347 * leim/quail/ipa.el (ipa-x-sampa): Fix the character produced for
7350 2014-04-07 Stefan Monnier <monnier@iro.umontreal.ca>
7352 * mpc.el (mpc--status-timer-run): Disable timer if not displayed.
7353 (mpc--status-idle-timer-run): Use mpc--status-timer-run.
7355 2014-04-07 Glenn Morris <rgm@gnu.org>
7357 * help.el (view-lossage): Doc tweak.
7359 2014-04-07 Matthias Dahl <ml_emacs-lists@binary-island.eu>
7361 * faces.el (face-spec-recalc): Call make-face-x-resource-internal
7362 only when inhibit-x-resources is nil, and do that earlier in the
7363 function. Doc fix. (Bug#16694)
7364 (face-spec-choose): Accept additional optional argument, whose
7365 value is returned if no matching attributes are found.
7366 (face-spec-recalc): Use the new optional argument when calling
7367 face-spec-choose. (Bug#16378)
7368 (make-face-x-resource-internal): Do nothing when
7369 inhibit-x-resources is non-nil. Don't touch the default face if
7370 reversed video is given--as was done in previous versions of Emacs.
7371 (face-set-after-frame-default): Don't call
7372 make-face-x-resource-internal here. (Bug#16434)
7374 2014-04-07 Tassilo Horn <tsdh@gnu.org>
7376 * doc-view.el (doc-view-bookmark-jump):
7377 Use `bookmark-after-jump-hook' to jump to the right page after the
7378 buffer is shown in a window. (bug#16090)
7380 2014-04-07 Eli Zaretskii <eliz@gnu.org>
7382 * international/characters.el (mirroring): Fix last change:
7383 instead of loading uni-mirrored.el explicitly, do that implicitly
7384 by creating the 'mirroring' uniprop table. This avoids announcing
7385 the loading of uni-mirrored.el.
7387 2014-04-07 Glenn Morris <rgm@gnu.org>
7389 * files.el (buffer-stale--default-function)
7390 (buffer-stale-function, revert-buffer--default):
7391 * autorevert.el (auto-revert-buffers): Doc tweaks.
7393 2014-04-07 Eli Zaretskii <eliz@gnu.org>
7395 * international/characters.el: Preload uni-mirrored.el. (Bug#17169)
7397 2014-04-07 Glenn Morris <rgm@gnu.org>
7399 * files.el (make-backup-file-name-function)
7400 (make-backup-file-name, make-backup-file-name--default-function)
7401 (make-backup-file-name-1, find-backup-file-name)
7402 (revert-buffer-function, revert-buffer-insert-file-contents-function)
7403 (buffer-stale--default-function, buffer-stale-function)
7404 (before-revert-hook, after-revert-hook, revert-buffer-in-progress-p)
7405 (revert-buffer, revert-buffer--default)
7406 (revert-buffer-insert-file-contents--default-function):
7407 Doc fixes related to defaults no longer being nil.
7408 (make-backup-file-name-function): Bump :version.
7409 Restore nil as a valid but deprecated custom type.
7411 2014-04-07 Stefan Monnier <monnier@iro.umontreal.ca>
7413 * progmodes/perl-mode.el (perl-syntax-propertize-function):
7414 Handle $' used as a variable (bug#17174).
7416 * progmodes/perl-mode.el (perl-indent-new-calculate):
7417 Handle forward-sexp failure (bug#16985).
7418 (perl-syntax-propertize-function): Add "foreach" and "for" statement
7419 modifiers introducing expressions (bug#17116).
7421 2014-04-06 Stefan Monnier <monnier@iro.umontreal.ca>
7423 * dired-aux.el (dired-file-set-difference): Use lexical-scoping.
7425 2014-04-05 Leo Liu <sdl.web@gmail.com>
7427 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
7428 Add define-compilation-mode.
7430 2014-04-04 João Távora <joaotavora@gmail.com>
7432 * elec-pair.el (electric-pair--syntax-ppss): When inside comments
7433 parse from comment beginning.
7434 (electric-pair--balance-info): Fix typo in comment.
7435 (electric-pair--in-unterminated-string-p): Delete.
7436 (electric-pair--unbalanced-strings-p): New function.
7437 (electric-pair-string-bound-function): New var.
7438 (electric-pair-inhibit-if-helps-balance): Decide quote pairing
7439 according to `electric-pair--in-unterminated-string-p'.
7441 2014-04-04 Stefan Monnier <monnier@iro.umontreal.ca>
7443 * textmodes/reftex-parse.el (reftex--index-tags): Rename `index-tags'.
7444 Move declaration before first use.
7445 (reftex-move-to-next-arg): Silence compiler warning.
7447 2014-04-04 Joost Kremers <joostkremers@fastmail.fm> (tiny change)
7449 * textmodes/reftex-toc.el (reftex-toc, reftex-re-enlarge):
7450 Use `window-total-width' instead of `window-width'.
7452 2014-04-03 Daniel Colascione <dancol@dancol.org>
7454 * subr.el (set-transient-map): Remove rms's workaround entirely;
7455 use new `suspicious-object' subr to mark our lambda for closer
7458 2014-04-02 Richard Stallman <rms@gnu.org>
7460 * subr.el (set-transient-map): Comment out previous change.
7462 2014-04-02 Glenn Morris <rgm@gnu.org>
7464 * menu-bar.el (menu-bar-file-menu):
7465 * vc/ediff.el (ediff-current-file):
7466 Update for revert-buffer-function no longer being nil by default.
7468 * simple.el (command-execute): Respect nil disabled-command-function.
7470 2014-04-02 Nicolas Richard <theonewiththeevillook@yahoo.fr>
7472 * simple.el (command-execute): Do not execute the command when it
7473 is disabled; fixes thinko in 2013-02-20 conversion from C. (Bug#17151)
7475 2014-04-02 Juri Linkov <juri@jurta.org>
7477 * dired-aux.el (dired-compress-file): Don't use string-match-p
7478 because its match data is used afterwards.
7480 2014-04-02 Stefan Monnier <monnier@iro.umontreal.ca>
7482 * emacs-lisp/package.el (package-built-in-p): Treat a min-version of
7485 2014-04-02 João Távora <joaotavora@gmail.com>
7487 * elec-pair.el (electric-pair-inhibit-if-helps-balance):
7488 Inhibit quote pairing if point-max is inside an unterminated string.
7489 (electric-pair--looking-at-unterminated-string-p):
7491 (electric-pair--in-unterminated-string-p): New function.
7493 2014-04-01 Daniel Colascione <dancol@dancol.org>
7495 * minibuffer.el (minibuffer-complete): Prevent assertion failure
7496 when trying to complete the prompt.
7498 2014-03-31 Leo Liu <sdl.web@gmail.com>
7500 * emacs-lisp/eldoc.el (eldoc-print-current-symbol-info):
7501 Refactor out eldoc-documentation-function-default.
7502 (eldoc-documentation-function-default): New function.
7503 (eldoc-documentation-function): Change value.
7505 2014-03-31 Glenn Morris <rgm@gnu.org>
7507 * simple.el (cycle-spacing--context, cycle-spacing): Doc tweaks.
7509 * progmodes/vhdl-mode.el (vhdl-speedbar-select-mra)
7510 (vhdl-compose-components-package, vhdl-compose-configuration):
7511 Abbreviate default-directory (missing from some previous upstream sync).
7513 2014-03-31 Reto Zimmermann <reto@gnu.org>
7515 Sync with upstream vhdl mode v3.35.2.
7516 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
7517 (top-level): No longer require assoc.
7518 (vhdl-asort, vhdl-anot-head-p, vhdl-aput, vhdl-adelete, vhdl-aget):
7519 New functions. Use throughout to replace aget etc.
7520 (vhdl-aput-delete-if-nil): Rename from vhdl-aput.
7521 (vhdl-update-file-contents): Update for vhdl-aput-delete-if-nil rename.
7522 (vhdl-template-replace-header-keywords): Fix bug for "<title string>".
7523 (vhdl-compile-init): Do not initialize regexps for Emacs 22+.
7524 (vhdl-error-regexp-emacs-alist): Remove regexps from all compilers
7525 except `vhdl-compiler'.
7526 (vhdl-error-regexp-add-emacs): Remove all other compilers,
7529 2014-03-31 Glenn Morris <rgm@gnu.org>
7531 * progmodes/vhdl-mode.el (vhdl-expand-abbrev, vhdl-expand-paren):
7532 Revert 2014-03-26 merge goof; go back to using defalias.
7534 2014-03-30 Daniel Colascione <dancol@dancol.org>
7536 * comint.el (comint-send-input):
7537 Deactivate completion-in-region-mode before we send comint input.
7540 * simple.el (keyboard-quit): Deactivate completion-in-region-mode
7543 2014-03-29 Glenn Morris <rgm@gnu.org>
7545 * textmodes/reftex.el: Manage most autoloads automatically.
7546 * textmodes/reftex-auc.el, textmodes/reftex-cite.el:
7547 * textmodes/reftex-dcr.el, textmodes/reftex-global.el:
7548 * textmodes/reftex-index.el, textmodes/reftex-parse.el:
7549 * textmodes/reftex-ref.el, textmodes/reftex-sel.el:
7550 * textmodes/reftex-toc.el: Set generated-autoload-file,
7551 and add autoload cookies for reftex.el.
7552 * Makefile.in (AUTOGEN_VCS): Add textmodes/reftex.el.
7554 2014-03-28 Glenn Morris <rgm@gnu.org>
7556 * cus-start.el (report-emacs-bug-address): Set custom properties.
7557 * mail/emacsbug.el (report-emacs-bug-address):
7558 Variable is now defined in emacs.c.
7560 * mail/emacsbug.el (report-emacs-bug):
7561 Include system-configuration-features.
7563 2014-03-28 Michal Nazarewicz <mina86@mina86.com>
7565 * simple.el (cycle-spacing): Never delete spaces on first run by
7566 default, but do so in a new 'fast mode and if there are already
7567 N spaces (the previous behavior).
7568 Compare N with its value in previous invocation so that changing
7569 prefix argument restarts `cycle-spacing' sequence.
7570 The idea is that with this change, binding M-SPC to
7571 `cycle-spacing' should not introduce any changes in behavior of
7572 the binding so long as users do not type M-SPC twice in a raw with
7573 the same prefix argument or lack thereof.
7575 2014-03-28 Glenn Morris <rgm@gnu.org>
7577 * faces.el (term-file-aliases): New variable.
7578 (tty-run-terminal-initialization): Respect term-file-aliases.
7579 * term/apollo.el, term/vt102.el, term/vt125.el, term/vt201.el:
7580 * term/vt220.el, term/vt240.el, term/vt300.el, term/vt320.el:
7581 * term/vt400.el, term/vt420.el: Remove files, replaced by aliases.
7583 2014-03-27 Glenn Morris <rgm@gnu.org>
7585 * startup.el (inhibit-startup-hooks): Doc tweak.
7586 (normal-top-level): Simplify running of hooks.
7587 For window-setup-hook, respect inhibit-startup-hooks.
7588 (command-line-1): Don't set window-setup-hook to nil.
7590 Allow selective autoloading from obsolete/ directory.
7591 * Makefile.in (obsolete-autoloads): New rule.
7592 (autoloads): Run obsolete-autoloads.
7593 * obsolete/iswitchb.el (iswitchb-mode): Use obsolete-autoload.
7594 * simple.el (iswitchb-mode): Remove hand-written autoloads.
7596 2014-03-27 Dmitry Gutov <dgutov@yandex.ru>
7598 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
7599 Highlight special globals with font-lock-builtin-face. (Bug#17057)
7601 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
7602 Don't propertize `?' or `!' as symbol constituent when after
7605 2014-03-27 Juanma Barranquero <lekktu@gmail.com>
7607 * frameset.el (frameset--restore-frame): Remove workaround for bug#14795
7608 which is no longer needed and causes trouble in GTK builds (bug#17046).
7610 * emacs-lisp/package-x.el (package--archive-contents-from-url):
7611 Use url-insert-file-contents; package-handle-response no longer exists.
7613 2014-03-26 Daniel Colascione <dancol@dancol.org>
7615 * simple.el (process-menu-mode-map): New variable.
7616 (process-menu-delete-process): New command.
7618 2014-03-26 Juanma Barranquero <lekktu@gmail.com>
7620 * emacs-lisp/package.el: Fix bug#16733 (again).
7621 (url-http-parse-response, url-http-end-of-headers, url-recreate-url)
7622 (url-http-target-url): Remove unused declarations.
7623 (package-handle-response): Remove.
7624 (package--with-work-buffer): Use url-insert-file-contents and simplify.
7625 (package--download-one-archive): Use current-buffer instead of
7626 dynamic binding of `buffer'.
7627 (describe-package-1): Do not decode readme-string.
7629 2014-03-26 Michael Albinus <michael.albinus@gmx.de>
7631 * net/tramp.el (tramp-methods, tramp-connection-timeout): Fix docstring.
7633 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Revert change
7634 from 2014-03-07, it decreases performance unnecessarily. Let-bind
7635 `remote-file-name-inhibit-cache' to nil in the second pass.
7636 (tramp-find-executable): Do not call "which" on SunOS.
7637 (tramp-send-command-and-check): Fix docstring.
7638 (tramp-do-copy-or-rename-file-directly): In the `rename' case,
7639 check whether source directory has set the sticky bit.
7641 2014-03-26 Barry O'Reilly <gundaetiapo@gmail.com>
7643 * simple.el (primitive-undo): Only process marker adjustments
7644 validated against their corresponding (TEXT . POS). Issue warning
7645 for lone marker adjustments in undo history. (Bug#16818)
7646 (undo-make-selective-list): Add marker adjustments to selective
7647 undo list based on whether their corresponding (TEXT . POS) is in
7648 the region. Remove variable adjusted-markers, which was unused
7649 and only non nil during undo-make-selective-list.
7650 (undo-elt-in-region): Return nil when passed a marker adjustment
7651 and explain in function doc.
7653 2014-03-26 Nicolas Richard <theonewiththeevillook@yahoo.fr>
7655 * align.el (align-region): Do not fail when end-mark is nil (bug#17088).
7657 2014-03-26 Dmitry Gutov <dgutov@yandex.ru>
7659 * progmodes/ruby-mode.el (ruby-expression-expansion-re):
7660 Match special global variables without curlies, too.
7661 (ruby-font-lock-keywords): Simplify the matcher for special global
7662 variables. Don't require a non-word character after the variable.
7665 2014-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
7667 * simple.el (redisplay-highlight-region-function): Increase priority of
7668 overlay to make sure boundaries are visible (bug#15899).
7670 2014-03-26 Juanma Barranquero <lekktu@gmail.com>
7672 * frameset.el (frameset--initial-params): Fix typo in parameter name.
7673 (frameset-restore): Compare display strings with equal.
7675 * frame.el (make-frame): Don't quote display name in error message,
7676 it is already a string.
7678 2014-03-26 Thierry Volpiatto <thierry.volpiatto@gmail.com>
7680 * net/tramp.el (tramp-read-passwd): Suspend the timers while reading
7683 2014-03-26 Dmitry Gutov <dgutov@yandex.ru>
7685 * emacs-lisp/package.el (package--add-to-archive-contents):
7686 Include already installed and built-in packages in
7687 `package-archive-contents'.
7688 (package-install): Don't include already installed packages in the
7689 options during interactive invocation. (Bug#16762)
7690 (package-show-package-list): If the buffer is already displayed in
7691 another window, switch to that window.
7693 2014-03-26 Reto Zimmermann <reto@gnu.org>
7695 Sync with upstream vhdl mode v3.35.1.
7696 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
7697 (vhdl-compiler-alist): Doc fix.
7698 (vhdl-goto-line): Remove.
7699 (vhdl-mode-abbrev-table-init): Add XEmacs compat.
7700 (vhdl-mode) <paragraph-start>: Fix value.
7701 (vhdl-fix-statement-region): Not `for' in wait-statement.
7702 (vhdl-beautify-region): Also (un)tabify.
7703 (vhdl-get-visible-signals):
7704 Scan declarative part of generate statements.
7705 (vhdl-template-record): Fix indentation for record type declaration.
7706 (vhdl-expand-abbrev, vhdl-expand-paren):
7707 Revert to using fset again rather than defalias.
7708 (vhdl-scan-directory-contents): Tweak.
7709 (vhdl-speedbar-find-file, vhdl-speedbar-port-copy)
7710 (vhdl-compose-components-package):
7711 Replace vhdl-goto-line with forward-line.
7712 (top-level): Tweak speedbar frame selection.
7713 (vhdl-generate-makefile-1): Support for compilers with no
7714 unit-to-file name mapping (create directory with dummy files).
7716 2014-03-26 Wilson Snyder <wsnyder@wsnyder.org>
7718 Sync with upstream verilog-mode revision 702457d.
7719 * progmodes/verilog-mode.el (verilog-mode-version): Update.
7720 (create-lockfiles): Declare.
7721 (verilog-read-decls): Fix module header imports, bug709.
7722 Reported by Victor Lau.
7723 Fix parsing 'var' in AUTOs, msg1294. Reported by Dominique Chen.
7724 (verilog-auto-inout-module): Fix AUTOINOUTMODULE not inserting
7725 interface-only modules, bug721. Reported by Dean Hoyt.
7727 2014-03-26 Glenn Morris <rgm@gnu.org>
7729 * obsolete/gulp.el: Move here from emacs-lisp/.
7731 * files.el (lock-buffer, unlock-buffer, file-locked-p):
7732 Remove fallback aliases, since they are always defined now.
7734 2014-03-24 Daniel Colascione <dancol@dancol.org>
7736 * emacs-lisp/cl-macs.el (cl--do-arglist): Use `plist-member'
7737 instead of cl-loop search function.
7739 2014-03-23 Lars Ingebrigtsen <larsi@gnus.org>
7741 * calendar/parse-time.el (parse-time-iso8601-regexp)
7742 (parse-iso8601-time-string): Copy from `url-dav' so that we can use
7745 2014-03-23 Lars Ingebrigtsen <larsi@gnus.org>
7747 * net/dns.el (network-interface-list): Define for XEmacs.
7749 2014-03-23 Magnus Henoch <magnus.henoch@gmail.com>
7751 * net/dns.el (dns-servers-up-to-date-p): New function to see whether
7752 the network interfaces changed.
7753 (dns-query): Use it to flush the data.
7755 2014-03-23 Juanma Barranquero <lekktu@gmail.com>
7757 * vc/vc.el (vc-rollback): Use set-buffer-modified-p.
7759 2014-03-23 Daniel Colascione <dancol@dancol.org>
7761 Change subword-mode to use `find-word-boundary-function-table' and
7762 replace `capitalized-words-mode'. Also, convert to lexical binding.
7763 * progmodes/cap-words.el: Delete now-obsolete file.
7764 * progmodes/subword.el: Reimplement using
7765 `find-word-boundary-function-table'.
7766 (subword-mode-map): Hollow out.
7767 (capitalized-words-mode): Define as obsolete alias for
7769 (subword-mode, superword-mode): Tweak documentation to reflect new
7770 implementation; call `subword-setup-buffer'.
7771 (subword-forward, subword-capitalize): Add underscore to indicate
7773 (subword-find-word-boundary-function-table): New constant.
7774 (subword-empty-char-table): New constant.
7775 (subword-setup-buffer): New function.
7776 (subword-find-word-boundary): New function.
7778 2014-03-23 Daniel Colascione <dancol@dancol.org>
7780 * emacs-lisp/cl-macs.el (cl--do-arglist): Use a little `cl-loop'
7781 list to look for keyword arguments instead of `memq', fixing
7782 (Bug#3647) --- unfortunately, only for freshly-compiled code.
7783 Please make bootstrap.
7785 2014-03-22 Glenn Morris <rgm@gnu.org>
7787 * dired.el (dired-read-regexp): Make obsolete.
7788 (dired-mark-files-regexp, dired-mark-files-containing-regexp)
7789 (dired-flag-files-regexp):
7790 * dired-aux.el (dired-mark-read-regexp):
7791 * dired-x.el (dired-mark-unmarked-files): Use read-regexp directly.
7793 * startup.el (fancy-startup-text):
7794 * help.el (describe-gnu-project): Visit online info about GNU project.
7796 * help-fns.el (help-fns--interactive-only): New function.
7797 (help-fns-describe-function-functions): Add the above function.
7798 * simple.el (beginning-of-buffer, end-of-buffer, insert-buffer)
7799 (next-line, previous-line): Remove hand-written interactive-only
7800 information from doc strings, it is auto-generated now.
7801 * bookmark.el (bookmark-write):
7802 * epa-mail.el (epa-mail-decrypt, epa-mail-verify, epa-mail-sign)
7803 (epa-mail-import-keys): Mark interactive-only,
7804 and remove hand-written interactive-only information from doc strings.
7805 * epa.el (epa-decrypt-armor-in-region, epa-verify-region)
7806 (epa-verify-cleartext-in-region, epa-sign-region, epa-encrypt-region):
7807 * files.el (not-modified):
7808 * simple.el (mark-whole-buffer): Mark interactive-only.
7810 * emacs-lisp/byte-run.el (defun-declarations-alist):
7811 Add interactive-only. Doc tweak.
7812 (macro-declarations-alist): Doc tweak.
7813 * subr.el (declare): Doc tweak (add xref to manual).
7814 * comint.el (comint-run):
7815 * files.el (insert-file-literally, insert-file):
7816 * replace.el (replace-string, replace-regexp):
7817 * simple.el (beginning-of-buffer, end-of-buffer, delete-backward-char)
7818 (delete-forward-char, goto-line, insert-buffer, next-line)
7819 (previous-line): Set interactive-only via declare.
7821 2014-03-22 Dmitry Gutov <dgutov@yandex.ru>
7823 * emacs-lisp/package.el (package-desc): Use the contents of the
7824 quoted form, not its cdr. (Bug#16873)
7826 2014-03-22 Juanma Barranquero <lekktu@gmail.com>
7828 * w32-common-fns.el (x-selection-owner-p): Add empty docstring for the
7829 benefit of doc.c; change parameter profile to match the X function.
7831 2014-03-22 Leo Liu <sdl.web@gmail.com>
7833 * help.el (temp-buffer-setup-hook): Remove help-mode-setup.
7834 (temp-buffer-show-hook): Remove help-mode-finish. (Bug#16038)
7836 2014-03-21 Richard Stallman <rms@gnu.org>
7838 * battery.el (battery-linux-sysfs): Search for each field
7839 from the beginning of the buffer.
7841 * subr.el (set-transient-map): Clear out function and value
7842 of the temporary symbol when we're done with it.
7844 * mail/rmailsum.el (rmail-summary-delete-forward):
7845 Optimize case of reaching end and handling count.
7846 (rmail-summary-mark-deleted): Optimize when N is current msg.
7847 Don't create new summary line.
7848 (rmail-summary-undelete): Pass arg to rmail-undelete-previous-message.
7849 (rmail-summary-undelete-many): Rewrite for speed.
7850 (rmail-summary-msg-number): New function.
7852 * mail/rmail.el (rmail-delete-message): Update summary.
7853 (rmail-undelete-previous-message): Handle repeat count arg.
7854 (rmail-delete-backward, rmail-delete-forward): Likewise.
7856 2014-03-21 Daniel Colascione <dancol@dancol.org>
7858 * mail/emacsbug.el (report-emacs-bug): Include memory usage
7859 information in bug reports.
7861 2014-03-21 Michael Albinus <michael.albinus@gmx.de>
7863 * net/tramp.el (tramp-methods): Add docstring for `tramp-login-env'
7864 and `tramp-copy-env'.
7866 * net/tramp-sh.el (tramp-methods) <sudo>: Add `tramp-login-env'.
7867 (tramp-maybe-open-connection): Handle `tramp-login-env'.
7869 2014-03-21 Glenn Morris <rgm@gnu.org>
7871 * electric.el (electric-indent-post-self-insert-function): Add doc.
7873 2014-03-21 Dmitry Gutov <dgutov@yandex.ru>
7875 * emacs-lisp/package.el (package-compute-transaction):
7876 Use `version-list-<=' to compare the requirement version against
7877 the version of package already to be installed. Update the error
7878 message. (Bug#16826)
7880 * progmodes/ruby-mode.el (ruby-smie-rules):
7881 Add indentation rule for ` @ '. (Bug#17050)
7883 2014-03-21 Juanma Barranquero <lekktu@gmail.com>
7885 * align.el (align-regexp): Remove superfluous backslash.
7887 * ffap.el (ffap-ftp-default-user, ffap-url-regexp)
7888 (ffap-pass-wildcards-to-dired, dired-at-point-require-prefix)
7889 (ffap-rfc-path, ffap-ftp-sans-slash-regexp, ffap-menu-regexp):
7890 Fix docstring typos.
7891 (ffap-next): Use C-u in docstring.
7892 (ffap-machine-p, ffap-list-env, ffap-alist, ffap-alist)
7893 (ffap-string-at-point-mode-alist, ffap-menu, ffap-menu-ask):
7894 Remove superfluous backslashes.
7895 (ffap-string-at-point): Reflow docstring.
7897 * server.el (server-host): Reflow docstring.
7898 (server-unload-function): Fix docstring typo.
7899 (server-eval-at): Remove superfluous backslash.
7901 * skeleton.el (skeleton-insert): Remove superfluous backslash.
7902 (skeleton-insert): Doc fix.
7903 (skeleton-insert): Reflow docstring.
7905 * term/tty-colors.el (tty-color-alist, tty-modify-color-alist)
7906 (tty-color-approximate, tty-color-by-index, tty-color-values)
7907 (tty-color-desc): Remove superfluous backslashes.
7909 2014-03-21 Glenn Morris <rgm@gnu.org>
7911 * cus-start.el (history-length): Bump :version.
7913 * Makefile.in ($(MH_E_DIR)/mh-loaddefs.el)
7914 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
7915 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
7916 Don't set `make-backup-files'.
7918 * info.el (info--prettify-description): New function,
7919 to give info-finder descriptions consistent case, punctuation.
7920 (Info-finder-find-node): Use it. Sort packages.
7921 Refer to "description" rather than "commentary".
7923 2014-03-21 Juanma Barranquero <lekktu@gmail.com>
7925 * frameset.el (frameset--print-register): New function.
7926 (frameset-to-register): Use it.
7928 2014-03-20 Juanma Barranquero <lekktu@gmail.com>
7930 * progmodes/hideif.el (hif-string-to-number): New function.
7931 (hif-tokenize): Use it to understand non-decimal floats.
7933 * emacs-lisp/cl-extra.el (cl--map-overlays): Remove obsolete code.
7935 * skeleton.el (skeleton-autowrap): Mark as obsolete. Doc fix.
7937 2014-03-20 Stefan Monnier <monnier@iro.umontreal.ca>
7939 * electric.el (electric-newline-and-maybe-indent): New command.
7940 Bind it globally to C-j.
7941 (electric-indent-mode): Don't mess with the global map any more.
7942 Don't drop the post-self-insert-hook is some buffer is still using it
7945 * bindings.el (global-map): Remove C-j binding.
7947 * emacs-lisp/nadvice.el (advice--make-docstring): Try harder to find
7948 the docstring of functions advised before dumping (bug#16993).
7950 2014-03-19 Stefan-W. Hahn <stefan.hahn@s-hahn.de> (tiny change)
7952 * ps-print.el (ps-generate-postscript-with-faces):
7953 Explicitly deactivate the mark (bug#16866).
7954 * simple.el (deactivate-mark): Update region highlight.
7956 2014-03-19 Juanma Barranquero <lekktu@gmail.com>
7958 * emacs-lisp/package.el (describe-package-1):
7959 Decode commentary (bug#16733).
7961 2014-03-18 Juanma Barranquero <lekktu@gmail.com>
7963 * custom.el (defcustom): Doc fix: recommend avoiding destructive
7964 modification of the value argument of :set (bug#16755).
7966 2014-03-18 Stefan Monnier <monnier@iro.umontreal.ca>
7968 * simple.el (newline-and-indent): Do autofill (bug#17031).
7970 2014-03-18 Dmitry Gutov <dgutov@yandex.ru>
7972 * newcomment.el (comment-normalize-vars): Only add escaping check
7973 to `comment-start-skip' if not `comment-use-syntax'. (Bug#16971)
7974 (comment-beginning): Use `narrow-to-region' instead of moving back
7976 (http://lists.gnu.org/archive/html/emacs-devel/2014-03/msg00488.html)
7977 (comment-start-skip): Update the docstring.
7979 2014-03-18 Richard Stallman <rms@gnu.org>
7981 * dired.el (dired-display-file): Force use of other window.
7983 2014-03-18 Daniel Colascione <dancol@dancol.org>
7985 * startup.el (tty-handle-args): Remove debug message from 2007.
7987 2014-03-17 Stefan Monnier <monnier@iro.umontreal.ca>
7989 * emacs-lisp/nadvice.el (advice--interactive-form): New function.
7990 (advice--make-interactive-form): Use it to avoid (auto)loading function.
7991 (advice--make-1, advice-add, advice-remove):
7992 Remove braindead :advice-pending hack.
7994 2014-03-17 Glenn Morris <rgm@gnu.org>
7996 * calendar/calendar.el (calendar-generate-month): Apply weekend
7997 face to the right days; fixes 2013-08-06 change. (Bug#17028)
7999 2014-03-17 Michael Albinus <michael.albinus@gmx.de>
8001 * net/tramp.el (tramp-action-out-of-band): Read pending output.
8002 (tramp-call-process): Trace also DESTINATION.
8004 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
8005 Quote file names when they are local. Remove superfluous trace.
8007 2014-03-17 Dmitry Gutov <dgutov@yandex.ru>
8009 * newcomment.el (comment-beginning): If `comment-start-skip'
8010 doesn't match, move back one char and try again. (Bug#16971)
8012 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
8013 Set `comment-use-syntax' to t to avoid the unnecessary runtime check.
8014 Set `comment-start-skip' to a simpler value that doesn't try to
8015 check if the semicolon is escaped (this is handled by
8016 `syntax-ppss' now). (Bug#16971)
8018 * progmodes/scheme.el (scheme-mode-variables): Same.
8020 2014-03-16 Martin Rudalics <rudalics@gmx.at>
8022 Fix behavior of with-temp-buffer-window (Bug#16816, Bug#17007).
8023 * window.el (with-temp-buffer-window): Don't make BUFFER-OR-NAME
8024 current (Bug#16816, Bug#17007).
8025 (with-current-buffer-window): New macro doing the same as
8026 `with-temp-buffer-window' but with BUFFER-OR-NAME current.
8027 * help.el (help-print-return-message): Warn in doc-string to not
8028 use this in `with-help-window'.
8029 (describe-bindings-internal): Call `describe-buffer-bindings'
8030 from within help buffer. See Juanma's scenario in (Bug#16816).
8031 (with-help-window): Update doc-string.
8032 * dired.el (dired-mark-pop-up):
8033 * files.el (save-buffers-kill-emacs):
8034 * register.el (register-preview): Use `with-current-buffer-window'
8035 instead of `with-temp-buffer-window'.
8037 2014-03-16 Juanma Barranquero <lekktu@gmail.com>
8039 * textmodes/rst.el (rst-arabic-to-roman, rst-roman-to-arabic):
8040 Implement inserting into current buffer, documented in their docstrings.
8041 (rst-define-key, rst-compare-adornments, rst-insert-list-new-item)
8042 (rst-section-tree-point, rst-forward-section, rst-indent)
8043 (rst-compute-tabs, rst-font-lock-find-unindented-line-end)
8044 (rst-font-lock-find-unindented-line-limit, rst-adornment-level)
8045 (rst-font-lock-handle-adornment-pre-match-form)
8046 (rst-repeat-last-character): Reflow docstrings.
8047 (rst-preferred-adornments, rst-update-section, rst-find-title-line)
8048 (rst-adjust-adornment-work, rst-initial-items, rst-insert-list)
8049 (rst-toc-insert-style, rst-toc-insert-node, rst-goto-section)
8050 (rst-compile, rst-imenu-convert-cell, rst-imenu-create-index):
8051 Fix docstring typos.
8052 (rst-all-sections, rst-section-hierarchy, rst-adjust): Doc fixes.
8053 (rst-uncomment-region, rst-font-lock-find-unindented-line-match)
8054 (rst-font-lock-handle-adornment-matcher): Mark unused arguments.
8056 2014-03-15 Juanma Barranquero <lekktu@gmail.com>
8058 * term/ns-win.el (x-command-line-resources): Rename from ns-... version,
8059 for compatibility with other ports.
8060 (ns-initialize-window-system): Use it. It is set in term/common-win.el
8061 from the -xrm command line argument, but in the Nextstep port its value
8062 is irrelevant because nsfns.m:Fx_open_connection ignores it for now.
8064 * progmodes/python.el (defconst, python-syntax-count-quotes)
8065 (python-indent-region, python-indent-shift-right)
8066 (python-indent-dedent-line-backspace, python-nav-backward-sexp)
8067 (python-nav-backward-sexp-safe, python-nav-backward-up-list)
8068 (python-shell-prompt-block-regexp, python-shell-prompt-output-regexp)
8069 (python-shell-prompt-pdb-regexp, python-shell-enable-font-lock)
8070 (inferior-python-mode, python-shell-make-comint, run-python-internal)
8071 (python-shell-buffer-substring, python-shell-send-buffer)
8072 (python-pdbtrack-activate, python-pdbtrack-stacktrace-info-regexp)
8073 (python-completion-complete-at-point, python-fill-docstring-style)
8074 (python-eldoc-function, python-imenu-format-item-label)
8075 (python-imenu-format-parent-item-label)
8076 (python-imenu-format-parent-item-jump-label)
8077 (python-imenu--build-tree, python-imenu-create-index)
8078 (python-imenu-create-flat-index): Fix docstring typos.
8079 (python-indent-context, python-shell-prompt-regexp, run-python):
8080 Remove superfluous backslashes.
8081 (python-indent-line, python-nav-beginning-of-defun)
8082 (python-shell-get-buffer, python-shell-get-process)
8083 (python-info-current-defun, python-info-current-line-comment-p)
8084 (python-info-current-line-empty-p, python-util-popn): Doc fixes.
8085 (python-indent-post-self-insert-function, python-shell-send-file)
8086 (python-shell-completion-get-completions)
8087 (python-shell-completion-complete-or-indent)
8088 (python-eldoc--get-doc-at-point): Reflow docstrings.
8090 2014-03-14 Glenn Morris <rgm@gnu.org>
8092 * emacs-lisp/package.el (package-menu-mode-map):
8093 Replace use of obsolete function alias. Tweak menu item text.
8095 * info.el (Info-finder-find-node):
8096 Ignore the `emacs' metapackage. (Bug#10813)
8098 * finder.el (finder-list-matches): Include unversioned packages
8099 in the result of a keyword search.
8101 * finder.el (finder--builtins-descriptions): New constant.
8102 (finder-compile-keywords): Use finder--builtins-descriptions.
8104 2014-03-14 Dmitry Gutov <dgutov@yandex.ru>
8106 * simple.el (blink-matching-paren): Describe the new value,
8107 `jump', enabling the old behavior.
8108 (blink-matching-open): Use that value. (Bug#17008)
8110 2014-03-14 Glenn Morris <rgm@gnu.org>
8112 * finder.el (finder-no-scan-regexp): Add leim-list.
8113 (finder-compile-keywords):
8114 Don't skip files with same basename. (Bug#14010)
8115 * Makefile.in (setwins_finder): New, excluding leim.
8116 (finder-data): Use setwins_finder.
8118 * help-fns.el (help-split-fundoc, help-add-fundoc-usage)
8119 (help-function-arglist, help-make-usage): Move from here...
8120 * help.el (help-split-fundoc, help-add-fundoc-usage)
8121 (help-function-arglist, help-make-usage): ... to here. (Bug#17001)
8122 * emacs-lisp/bytecomp.el (byte-compile-lambda): Do not load help-fns.
8124 2014-03-14 Juanma Barranquero <lekktu@gmail.com>
8126 * net/socks.el (socks, socks-override-functions)
8127 (socks-find-services-entry):
8128 * progmodes/hideif.el (hif-set-var, hif-nexttoken, hif-comma)
8129 (hif-find-ifdef-block):
8130 * progmodes/modula2.el (m2-indent): Fix docstring typos.
8132 * net/tls.el (tls-program): Reflow docstring.
8134 * progmodes/pascal.el (pascal-mode-abbrev-table)
8135 (pascal-imenu-generic-expression, pascal-auto-endcomments)
8136 (pascal-mark-defun, pascal-comment-area, pascal-indent-level)
8137 (pascal-outline-mode): Fix docstring typos.
8138 (pascal-mode): Let define-derived-mode document mode hook.
8139 (pascal-uncomment-area): Reflow.
8140 (pascal-exclude-str-start, pascal-exclude-str-end): Add docstring.
8142 * progmodes/opascal.el (opascal-compound-block-indent)
8143 (opascal-case-label-indent): Fix docstring typos.
8144 (opascal-mode): Fix typos; let defined-derived-mode document mode hook.
8146 2014-03-13 Dmitry Gutov <dgutov@yandex.ru>
8148 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
8149 Fontify multiple adjacent negation chars. (Bug#17004)
8151 2014-03-13 Tom Willemse <tom@ryuslash.org> (tiny change)
8153 * emacs-lisp/package.el (package--prepare-dependencies):
8154 Accept requirements without explicit version (bug#14941).
8156 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
8158 * register.el (register-separator, copy-to-register): Doc fixes.
8159 (register-preview-default): Remove unnecessary call to concat.
8161 * frameset.el (frameset-restore): When checking for a visible frame,
8162 use the action map instead of calling visible-frame-list.
8164 2014-03-12 Jonas Bernoulli <jonas@bernoul.li>
8166 * emacs-lisp/eieio.el (with-slots): Use cl-symbol-macrolet (bug#16998).
8168 2014-03-12 Martin Rudalics <rudalics@gmx.at>
8170 * window.el (fit-frame-to-buffer): Get maximum width from
8171 display's width instead of height.
8173 2014-03-12 Glenn Morris <rgm@gnu.org>
8175 * desktop.el (desktop-restore-frames)
8176 (desktop-restore-in-current-display, desktop-restore-forces-onscreen)
8177 (desktop-restore-reuses-frames): Doc tweaks.
8179 * electric.el (electric-indent-mode): Doc fix.
8181 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
8183 * vc/pcvs.el (cvs-temp-buffer, defun-cvs-mode, cvs-get-cvsroot)
8184 (cvs-checkout, cvs-mode-checkout, cvs-update-filter, cvs-mode-mark)
8185 (cvs-mode-diff-head, cvs-mode-diff-repository, cvs-mode-diff-yesterday)
8186 (cvs-mode-diff-vendor, cvs-mode-do, cvs-change-cvsroot)
8187 (cvs-dired-use-hook): Fix docstring typos.
8188 (cvs-mode-view-file-other-window, cvs-mode-byte-compile-files):
8191 * vc/pcvs-defs.el (cvs-auto-remove-handled)
8192 (cvs-auto-remove-directories, cvs-default-ignore-marks)
8193 (cvs-idiff-imerge-handlers, cvs-reuse-cvs-buffer)
8194 (cvs-execute-single-dir): Fix docstring typos.
8196 * vc/pcvs-info.el (cvs-status-map, cvs-states): Fix docstring typos.
8197 (cvs-fileinfo-pp, cvs-fileinfo-from-entries): Doc fixes.
8199 * vc/pcvs-parse.el (cvs-parsed-fileinfo): Reflow docstring.
8201 * vc/pcvs-util.el (cvs-flags-query, cvs-flags-set, cvs-prefix-set):
8202 Fix docstring typos.
8204 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
8206 * frameset.el (frameset--jump-to-register): Add autoload; it could be
8207 called from jump-to-register after unloading the frameset package.
8209 2014-03-11 Stefan Monnier <monnier@iro.umontreal.ca>
8211 * simple.el (set-mark): Ensure mark-active is nil if the mark is nil
8212 (bug#16975). Deactivate the mark before setting it to nil.
8213 (activate-mark): Do nothing if region is already active.
8215 2014-03-11 Juanma Barranquero <lekktu@gmail.com>
8217 * frameset.el (frameset--target-display): Remove definition; declare.
8218 (frameset-save, frameset-restore): Let-bind frameset--target-display.
8220 2014-03-11 Stefan Monnier <monnier@iro.umontreal.ca>
8222 * emacs-lisp/nadvice.el (advice--make-1): Fix autoloading avoidance.
8223 (advice-add): Add a :advice--pending marker, so advice--make-1 knows
8224 when the advice is pending.
8225 (advice-remove): Remove this marker when not needed any more.
8227 2014-03-11 Juanma Barranquero <lekktu@gmail.com>
8229 * frameset.el: Separate options for reusing frames and cleaning up.
8230 (frameset--reuse-list): Remove definition; declare.
8231 (frameset--action-map): Declare.
8232 (frameset--find-frame-if): Doc fix.
8233 (frameset--restore-frame): Cache frame action.
8234 (frameset-restore): New keyword arg CLEANUP-FRAMES, allows to select
8235 how to clean up the frame list after restoring. Remove cleaning
8236 options from REUSE-FRAMES. Change all keyword values to symbols.
8237 (frameset--jump-to-register): Simplify by using CLEANUP-FRAMES.
8239 * desktop.el (desktop-restore-forces-onscreen)
8240 (desktop-restore-reuses-frames): Use non-keyword values.
8241 (desktop-restore-frameset): Use CLEANUP-FRAMES arg of frameset-restore.
8243 2014-03-10 Glenn Morris <rgm@gnu.org>
8245 * files.el (find-file): Doc fix: update info node name.
8247 * emacs-lisp/advice.el (ad-add-advice, defadvice):
8248 Doc fix: remove references to deleted info nodes.
8250 2014-03-10 Michael Albinus <michael.albinus@gmx.de>
8252 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
8253 Do not add nil to the environment, when there's no remote `locale'.
8254 (tramp-find-inline-encoding): Check, that the remote host has
8255 installed perl, before sending scripts.
8257 2014-03-10 Leo Liu <sdl.web@gmail.com>
8259 * emacs-lisp/eldoc.el (eldoc-minibuffer-message):
8260 Clear eldoc-last-message. (Bug#16920)
8262 2014-03-10 Stefan Monnier <monnier@iro.umontreal.ca>
8264 * desktop.el (desktop-create-buffer): Don't run activate-mark-hook
8267 2014-03-09 Juri Linkov <juri@jurta.org>
8269 * ansi-color.el (ansi-color-names-vector): Copy default colors
8270 from `xterm-standard-colors' that look well on the default white
8271 background (and also on the black background) to avoid illegible
8272 color combinations like yellow-on-white and white-on-white.
8273 http://lists.gnu.org/archive/html/emacs-devel/2014-02/msg00157.html
8275 2014-03-08 Juanma Barranquero <lekktu@gmail.com>
8277 * frameset.el (frameset-restore): When no frame is visible, do not
8278 generate a list of frames, just make visible the selected one.
8280 2014-03-08 Dmitry Gutov <dgutov@yandex.ru>
8282 * vc/vc-git.el (vc-git-command): Turn FILE-OR-LIST into nil when
8283 it only contains the repository root. (Bug#16897)
8285 2014-03-07 Michael Albinus <michael.albinus@gmx.de>
8287 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Run first pass
8288 only when `remote-file-name-inhibit-cache' is nil.
8289 (tramp-sh-file-name-handler): Use `tramp-error'. Simplify code.
8291 2014-03-06 Martin Rudalics <rudalics@gmx.at>
8293 * window.el (fit-frame-to-buffer, fit-frame-to-buffer-margins):
8295 (fit-frame-to-buffer): New argument ONLY. Remove dependency on
8296 fit-frame-to-buffer variable. Fix doc-string.
8297 (fit-window-to-buffer): Set ONLY argument in call of
8298 fit-frame-to-buffer. Fix doc-string.
8300 2014-03-06 Michael Albinus <michael.albinus@gmx.de>
8302 * net/tramp.el (tramp-error): VEC-OR-PROC can be nil.
8303 (tramp-action-password): Clear password cache if needed.
8304 (tramp-read-passwd): Do not clear password cache.
8306 * net/tramp-gvfs.el (tramp-gvfs-handler-askpassword): Clear password
8307 cache unless it is the first password request.
8309 2014-03-06 Glenn Morris <rgm@gnu.org>
8311 * simple.el (newline): Doc tweak.
8313 * emacs-lisp/shadow.el (load-path-shadows-find):
8314 Ignore dir-locals. (Bug#12357)
8316 2014-03-05 Glenn Morris <rgm@gnu.org>
8318 * files.el (interpreter-mode-alist):
8319 * progmodes/sh-script.el (sh-ancestor-alist): Add dash. (Bug#16938)
8321 2014-03-05 Juanma Barranquero <lekktu@gmail.com>
8323 * frameset.el (frameset--initial-params): Filter out null entries.
8325 2014-03-05 Martin Rudalics <rudalics@gmx.at>
8327 * window.el (window-min-height, window-min-width):
8328 Rewrite doc-strings.
8329 (window-body-size): Add PIXELWISE argument to make it consistent
8332 2014-03-05 Juanma Barranquero <lekktu@gmail.com>
8334 * finder.el (finder-mode-map, finder-mode-syntax-table):
8335 Revert part of 2014-02-28 change.
8337 2014-03-05 Lars Ingebrigtsen <larsi@gnus.org>
8339 * net/eww.el (eww-mode-map): [tab] doesn't work on tty.
8340 (eww-setup-buffer): Clear next/prev/etc more reliably.
8341 (eww-textarea-map): [tab] doesn't work on tty.
8342 Reported by Mario Lang.
8344 * net/shr.el (shr-map): Ditto.
8346 2014-03-04 Glenn Morris <rgm@gnu.org>
8348 * minibuffer.el (completion-hilit-commonality):
8349 Revert 2014-03-01 short-cut, which changed the return value. (Bug#16933)
8351 2014-03-04 Juanma Barranquero <lekktu@gmail.com>
8353 * hilit-chg.el (hilit-chg-unload-function): New function.
8354 (highlight-changes-mode, highlight-changes-visible-mode): Fix typos.
8355 (hilit-chg-map-changes): Prefer cardinal number to digit.
8356 (hilit-chg-display-changes): Reflow docstring.
8357 (highlight-changes-rotate-faces): Remove superfluous backslash.
8359 2014-03-04 Michael Albinus <michael.albinus@gmx.de>
8361 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Do not call
8362 `tramp-send-command-and-check'.
8364 2014-03-04 Juanma Barranquero <lekktu@gmail.com>
8366 * hexl.el (hexl-address-region, hexl-ascii-region)
8367 (hexl-goto-hex-address, hexl-backward-char, hexl-forward-char)
8368 (hexl-backward-short, hexl-forward-short, hexl-backward-word)
8369 (hexl-forward-word, hexl-previous-line, hexl-next-line):
8370 Use "Hexl mode" for non-hyperlinked hexl-mode references in docstrings.
8371 (hexl-mode): Doc fix.
8372 (hexl-ascii-start-column, hexl-beginning-of-line, hexl-end-of-line)
8373 (hexl-mode-ruler): Fix typos in docstrings.
8375 * strokes.el (strokes-xpm-header, strokes-rate-stroke): Fix typos.
8376 (strokes-character, strokes-get-grid-position, strokes-list-strokes):
8377 Remove superfluous backslashes.
8378 (strokes-last-stroke, strokes-global-map, strokes-mode):
8380 (strokes-xpm-for-stroke, strokes-xpm-to-compressed-string)
8381 (strokes-xpm-for-compressed-string): Use quotes with buffer name.
8382 (strokes-distance-squared, strokes-global-set-stroke)
8383 (strokes-global-set-stroke-string): Doc fixes.
8384 (strokes-help): Fix typos; reflow docstring.
8386 2014-03-04 Martin Rudalics <rudalics@gmx.at>
8388 * window.el (window-in-direction): Fix doc-string.
8390 2014-03-04 Glenn Morris <rgm@gnu.org>
8392 * emacs-lisp/smie.el (smie-config-guess): Doc fix.
8393 Explicit error if no grammar.
8394 (smie-config-save): Doc fix. Fix quote typo.
8396 2014-03-04 Stefan Monnier <monnier@iro.umontreal.ca>
8398 * progmodes/cc-mode.el (c-initialize-cc-mode): Only hook into
8399 electric-indent-mode-hook if we obey electric-indent-mode.
8400 (c-basic-common-init): Use (fboundp 'electric-indent-local-mode) to
8401 decide whether we obey electric-indent-mode.
8402 (c-change-set-fl-decl-start, c-extend-after-change-region):
8404 (c-electric-indent-mode-hook): Assume we do want to obey
8405 electric-indent-mode.
8407 * electric.el (electric-indent-mode-has-been-called): Remove.
8408 (electric-indent-mode): Fix accordingly.
8410 * files.el (hack-local-variables): Mention file name in warning.
8412 * htmlfontify.el (hfy-fontify-buffer): Drop `invis-range' message.
8414 2014-03-04 Michal Nazarewicz <mina86@mina86.com>
8416 * bindings.el: Add comment describing why C-d binds to `delete-char'.
8417 * simple.el (delete-forward-char): Mark as interactive-only.
8419 2014-03-03 Juanma Barranquero <lekktu@gmail.com>
8421 * icomplete.el (icomplete-completions):
8422 Follow-up to 2014-03-01 change.
8424 * icomplete.el: Miscellaneous doc fixes.
8425 Use Icomplete everywhere instead of icomplete for consistency.
8426 (icomplete-max-delay-chars): Fix typo.
8427 (icomplete-mode): Use \[].
8428 (icomplete-tidy, icomplete-exhibit): Reflow.
8429 (icomplete-minibuffer-setup-hook, icomplete-completions):
8430 Remove superfluous backlashes.
8432 * ido.el: Miscellaneous doc fixes.
8433 Use Ido everywhere instead of ido or `ido' for consistency.
8434 (ido-record-ftp-work-directories, ido-merge-ftp-work-directories)
8435 (ido-cache-ftp-work-directory-time, ido-slow-ftp-hosts)
8436 (ido-slow-ftp-host-regexps, ido-reread-directory): Upcase "ftp".
8437 (ido-separator): Extract obsolescence info from docstring and declare
8438 with make-obsolete-variable.
8439 (ido-minibuffer-setup-hook): Simplify example.
8440 (ido-text, ido-text-init, ido-input-stack, ido-report-no-match)
8441 (ido-wide-find-file, ido-wide-find-dir, ido-wide-find-dir-or-delete-dir)
8442 (ido-completion-help, ido-completing-read): Fix typos in docstrings.
8443 (ido-everywhere): Reflow docstring.
8444 (ido-toggle-vc): Doc fix.
8445 (ido-switch-buffer, ido-find-file): Use tabs to improve legibility
8446 of long list of keybindings.
8448 2014-03-03 Glenn Morris <rgm@gnu.org>
8450 * frame.el (display-pixel-height, display-pixel-width)
8451 (display-mm-dimensions-alist, display-mm-height)
8452 (display-mm-width): Doc tweaks.
8454 2014-03-02 Barry O'Reilly <gundaetiapo@gmail.com>
8456 * simple.el (undo-elt-in-region): Fix buffer corruption for edge
8457 case of undo in region.
8459 2014-03-02 Martin Rudalics <rudalics@gmx.at>
8461 * window.el (fit-window-to-buffer): Fix argument in window-size
8462 call when window is horizontally combined.
8464 2014-03-02 Juanma Barranquero <lekktu@gmail.com>
8466 * icomplete.el (icomplete-completions): Use string-width.
8467 Suggested by Stefan Monnier <monnier@iro.umontreal.ca>.
8469 2014-03-01 Dmitry Gutov <dgutov@yandex.ru>
8471 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
8472 Highlight regexp options. (Bug#16914)
8474 2014-03-01 Martin Rudalics <rudalics@gmx.at>
8476 * window.el (window--max-delta-1): Round down when calculating
8477 how many lines/columns we can get from a window.
8479 2014-03-01 Glenn Morris <rgm@gnu.org>
8481 * isearch.el (search-invisible): Doc fix.
8483 * minibuffer.el (completion-hilit-commonality):
8484 Make `base-size' argument optional. Short-cut if `prefix-len' is 0.
8485 * comint.el (comint-dynamic-list-completions): Doc fix.
8486 * comint.el (comint-dynamic-list-completions):
8487 * filecache.el (file-cache-minibuffer-complete):
8488 * tempo.el (tempo-display-completions):
8489 * eshell/em-hist.el (eshell-list-history):
8490 Replace use of obsolete argument of display-completion-list.
8492 2014-03-01 Juanma Barranquero <lekktu@gmail.com>
8494 * icomplete.el (icomplete-completions):
8495 Revert back to using "..." when ?… cannot be displayed.
8497 2014-02-28 Juanma Barranquero <lekktu@gmail.com>
8499 * finder.el (finder-unload-function): New function.
8501 2014-02-28 Juanma Barranquero <lekktu@gmail.com>
8503 * dframe.el (dframe-detach):
8504 * find-dired.el (find-dired, find-name-dired):
8505 * finder.el (finder-mode-map, finder-mode-syntax-table)
8506 (finder-headmark, finder-select, finder-mouse-select):
8507 Fix docstring typos.
8509 2014-02-28 Martin Rudalics <rudalics@gmx.at>
8511 Revert recent with-temp-buffer-window change (Bug#16816, Bug#16882).
8512 * window.el (with-temp-buffer-window): Revert change from 2014-02-21.
8513 Suggested by Thierry Volpiatto <thierry.volpiatto@gmail.com>.
8514 Fix doc-string based on a suggestion by Nicolas Richard
8515 <theonewiththeevillook@yahoo.fr>.
8516 * help.el (with-help-window): Fix doc-string.
8518 2014-02-28 Ivan Kanis <ivan@kanis.fr>
8520 * net/shr.el (shr-image-animate): New option.
8521 (shr-put-image): Respect shr-image-animate.
8523 2014-02-28 Michael Albinus <michael.albinus@gmx.de>
8525 * net/tramp-adb.el (tramp-adb-parse-device-names):
8526 Use `accept-process-output'.
8527 (tramp-adb-handle-file-truename): Cache the localname only.
8528 (tramp-adb-handle-make-directory)
8529 (tramp-adb-handle-delete-directory): Flush file properties correctly.
8530 (tramp-adb-handle-set-file-modes): Do not raise an error when file
8531 modes cannot be changed.
8533 * net/tramp-cache.el (tramp-flush-directory-property): Remove also
8534 file properties of symlinks.
8536 2014-02-28 Per Starbäck <starback@stp.lingfil.uu.se>
8538 * textmodes/bibtex.el (bibtex-biblatex-entry-alist): Update
8539 required/optional fields to match development biblatex. (Bug#16781)
8541 2014-02-28 Andy Sawyer <andy.sawyer@gmail.com> (tiny change)
8543 * saveplace.el (toggle-save-place):
8544 Fix argument handling. (Bug#16673)
8546 2014-02-28 Glenn Morris <rgm@gnu.org>
8548 * minibuffer.el (completions-first-difference)
8549 (completions-common-part, completion-hilit-commonality): Doc fixes.
8551 2014-02-28 Karl Berry <karl@gnu.org>
8553 * info.el (Info-mode-map): Add H for describe-mode,
8554 to synchronize with standalone Info.
8556 2014-02-28 Emilio C. Lopes <eclig@gmx.net>
8558 * progmodes/sql.el (sql-interactive-mode):
8559 Avoid setting global comint-input-ring-separator. (Bug#16814)
8561 2014-02-27 Michael Albinus <michael.albinus@gmx.de>
8563 * net/dbus.el (dbus--init-bus): Declare function.
8564 (dbus-path-local, dbus-interface-local): New defconst.
8565 (dbus-init-bus): Use them.
8566 (dbus-return-values-table): Extend doc.
8567 (dbus-handle-bus-disconnect): Extend error message.
8569 2014-02-27 Juanma Barranquero <lekktu@gmail.com>
8571 * subr.el (y-or-n-p): Fix double space issue in message.
8573 2014-02-27 Michael Albinus <michael.albinus@gmx.de>
8575 * net/tramp.el (tramp-call-process): Improve trace message.
8576 (tramp-handle-insert-file-contents): Trace error case.
8578 * net/tramp-adb.el (tramp-adb-file-name-handler-alist)
8579 <insert-directory>: Use `tramp-handle-insert-directory'.
8580 (tramp-adb-handle-insert-directory): Remove function.
8581 (tramp-adb-send-command-and-check): New defun, replacing
8582 `tramp-adb-command-exit-status'. Change all callees.
8583 (tramp-adb-handle-file-attributes)
8584 (tramp-adb-handle-directory-files-and-attributes): Use it.
8585 (tramp-adb-ls-output-name-less-p):
8586 Use `directory-listing-before-filename-regexp'.
8587 (tramp-adb-handle-delete-directory): Flush also file properties of
8588 the truename of directory.
8589 (tramp-adb-handle-file-name-all-completions): Add "./" and "../".
8590 (tramp-adb-handle-file-local-copy): Make the local copy readable.
8591 (tramp-adb-handle-write-region): Implement APPEND.
8592 (tramp-adb-handle-rename-file): Make it more robust. Flush file
8593 properties correctly.
8594 (tramp-adb-maybe-open-connection): Set `tramp-current-*'
8595 variables. Check for connected devices only when needed.
8597 2014-02-27 Glenn Morris <rgm@gnu.org>
8599 * minibuffer.el (completion-table-dynamic)
8600 (completion-table-with-cache): Doc fixes.
8602 * emacs-lisp/crm.el (crm-default-separator, crm-separator)
8603 (completing-read-multiple): Doc fixes.
8605 2014-02-27 Daniel Colascione <dancol@dancol.org>
8607 * minibuffer.el (completion--nth-completion): Fix indentation.
8609 * net/tramp-sh.el (tramp-get-remote-path): Don't signal error when
8610 explicit tramp path is empty.
8612 2014-02-27 Glenn Morris <rgm@gnu.org>
8614 * emacs-lisp/crm.el (completing-read-multiple):
8615 Empower help-enable-auto-load.
8617 2014-02-26 Glenn Morris <rgm@gnu.org>
8619 * startup.el (command-line): Don't init the tty in daemon mode.
8621 Avoid calling tty-setup-hook twice, eg if a term file
8622 explicitly calls tty-run-terminal-initialization. (Bug#16859)
8623 * faces.el (tty-run-terminal-initialization): Add run-hook argument.
8624 (tty-create-frame-with-faces): Use it.
8625 * startup.el (command-line): Pass run-hook argument
8626 to tty-run-terminal-initialization.
8628 * dired.el (dired-restore-desktop-buffer): Demote errors;
8629 eg in case a glob match fails. (Bug#16884)
8631 2014-02-26 Dmitry Gutov <dgutov@yandex.ru>
8633 * emacs-lisp/lisp.el (lisp--local-variables): Catch `end-of-file'
8634 error from `read-from-string'. (Bug#16850)
8636 * emacs-lisp/ert.el (ert-run-tests-interactively): `read' the
8637 result of `completing-read' in the interactive form. (Bug#16854)
8639 2014-02-25 Glenn Morris <rgm@gnu.org>
8641 * image.el (image-animate, image-animate-timeout):
8642 Stop animating images in dead buffers. (Bug#16878)
8644 * emacs-lisp/edebug.el (defmacro): Fix debug spec. (Bug#16868)
8646 * faces.el (tty-setup-hook, tty-run-terminal-initialization):
8648 * startup.el (term-setup-hook): Doc fix. Make obsolete.
8649 * term/sun.el (sun-raw-prefix-hooks):
8650 Use tty-setup-hook instead of term-setup-hook.
8651 (terminal-init-sun): Construct message from bytecomp plist.
8652 * term/wyse50.el (enable-arrow-keys): Doc fix.
8654 2014-02-24 Juanma Barranquero <lekktu@gmail.com>
8656 * term/sun.el (kill-region-and-unmark, sun-raw-prefix-hooks):
8657 Fix docstring typos.
8659 2014-02-24 Michael Albinus <michael.albinus@gmx.de>
8661 * net/tramp-sh.el (tramp-sh-handle-file-truename): Improve last fix.
8663 2014-02-24 Nicolas Richard <theonewiththeevillook@yahoo.fr>
8665 * minibuffer.el (completion--try-word-completion):
8666 Fix error when completing M-x commands (bug#16808).
8668 2014-02-24 Leo Liu <sdl.web@gmail.com>
8670 * emacs-lisp/easy-mmode.el (define-minor-mode): Fix debug spec.
8672 2014-02-24 Juanma Barranquero <lekktu@gmail.com>
8674 * apropos.el (apropos-print): Avoid formatting error when
8675 apropos-do-all and apropos-compact-layout are both t.
8677 2014-02-23 Juanma Barranquero <lekktu@gmail.com>
8679 * apropos.el (apropos-property, apropos-all-words-regexp)
8680 (apropos-true-hit, apropos-variable, apropos-print):
8681 Fix docstring typos, and remove obsolete comment.
8683 2014-02-23 Michael Albinus <michael.albinus@gmx.de>
8685 * net/tramp-sh.el (tramp-sh-handle-file-truename):
8686 Preserve trailing "/". (Bug#16851)
8688 2014-02-23 Dmitry Gutov <dgutov@yandex.ru>
8690 * progmodes/ruby-mode.el (ruby-smie-rules): Don't indent specially
8691 after `=>' (bug#16811).
8692 (ruby-smie-rules): Handle the inconsistent second element of the
8693 list returned by `smie-indent--parent'.
8694 (ruby-font-lock-keywords): Disqualify any identifier before `=' as
8697 2014-02-23 Juanma Barranquero <lekktu@gmail.com>
8699 * elec-pair.el (electric-pair-text-syntax-table)
8700 (electric-pair-syntax-info, electric-pair--syntax-ppss)
8701 (electric-pair--balance-info, electric-pair-mode): Fix docstring typos.
8702 (electric-pair--looking-at-unterminated-string-p): Doc fix.
8703 (electric-pair--inside-string-p): Doc fix. Use `let', not `let*'.
8705 2014-02-22 Glenn Morris <rgm@gnu.org>
8707 * imenu.el (imenu--generic-function): Doc fix.
8709 * register.el (frame-configuration-to-register): Make obsolete.
8711 2014-02-22 Juanma Barranquero <lekktu@gmail.com>
8713 * desktop.el (desktop-save-buffer-p): Do not fail when
8714 desktop-files-not-to-save is nil. Return t for true result
8717 2014-02-22 Daniel Colascione <dancol@dancol.org>
8719 * net/secrets.el (secrets-create-item, secrets-search-items):
8720 Check that attribute values are strings, avoiding the construction
8721 of invalid dbus messages.
8723 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
8725 * emacs-lisp/gv.el: Avoid duplicating gv-expander and gv-setter in
8726 defun-declarations-alist.
8728 2014-02-21 Stefan Monnier <monnier@iro.umontreal.ca>
8730 * emacs-lisp/cl-macs.el (cl-define-compiler-macro): Add indent rule
8733 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
8735 * whitespace.el (whitespace-space, whitespace-hspace, whitespace-tab)
8736 (whitespace-newline, whitespace-trailing, whitespace-line)
8737 (whitespace-space-before-tab, whitespace-indentation, whitespace-empty)
8738 (whitespace-space-after-tab): Fix typo in docstrings.
8740 2014-02-21 Dmitry Gutov <dgutov@yandex.ru>
8742 * progmodes/ruby-mode.el (auto-mode-alist): Add missing "or".
8744 * electric.el (electric-indent-functions-without-reindent):
8745 Add `yaml-indent-line'.
8747 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
8749 * w32-vars.el (w32-enable-synthesized-fonts): Mark as obsolete.
8750 It has done nothing for years; should be removed after the release.
8752 * simple.el (choose-completion): Fix docstring typo.
8753 (read-quoted-char-radix): Remove unneeded * in docstring.
8754 (process-file, kill-whole-line, pop-to-mark-command, set-mark-command):
8755 Don't escape parentheses unnecessarily in docstrings.
8757 2014-02-21 Martin Rudalics <rudalics@gmx.at>
8759 Fix handling of window-min-height/-width (Bug#16738).
8760 * window.el (window--dump-window, window--dump-frame):
8762 (window--min-size-1): Account for window dividers.
8763 When window-resize-pixelwise is nil, delay rounding till after the
8764 sum of the window components has been calculated.
8765 (window--min-delta-1, window--max-delta-1): When PIXELWISE is
8766 nil make sure at least one text line and two text columns remain
8768 (window-resize): Signal an error when window-resize-apply fails.
8769 (window--resize-child-windows): Fix calculation of by how many
8770 pixels a window can still be shrunk via window-new-normal.
8771 (adjust-window-trailing-edge): Call window--resizable with
8772 correct TRAIL argument.
8774 (with-temp-buffer-window): Don't evaluate BODY within
8775 with-current-buffer (Bug#16816).
8777 2014-02-21 Michael Albinus <michael.albinus@gmx.de>
8779 * net/tramp.el (tramp-check-cached-permissions):
8780 Call `file-attributes' with `suffix' being a symbol but a string.
8782 2014-02-21 Daniel Colascione <dancol@dancol.org>
8784 * net/dbus.el (dbus-init-bus-1): Declare new subr.
8785 (dbus-init-bus): New function: call into dbus-init-bus-1
8786 and installs a handler for the disconnect signal.
8787 (dbus-call-method): Rewrite to look for result in cons.
8788 (dbus-call-method-handler): Store result in cons.
8789 (dbus-check-event): Recognize events with nil sender as valid.
8790 (dbus-handle-bus-disconnect): New function. React to bus
8791 disconnection signal by synthesizing dbus error for each
8792 pending synchronous or asynchronous call.
8793 (dbus-notice-synchronous-call-errors): New function.
8794 (dbus-handle-event): Raise errors directly only when `dbus-debug'
8795 is true, not all the time.
8797 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
8799 * w32-fns.el (w32-enable-italics, w32-charset-to-codepage-alist):
8800 Remove obsolescence declarations, these variables do not exist anymore.
8802 * savehist.el (savehist-save-minibuffer-history)
8803 (savehist-additional-variables, savehist-file, savehist-mode-hook)
8804 (savehist-save-hook, savehist-coding-system, savehist-loaded)
8805 (savehist-load, savehist-install, savehist-autosave): Fix typos;
8806 mostly, refer to "Savehist mode" when talking about the mode,
8807 and not the function.
8809 * saveplace.el (save-place): Remove redundant info in docstring.
8810 (save-place-forget-unreadable-files, toggle-save-place)
8811 (save-place-forget-unreadable-files, save-place-dired-hook):
8812 Fix typos and remove unneeded backslashes.
8814 2014-02-20 Michael Albinus <michael.albinus@gmx.de>
8816 * net/tramp.el (ls-lisp-use-insert-directory-program): Declare.
8817 (tramp-handle-insert-directory): New defun, taken from tramp-gvfs.el.
8819 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
8820 <insert-directory>: Use `tramp-handle-insert-directory'.
8821 (tramp-gvfs-handle-insert-directory): Remove function.
8823 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
8824 Call `tramp-handle-insert-directory'.
8826 2014-02-20 Juanma Barranquero <lekktu@gmail.com>
8828 * elec-pair.el (electric-pair-syntax-info): Do not check syntax
8829 before the start of buffer/region (bug#16799).
8831 2014-02-20 Glenn Morris <rgm@gnu.org>
8833 * isearch.el (search-invisible): Doc fix.
8835 2014-02-20 W. Trevor King <wking@tremily.us> (tiny change)
8837 * term/xterm.el (xterm--version-handler): Adapt to xterm-280's output
8840 2014-02-19 Juanma Barranquero <lekktu@gmail.com>
8842 * frameset.el (frameset-restore): Delay removing an old frame's
8843 duplicate id until the new frame has been correctly created.
8845 2014-02-19 Michael Albinus <michael.albinus@gmx.de>
8847 * net/tramp.el (tramp-handle-make-symbolic-link): New defun.
8848 (tramp-check-cached-permissions): Call `file-attributes' if the
8851 * net/tramp-adb.el (tramp-adb-file-name-handler-alist)
8852 <make-symbolic-link>: Use `tramp-handle-make-symbolic-link'.
8854 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
8855 <make-symbolic-link>: Use `tramp-handle-make-symbolic-link'.
8856 (tramp-gvfs-maybe-open-connection): Set always connection
8857 properties, even if target is mounted already.
8859 * net/tramp-sh.el (tramp-color-escape-sequence-regexp):
8860 Set tramp-autoload cookie.
8861 (tramp-get-remote-touch): New defun.
8862 (tramp-sh-handle-set-file-times): Use it.
8863 (tramp-sh-handle-directory-files-and-attributes):
8864 Use `tramp-handle-directory-files-and-attributes' if neither stat
8865 nor perl are available on the remote host.
8867 * net/tramp-smb.el (tramp-smb-handle-insert-directory): Mark trailing
8868 "/". Write long listing only when "l" belongs to the switches.
8870 * net/trampver.el: Update release number.
8872 2014-02-19 Juanma Barranquero <lekktu@gmail.com>
8874 * frameset.el (frameset--reuse-frame): Remove workaround for bug#16793.
8876 2014-02-19 Martin Rudalics <rudalics@gmx.at>
8878 * window.el (window-state-put): Allow WINDOW to refer to an
8879 internal window (Bug#16793).
8881 2014-02-19 Glenn Morris <rgm@gnu.org>
8883 * textmodes/remember.el: Move provide statement to end.
8884 (remember-mode-map, remember-notes-mode-map, remember-notes-mode)
8885 (remember-notes): Doc fixes.
8887 2014-02-18 Stefan Monnier <monnier@iro.umontreal.ca>
8889 * delsel.el (delete-char): Restore incorrectly erased property
8892 2014-02-18 Juanma Barranquero <lekktu@gmail.com>
8894 * frameset.el (frameset--restore-frame): When a frame is being reused
8895 and its root window is not alive, delete all the frame's windows before
8896 restoring the window state. This works around the issue in bug#16793.
8898 2014-02-18 Glenn Morris <rgm@gnu.org>
8900 * textmodes/remember.el (remember-data-directory)
8901 (remember-directory-file-name-format, remember-store-in-files)
8902 (remember-notes-initial-major-mode, remember-notes-bury-on-kill)
8903 (remember-notes-save-and-bury-buffer)
8904 (remember-notes--kill-buffer-query): Doc fixes.
8906 * desktop.el (desktop-save-mode, desktop-auto-save-timeout): Doc fixes.
8908 2014-02-17 Alan Mackenzie <acm@muc.de>
8910 Connect electric-indent-mode up with CC Mode. Bug #15478.
8911 * progmodes/cc-mode.el (c-initialize-cc-mode): Add CC Mode hooks
8912 to electric-indent-{,local-}-mode.
8913 (c-basic-common-init): Set electric-indent-inhibit.
8914 Initialise c-electric-flag from electric-indent-mode.
8915 (c-electric-indent-mode-hook, c-electric-indent-local-mode-hook):
8916 New hook functions which propagate electric-indent-mode to CC mode.
8918 * progmodes/cc-cmds.el (c-toggle-electric-state): When C-c C-l is
8919 hit, toggle electric-indent-local-mode.
8921 * electric.el (electric-indent-mode-has-been-called):
8924 2014-02-17 Juanma Barranquero <lekktu@gmail.com>
8926 * frameset.el (frameset-cfg-id): New function.
8927 (frameset--reuse-frame, frameset-restore): Use it.
8928 (frameset--jump-to-register): Try harder to reuse frames (bug#16748).
8930 2014-02-17 Stefan Monnier <monnier@iro.umontreal.ca>
8932 * ido.el (ido-file-internal): Remove unused var `d'.
8933 Use \` for to match BoS. Fit within 80n columns.
8935 2014-02-17 Daniel Colascione <dancol@dancol.org>
8937 * net/dbus.el (dbus-call-method): Work around bug#16775 by having
8938 dbus-call-method check for completion using a busy-wait loop with
8941 2014-02-16 Michael Albinus <michael.albinus@gmx.de>
8943 Sync with Tramp 2.2.9.
8945 * net/trampver.el: Update release number.
8947 2014-02-16 Dmitry Gutov <dgutov@yandex.ru>
8949 * ido.el (ido-file-internal): Don't add the name of an existing
8950 directory twice. (Bug#16747)
8952 2014-02-16 Glenn Morris <rgm@gnu.org>
8954 * vc/ediff-init.el (ediff-use-faces, ediff-highlight-all-diffs):
8955 Do not use ediff-defvar-local on pre-defined variables. (Bug#16744)
8957 2014-02-15 Michael R. Mauger <michael@mauger.com>
8959 * progmodes/sql.el: Version 3.4
8960 (sql-oracle-options): New default value ("-L").
8961 (sql-mode-oracle-font-lock-keywords): Add placeholder highlighting.
8962 (sql-placeholders-filter): Correct placeholder pattern.
8963 (sql-read-table-name): Bug fix. Detect absence of SQLi process.
8964 (sql-login-delay): New variable.
8965 (sql-product-interactive): Use it.
8967 2014-02-15 Juanma Barranquero <lekktu@gmail.com>
8969 * frameset.el (frameset--jump-to-register): Check that buffer is live
8972 2014-02-15 Glenn Morris <rgm@gnu.org>
8974 * info.el (info-initialize): Revert 2014-01-10 change.
8976 2014-02-14 Glenn Morris <rgm@gnu.org>
8978 * replace.el (map-query-replace-regexp)
8979 (read-regexp-defaults-function, read-regexp): Doc fixes.
8981 * dired.el (dired-read-regexp):
8982 * faces.el (list-faces-display):
8983 * misearch.el (multi-isearch-read-matching-buffers)
8984 (multi-isearch-read-matching-files):
8985 * play/cookie1.el (cookie-apropos):
8986 * progmodes/grep.el (grep-read-regexp): Doc fixes.
8988 * textmodes/remember.el (remember): Use frameset-to-register
8989 rather than frame-configuration-to-register.
8991 2014-02-14 Jay Belanger <jay.p.belanger@gmail.com>
8993 * calc/calc-menu.el (calc-vectors-menu): Remove menu item for
8994 incorrect keybinding.
8996 2014-02-13 Daniel Colascione <dancol@dancol.org>
8998 * progmodes/flymake.el (flymake-post-syntax-check): Widen buffer
8999 when adding overlays so that line numbers from compiler match line
9002 2014-02-13 Glenn Morris <rgm@gnu.org>
9004 * mail/rmail.el (rmail-probe): Be less strict. (Bug#16743)
9006 * jit-lock.el (jit-lock-mode): Doc fix.
9008 2014-02-13 Juanma Barranquero <lekktu@gmail.com>
9010 * apropos.el (apropos-read-pattern): When the user passes an empty
9011 string, give a more helpful error message than "Wrong type
9012 argument: stringp, nil".
9014 2014-02-13 Stefan Monnier <monnier@iro.umontreal.ca>
9016 * jit-lock.el (jit-lock-mode): Keep it disabled in indirect buffers.
9018 2014-02-13 Glenn Morris <rgm@gnu.org>
9020 * finder.el (finder-known-keywords, finder-mode-map): Doc fixes.
9022 2014-02-12 Stefan Monnier <monnier@iro.umontreal.ca>
9024 * emulation/cua-base.el (cua-scroll-up, cua-scroll-down): Mark them as
9025 shift-select commands.
9027 2014-02-12 Dmitry Gutov <dgutov@yandex.ru>
9029 * progmodes/js.el (js-indent-line): Don't widen.
9030 http://lists.gnu.org/archive/html/emacs-devel/2012-06/msg00276.html
9032 2014-02-12 Glenn Morris <rgm@gnu.org>
9034 * icomplete.el (icomplete): Add info-link to defgroup.
9035 (icomplete-with-completion-tables, icomplete-minibuffer-setup-hook)
9036 (icomplete-minibuffer-map, icomplete-mode)
9037 (icomplete-simple-completing-p, icomplete-completions): Doc fixes.
9039 * emacs-lisp/package.el (package-menu-mode-map): Tweak menu.
9040 (package-menu-filter): Rename from package-menu-filter-interactive.
9043 2014-02-11 Juanma Barranquero <lekktu@gmail.com>
9045 * frameset.el (frameset--jump-to-register): Select the required
9046 window and buffer before restoring position (bug#16696).
9048 2014-02-11 Lars Ingebrigtsen <larsi@gnus.org>
9050 * dired.el (dired-get-marked-files): Clarify doc (bug#11534).
9052 2014-02-10 Glenn Morris <rgm@gnu.org>
9054 * jit-lock.el (jit-lock-force-redisplay): Doc fix. (Bug#14394)
9056 2014-02-10 Eli Zaretskii <eliz@gnu.org>
9058 * w32-common-fns.el (x-get-selection): Doc fix.
9059 * select.el (x-get-selection): Doc fix. (Bug#15109)
9061 * face-remap.el (face-remap-add-relative)
9062 (face-remap-remove-relative, face-remap-reset-base)
9063 (face-remap-set-base): Call force-mode-line-update to redisplay
9064 the current buffer due to potential change in faces. (Bug#16709)
9066 2014-02-10 Michael Albinus <michael.albinus@gmx.de>
9068 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Apply heredoc
9069 script more robustly.
9071 2014-02-10 Lars Ingebrigtsen <larsi@gnus.org>
9073 * dired.el (dired-get-marked-files): Doc fix (bug#11534).
9075 * simple.el (choose-completion): Doc fix (bug#14160).
9077 * subr.el (event-start): Say what a nil EVENT value means.
9079 * kmacro.el (kmacro-bind-to-key): Say that the parameter is unused
9082 * progmodes/grep.el (find-program): Doc fix (bug#14289).
9084 * files.el (confirm-kill-emacs): Clarify doc (bug#15455).
9086 * emacs-lisp/lisp.el (up-list): Doc fix (bug#15832).
9088 * files.el (confirm-kill-emacs): Allow specifying an arbitrary
9089 predicate function (bug#15455).
9091 2014-02-10 Dmitry Gutov <dgutov@yandex.ru>
9093 * ielm.el (inferior-emacs-lisp-mode): Instead of
9094 `comment-use-global-state', set `comment-use-syntax'.
9096 2014-02-10 Glenn Morris <rgm@gnu.org>
9098 * emacs-lisp/gulp.el (gulp-discard): Add emacs-devel.
9100 2014-02-09 Alan Mackenzie <acm@muc.de>
9102 Fix c-invalidate-state-cache on narrowed buffers.
9103 * progmodes/cc-defs.el (c-with-all-but-one-cpps-commented-out):
9104 Widen when setting and clearing the CPP delimiter properties.
9106 2014-02-09 Lars Ingebrigtsen <larsi@gnus.org>
9108 * help.el (describe-bindings): Doc fix (bug#9888).
9110 * files.el (save-buffer): Use ARG as the parameter name for
9111 consistency (bug#10346).
9112 (save-buffer): Clarify the 0 argument (bug#10346).
9114 * cus-edit.el (customize-apropos): Fix error string.
9115 (custom-buffer-create): Doc fix (bug#11122).
9116 (custom-sort-items): Doc fix (bug#11121).
9118 * repeat.el (repeat-message-function): Reword doc slightly (bug#11619).
9120 * icomplete.el (icomplete-with-completion-tables): Doc fix (bug#11654).
9121 (icomplete-simple-completing-p): Mention the previous variable.
9123 * font-lock.el (font-lock-value-in-major-mode): Clarify the
9124 meaning of the parameter (bug#12282).
9126 * files.el (find-file-noselect): Clarify prompt when changing
9127 readedness (bug#13261).
9128 (locate-file): Suffixes aren't returned, so don't say that they
9130 (backup-inhibited): Doc clarification (bug#12525).
9132 * dired.el (dired-internal-do-deletions): Don't say "Deleting..."
9133 before we actually start to delete things (bug#16331).
9135 * subr.el (event-start): Doc fix (bug#14228).
9138 2014-02-09 Glenn Morris <rgm@gnu.org>
9140 * emacs-lisp/warnings.el (lwarn):
9141 Empower help-enable-auto-load. (Bug#15940)
9143 2014-02-08 Andreas Schwab <schwab@linux-m68k.org>
9145 * vc/log-edit.el (log-edit-comment-to-change-log): Doc fix.
9148 2014-02-08 Michael Albinus <michael.albinus@gmx.de>
9150 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
9151 Insert output at end of buffer. (Bug#16120)
9153 2014-02-08 Lars Ingebrigtsen <larsi@gnus.org>
9155 * simple.el (choose-completion-string-functions): Document new
9156 calling convention (bug#14153).
9157 (execute-extended-command): Clarify doc string (bug#13373).
9159 * kmacro.el (kmacro-exec-ring-item): Doc fix (bug#14198).
9161 * find-dired.el (find-name-dired): Doc fix (bug#14290).
9162 (find-grep-dired): Doc fix (bug#14288).
9164 2014-02-08 Juri Linkov <juri@jurta.org>
9166 * isearch.el (isearch-quote-char): Check character validity
9167 like in `quoted-insert' (bug#16677).
9169 2014-02-08 Lars Ingebrigtsen <larsi@gnus.org>
9171 * files.el (find-file-visit-truename): Doc clarification (bug#14697).
9173 * isearch.el (isearch-hide-immediately): Doc clarification
9176 * simple.el (line-move): Document utility function used many
9177 places in the Emacs sources (bug#14843).
9179 * dired.el (dired-mode-map): Make :help text more accurate (bug#14893).
9180 (dired-prev-marked-file): Doc fix (bug#14855).
9181 (dired-up-directory): Doc fix (bug#14848).
9183 * minibuffer.el (read-file-name): Doc clarification (bug#15096).
9185 * files.el (file-relative-name): Doc fix (bug#15159).
9187 * fringe.el (fringe-styles): Doc fix (bug#15239).
9189 * isearch.el (isearch-filter-predicate): Documentation typo fix
9192 * info-look.el (info-lookup-symbol): Document MODE (bug#15498).
9194 * isearch.el (isearch-cmds): Doc clarification (bug#15547).
9196 * replace.el (replace-match-maybe-edit): Doc clarification
9199 * subr.el (add-to-list): Refill the paragraphs (bug#15791).
9201 * macros.el (insert-kbd-macro): Doc fix (bug#16025).
9203 2014-02-08 Glenn Morris <rgm@gnu.org>
9205 * help-fns.el (describe-variable):
9206 Check {file,dir}-local-variables-alist, and buffer-file-name,
9207 in the correct buffer.
9209 2014-02-08 Ingo Lohmar <i.lohmar@gmail.com>
9211 * help-fns.el (describe-variable): Fix the case where
9212 a value is directory-local with no dir-locals file. (Bug#16635)
9214 2014-02-08 Glenn Morris <rgm@gnu.org>
9216 * abbrev.el (edit-abbrevs-mode):
9217 Derive from fundamental-mode. (Bug#16682)
9219 2014-02-07 Juanma Barranquero <lekktu@gmail.com>
9221 * simple.el (quoted-insert): Check character validity (bug#16677).
9223 2014-02-07 Juri Linkov <juri@jurta.org>
9225 * desktop.el (desktop-read): Claim the lock when the owner is not
9226 the current process. (Bug#16157)
9228 2014-02-07 Juri Linkov <juri@jurta.org>
9230 * desktop.el (desktop-buffers-not-to-save): Change default from nil
9231 to "\\` ". (Bug#16651)
9233 2014-02-07 Juri Linkov <juri@jurta.org>
9235 * desktop.el (desktop-save-mode): Call `desktop-auto-save-set-timer'
9236 when enabling, and `desktop-auto-save-cancel-timer' when disabling.
9237 (desktop-auto-save-cancel-timer): New function with some code from
9238 `desktop-auto-save-set-timer'.
9239 (after-init-hook): Don't call `desktop-auto-save-set-timer'.
9240 Instead of setting `desktop-save-mode' to nil, call
9241 `desktop-save-mode' with arg 0. (Bug#16630)
9243 2014-02-07 Glenn Morris <rgm@gnu.org>
9245 * hi-lock.el (hi-lock-auto-select-face, hi-lock-line-face-buffer)
9246 (hi-lock-face-buffer, hi-lock-face-phrase-buffer)
9247 (hi-lock-face-symbol-at-point, hi-lock-read-face-name): Doc tweaks.
9249 * obsolete/iswitchb.el: Move to obsolete/.
9250 * simple.el (iswitchb-mode): Add manual autoloads to ease transition,
9251 since obsolete/ is not scanned for autoloads.
9252 * emacs-lisp/authors.el (authors-valid-file-names):
9255 * obsolete/meese.el: Restore as obsolete (deleted 2014-01-11).
9256 Disable now non-functional find-file-hook.
9258 2014-02-06 Michael Albinus <michael.albinus@gmx.de>
9260 * net/tramp-sh.el (tramp-sh-handle-start-file-process): Use "&&"
9261 instead of ";" in order to avoid additional prompts. Let heredoc
9262 scripts read from tty. (Bug#16582)
9263 (tramp-send-command): No special handling of heredocs, it isn't
9266 2014-02-06 Stefan Monnier <monnier@iro.umontreal.ca>
9268 * emacs-lisp/lisp.el (lisp-completion-at-point): Symbols don't start
9269 with a space (bug#16664). Limit the symbols considered to the ones
9270 that are bound or fbound (bug#16646).
9272 2014-02-06 Glenn Morris <rgm@gnu.org>
9274 * epa.el (epa-mail-aliases): Doc fix.
9276 2014-02-06 Dmitry Gutov <dgutov@yandex.ru>
9278 * emacs-lisp/lisp.el (lisp-completion-at-point):
9279 Use `completion-table-merge' instead of `completion-table-in-turn'
9282 * minibuffer.el (completion-table-merge): New function.
9284 2014-02-05 Michael Albinus <michael.albinus@gmx.de>
9286 * net/tramp-sh.el (tramp-end-of-heredoc): New defconst.
9287 (tramp-sh-handle-set-file-acl)
9288 (tramp-sh-handle-start-file-process)
9289 (tramp-sh-handle-write-region, tramp-sh-handle-vc-registered)
9290 (tramp-find-executable, tramp-send-command): Use it.
9292 2014-02-05 Glenn Morris <rgm@gnu.org>
9294 * epa.el (epa-mail-aliases): Fix custom type. Doc tweak.
9296 2014-02-04 Fabián Ezequiel Gallina <fgallina@gnu.org>
9298 * progmodes/python.el (python-shell-send-string)
9299 (python-shell-send-string-no-output): Fix docstring (Bug#16547).
9301 2014-02-04 Anders Lindgren <andlind@gmail.com>
9303 * emacs-lisp/ert.el (ert--activate-font-lock-keywords): Allow dashes in
9304 the names (bug#16620).
9306 2014-02-03 Martin Rudalics <rudalics@gmx.at>
9308 * faces.el (window-divider): New default value. Rewrite doc-string.
9309 (window-divider-first-pixel, window-divider-last-pixel): New faces.
9311 2014-02-03 Dmitry Gutov <dgutov@yandex.ru>
9313 * progmodes/ruby-mode.el (ruby-font-lock-keywords): `private',
9314 `protected' and `public' can also be called without arguments.
9316 2014-02-03 Glenn Morris <rgm@gnu.org>
9318 * register.el (window-configuration-to-register)
9319 (frame-configuration-to-register): Unadvertise unused argument.
9320 * frameset.el (frameset-to-register): Remove unused argument.
9322 * frameset.el (frameset-to-register):
9323 * kmacro.el (kmacro-to-register):
9324 * register.el (increment-register):
9325 * calc/calc-yank.el (calc-copy-to-register, calc-insert-register)
9326 (calc-append-to-register, calc-prepend-to-register):
9327 * play/gametree.el (gametree-layout-to-register)
9328 (gametree-apply-register-layout):
9329 * textmodes/picture.el (picture-clear-rectangle-to-register)
9330 (picture-yank-rectangle-from-register):
9331 * vc/emerge.el (emerge-combine-versions-register):
9332 Use register-read-with-preview to read registers.
9334 2014-02-03 João Távora <joaotavora@gmail.com>
9336 * elec-pair.el (electric-pair-backward-delete-char): Don't error
9337 when at beginning of (possibly narrowed) buffer.
9339 2014-02-02 Daniel Colascione <dancol@dancol.org>
9341 * help-at-pt.el (help-at-pt-string, help-at-pt-maybe-display):
9342 Also try to display local help from just before point.
9344 2014-02-02 Alan Mackenzie <acm@muc.de>
9346 c-parse-state. Don't "append-lower-brace-pair" in certain
9347 circumstances. Also fix an obscure bug where "\\s!" shouldn't be
9348 recognised as a comment.
9350 * progmodes/cc-engine.el (c-state-pp-to-literal): Check for "\\s!"
9351 as well as normal comment starter.
9352 (c-parse-state-get-strategy): Extra return possibility
9354 (c-remove-stale-state-cache): Extra element CONS-SEPARATED in
9355 return value list to indicate replacement of a brace-pair cons
9357 (c-parse-state-1): With 'back-and-forward, only call
9358 c-append-lower-brace-pair-to state-cache when cons-separated.
9360 2014-02-02 Jan Djärv <jan.h.d@swipnet.se>
9362 * term/ns-win.el (ns-suspend-error): New function.
9363 (ns-initialize-window-system): Add ns-suspend-error to
9364 suspend-hook (Bug#16612).
9366 2014-02-02 Daniel Colascione <dancol@dancol.org>
9368 * progmodes/cc-defs.el (c-find-assignment-for-mode):
9369 Make loading cc-mode silent.
9371 2014-02-02 Daniel Colascione <dancol@dancol.org>
9373 * comint.el (comint-prompt-read-only): Change doc to suggest
9376 2014-02-02 Glenn Morris <rgm@gnu.org>
9378 * register.el (register-read-with-preview, point-to-register)
9379 (window-configuration-to-register, frame-configuration-to-register)
9380 (jump-to-register, number-to-register, view-register, insert-register)
9381 (copy-to-register, append-to-register, prepend-to-register)
9382 (copy-rectangle-to-register): Doc fixes.
9384 2014-02-02 Stefan Monnier <monnier@iro.umontreal.ca>
9386 * help-fns.el (help-C-file-name): Handle advised functions (bug#16478).
9387 * emacs-lisp/find-func.el (find-function-C-source): Idem.
9388 * emacs-lisp/nadvice.el (advice--cd*r): New function.
9389 * help-fns.el (describe-function-1): Use it.
9391 2014-02-02 Glenn Morris <rgm@gnu.org>
9393 * register.el (register-preview-default): New function,
9394 split from register-preview.
9395 (register-preview-function): Rename from register-preview-functions,
9397 (register-preview): Use register-preview-function.
9398 (register-read-with-preview): Error on non-character event. (Bug#16595)
9400 2014-02-01 Dmitry Gutov <dgutov@yandex.ru>
9402 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Check for
9403 `:' before binary operators (bug#16609). Don't check for `:'
9404 before `[' and `(', or their syntax status. A percent literal
9405 can't end with either.
9406 (ruby-font-lock-keywords): For built-ins that require arguments,
9407 check that they're followed by something that looks like argument
9410 2014-02-01 Lars Ingebrigtsen <larsi@gnus.org>
9412 * subr.el (butlast): Document what an omitted N means (bug#13437).
9415 2014-01-31 Lars Ingebrigtsen <larsi@gnus.org>
9417 * net/shr.el (shr-generic): Make into a defsubst to make the stack
9418 depth shallower (bug#16587).
9419 (shr-tag-svg): Respect `shr-inhibit-images'.
9420 (shr-dom-to-xml): Respect `shr-blocked-images' (bug#15882).
9422 2014-01-31 Dmitry Gutov <dgutov@yandex.ru>
9424 * progmodes/ruby-mode.el (ruby-align-chained-calls): New option.
9425 (ruby-smie-grammar): Make "." right-associative. Make its priority
9426 lower than the ternary and all binary operators.
9427 (ruby-smie-rules): Indent "(" relative to the first non-"."
9428 parent, or the first "." parent at indentation.
9429 Use `ruby-align-chained-calls' for indentation of "." tokens.
9432 2014-01-31 Juri Linkov <juri@jurta.org>
9434 * sort.el (delete-duplicate-lines): Remove `:weakness 'key'
9435 from `make-hash-table'.
9437 * textmodes/ispell.el (ispell-init-process): Change message format
9438 to be consistent with other messages.
9440 2014-01-31 Glenn Morris <rgm@gnu.org>
9442 * delsel.el (delete-selection-mode): Doc fix.
9444 * emacs-lisp/trace.el (trace--read-args, trace-function-foreground)
9445 (trace-function-background): Doc fixes.
9447 * ido.el (ido-use-virtual-buffers): Doc fix.
9448 Reset :version, since the default value has not changed.
9450 * register.el (register-preview-delay, register-read-with-preview):
9453 * mail/reporter.el (reporter-dump-variable): In case of void-variable,
9454 do not mess with mail-buffer position (fixes 2009-11-03 change).
9455 * progmodes/cc-mode.el (c-submit-bug-report):
9456 Check auto-fill-mode is bound. (Bug#16592)
9458 2014-01-31 Darren Hoo <darren.hoo@gmail.com>
9460 * startup.el (fancy-splash-image-file): New function,
9461 split from fancy-splash-head.
9462 (fancy-splash-head, use-fancy-splash-screens-p): Use it,
9463 so that we are both using the same image. (Bug#16574)
9465 2014-01-30 Glenn Morris <rgm@gnu.org>
9467 * simple.el (eval-expression): Doc fix.
9469 * hexl.el (hexl-mode-hook):
9470 * ielm.el (ielm-mode-hook):
9471 * emacs-lisp/lisp-mode.el (emacs-lisp-mode-hook)
9472 (lisp-interaction-mode-hook):
9473 * progmodes/cfengine.el (cfengine3-documentation-function):
9474 Replace obsolete alias `turn-on-eldoc-mode' with `eldoc-mode'.
9476 2014-01-30 Stefan Monnier <monnier@iro.umontreal.ca>
9478 * emacs-lisp/eieio-opt.el (eieio-help-generic): Don't assume `generic'
9479 is a symbol (bug#16584).
9481 2014-01-30 Glenn Morris <rgm@gnu.org>
9483 * help.el (help-for-help-internal): Add "P" to text.
9485 2014-01-29 Glenn Morris <rgm@gnu.org>
9487 * simple.el (just-one-space, cycle-spacing): Doc fixes.
9489 2014-01-28 Martin Rudalics <rudalics@gmx.at>
9491 * window.el (fit-frame-to-buffer): Fix calculations for margins and
9494 2014-01-28 Luke Lee <luke.yx.lee@gmail.com>
9496 * progmodes/hideif.el: Extend to full CPP expression syntax.
9497 (hif-token-alist): Add missing tokens.
9498 (hif-token-regexp): Add support for float/octal/hex immediates.
9499 (hif-string-literal-regexp): New const.
9500 (hif-tokenize): Recognize strings and float/octal/hex immediates.
9501 (hif-exprlist): New function.
9502 (hif-parse-if-exp): Use it.
9503 (hif-logior-expr, hif-logxor-expr, hif-logand-expr, hif-comp-expr)
9504 (hif-logshift-expr, hif-muldiv-expr, hif-lognot, hif-shiftleft)
9505 (hif-shiftright, hif-multiply, hif-divide, hif-modulo, hif-equal)
9506 (hif-logxor, hif-comma): New functions.
9508 2014-01-28 Glenn Morris <rgm@gnu.org>
9510 * textmodes/fill.el (fill-single-char-nobreak-p): Doc tweak.
9512 * indent.el (tab-stop-list): Doc fix. Add :version.
9514 * vc/pcvs.el (vc-editable-p, vc-checkout): Remove unused declarations.
9515 (cvs-append-to-ignore): Add compatibility alias.
9517 2014-01-27 Glenn Morris <rgm@gnu.org>
9519 * dired.el (dired-hide-details-mode): Don't autoload it,
9520 since it cannot be used outside Dired buffers anyway.
9522 * emulation/cua-base.el (cua-mode): Doc fix.
9524 * dired.el (dired-hide-details-hide-symlink-targets)
9525 (dired-hide-details-hide-information-lines)
9526 (dired-hide-details-mode): Doc fixes.
9528 * shadowfile.el (shadow-info-file, shadow-todo-file): Doc fix.
9529 * strokes.el (strokes-file): Doc fix. Bump :version.
9530 (strokes-help): Doc fix.
9531 * emulation/viper-init.el (viper-vi-style-in-minibuffer): Doc fix.
9532 * emulation/viper.el (viper): Doc fix for custom group.
9533 (top-level): Remove oh-so-no-longer-relevant text about vip.
9534 * obsolete/otodo-mode.el (todo-prefix): Doc fix.
9536 * ido.el (ido-save-directory-list-file):
9537 * saveplace.el (save-place-file):
9538 * calendar/timeclock.el (timeclock-file):
9539 * net/quickurl.el (quickurl-url-file):
9540 * obsolete/otodo-mode.el (todo-file-do, todo-file-done, todo-file-top):
9541 * progmodes/idlwave.el (idlwave-config-directory):
9542 * textmodes/remember.el (remember-data-file):
9545 2014-01-26 Glenn Morris <rgm@gnu.org>
9547 * progmodes/opascal.el (opascal-tab-always-indents, opascal-tab):
9548 Doc fix. Make obsolete.
9549 (opascal-mode): No longer mention opascal-tab-always-indents in doc.
9551 * sort.el (delete-duplicate-lines): Doc fix.
9553 2014-01-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
9555 * progmodes/ada-mode.el (ada):
9556 * woman.el (woman): Link to info manual and Commentary section.
9558 * progmodes/flymake.el (flymake):
9559 * nxml/nxml-mode.el (nxml):
9561 * speedbar.el (speedbar, speedbar-faces, speedbar-vc):
9562 * htmlfontify.el (htmlfontify):
9565 * ido.el (ido): Link to info manual.
9567 2014-01-25 Leo Liu <sdl.web@gmail.com>
9569 * progmodes/flymake.el (flymake-make-overlay): No rear advance.
9571 2014-01-25 Adam Sjøgren <asjo@koldfront.dk>
9573 * net/shr.el (shr-tag-img): Prefer the title over the alt text
9576 2014-01-24 Juanma Barranquero <lekktu@gmail.com>
9578 * net/eww.el (eww-download-callback):
9579 Fix reference to eww-download-directory.
9581 * emacs-lisp/bytecomp.el (byte-compile-file):
9582 Remove unused local variable `file-name'.
9584 2014-01-24 Glenn Morris <rgm@gnu.org>
9586 * woman.el (woman-default-faces, woman-monochrome-faces):
9587 Fix obsolescence specification.
9589 * subr.el (with-demoted-errors): Doc fix.
9591 2014-01-23 Stefan Monnier <monnier@iro.umontreal.ca>
9593 * emacs-lisp/cl-macs.el: Improve type->predicate mapping (bug#16520).
9594 (cl--macroexp-fboundp): New function.
9595 (cl--make-type-test): Use it.
9597 2014-01-23 Glenn Morris <rgm@gnu.org>
9599 * emacs-lisp/lisp-mode.el (eval-print-last-sexp, eval-last-sexp):
9600 * simple.el (eval-expression): Doc fixes.
9602 2014-01-22 Glenn Morris <rgm@gnu.org>
9604 * emacs-lisp/authors.el (authors-fixed-entries): Addition.
9606 2014-01-22 Stefan Monnier <monnier@iro.umontreal.ca>
9608 * emacs-lisp/package.el: Write files silently.
9609 (package-autoload-ensure-default-file, package--write-file-no-coding)
9610 (package-generate-description-file, package--download-one-archive)
9611 (package-install-from-archive): Tell `write-region' to stay quiet.
9612 (package-menu-mode, package-menu--print-info): Omit the Archive column
9613 if there's only one archive.
9614 (package-all-keywords, package--has-keyword-p): Remove dead code.
9616 2014-01-22 Glenn Morris <rgm@gnu.org>
9618 * version.el (emacs-bzr-version-bzr): Fix typo.
9620 * version.el (emacs-repository-get-version):
9621 Check either .bzr or .git, but not both.
9622 Make the git case actually use the DIR argument, and return nil
9623 rather than the empty string.
9624 Avoid error if .git exists but the git executable is not found.
9626 2014-01-22 Martin Rudalics <rudalics@gmx.at>
9628 Fixes in window size functions around Bug#16430 and Bug#16470.
9629 * window.el (window-total-size, window-size): New argument ROUND.
9630 (window--min-delta-1, window-min-delta, window--max-delta-1):
9631 Be more conservative when calculating the numbers of lines or
9632 columns a window can shrink (Bug#16430).
9633 (fit-window-to-buffer): Simplify code.
9634 * term.el (term-window-width): Call window-body-width again.
9636 2014-01-22 Glenn Morris <rgm@gnu.org>
9638 * image.el (image-format-suffixes): Doc fix.
9640 * international/quail.el (quail-define-package): Doc fix.
9642 * emacs-lisp/authors.el (authors-valid-file-names)
9643 (authors-renamed-files-alist): Additions.
9645 * vc/vc-git.el (vc-git-print-log): Remove --follow;
9646 reverts 2014-01-09 change. (Bug#16422)
9648 * calc/calc-embed.el (thing-at-point-looking-at):
9649 * emacs-lisp/map-ynp.el (x-popup-dialog):
9650 * obsolete/lmenu.el (x-popup-dialog):
9651 * emacs-lisp/package.el (url-recreate-url):
9652 * mail/mailclient.el (clipboard-kill-ring-save):
9653 * subr.el (x-popup-dialog): Update declaration.
9654 * mail/rmail.el (rmail-mime-message-p):
9655 * window.el (tool-bar-lines-needed): Remove unnecessary declaration.
9657 2014-01-21 Daniel Colascione <dancol@dancol.org>
9659 * progmodes/sh-script.el (sh--inside-noncommand-expression):
9660 Correctly detect when we're inside an arithmetic expansion form
9661 containing nested parenthesis.
9662 (sh--maybe-here-document): Use `sh--inside-noncommand-expression'
9663 to detect cases where we shouldn't expand "<<" to a heredoc
9666 2014-01-21 Stefan Monnier <monnier@iro.umontreal.ca>
9668 * emacs-lisp/eldoc.el: Properly remove message in minibuffer case.
9669 (eldoc--message-command-p): New function.
9670 (eldoc-display-message-p): Use it.
9671 (eldoc-pre-command-refresh-echo-area): In the minibuffer case, the
9672 message is not automatically erased for us.
9673 (eldoc-print-current-symbol-info): Erase previous message, if any.
9675 2014-01-21 Tassilo Horn <tsdh@gnu.org>
9677 * textmodes/reftex.el (reftex-create-bibtex-file): Fix autoload to
9678 specify it's an interactive function.
9680 * textmodes/reftex-cite.el (reftex-all-used-citation-keys):
9681 Fix regex used for scanning for citation keys which failed for
9682 citations with optional arguments.
9684 2014-01-21 Leo Liu <sdl.web@gmail.com>
9686 * simple.el (read--expression): Don't enable eldoc-mode.
9688 2014-01-20 Stefan Monnier <monnier@iro.umontreal.ca>
9690 * simple.el (move-beginning-of-line): Make sure we don't move forward
9693 2014-01-20 Juri Linkov <juri@jurta.org>
9695 * saveplace.el (toggle-save-place, save-place-to-alist)
9696 (save-places-to-alist, save-place-dired-hook): Add (derived-mode-p
9697 'dired-mode) before checking for dired-directory. (Bug#16477)
9699 2014-01-20 Juri Linkov <juri@jurta.org>
9701 * indent.el (indent-line-to): Use backward-to-indentation
9702 instead of back-to-indentation. (Bug#16461)
9704 2014-01-20 Paul Eggert <eggert@cs.ucla.edu>
9706 Revert some of the CANNOT_DUMP fix (Bug#16494).
9707 Because of this, "make bootstrap" won't work if CANNOT_DUMP=yes,
9708 but fixing this can wait until after the next release.
9709 * Makefile.in (emacs): Keep EMACSLOADPATH empty.
9711 2014-01-19 Michael Albinus <michael.albinus@gmx.de>
9713 * eshell/esh-mode.el (eshell-password-prompt-regexp):
9714 Use `password-word-equivalents'.
9715 (eshell-watch-for-password-prompt): Let-bind `case-fold-search'
9716 to t. (Bug#5664, Bug#13124)
9718 2014-01-19 Alan Mackenzie <acm@muc.de>
9720 Bind open-paren-in-column-0-is-defun-start to nil at some entry
9722 * progmodes/cc-engine.el (c-invalidate-state-cache-1)
9723 (c-parse-state-1, c-guess-basic-syntax): Bind it here.
9724 * progmodes/cc-mode.el (c-before-change, c-after-change)
9725 (c-font-lock-fontify-region): Bind it here.
9727 2014-01-19 Martin Rudalics <rudalics@gmx.at>
9729 * term.el (term-window-width): Call window-text-width instead of
9730 window-width (Bug#16470).
9732 2014-01-18 Paul Eggert <eggert@cs.ucla.edu>
9734 * simple.el (password-word-equivalents): Remove duplicates.
9735 Sort, to make this easier next time.
9736 Downcase. Omit ": " after "jelszó".
9738 2014-01-18 Jan Djärv <jan.h.d@swipnet.se>
9740 * term/common-win.el (saved-region-selection): Defvar it.
9741 (x-select-text): Set saved-region-selection (Bug#16382).
9743 2014-01-18 Glenn Morris <rgm@gnu.org>
9745 * emacs-lisp/authors.el (authors-aliases)
9746 (authors-renamed-files-alist): Add some entries.
9748 2014-01-17 Michael Albinus <michael.albinus@gmx.de>
9750 * net/tramp.el (tramp-password-prompt-regexp):
9751 Use `password-word-equivalents' if available.
9752 (tramp-action-password, tramp-process-one-action)
9753 (tramp-read-passwd): Let-bind `case-fold-search' to t. (Bug#13124)
9755 2014-01-17 Chong Yidong <cyd@gnu.org>
9757 * simple.el (password-word-equivalents): New defcustom.
9758 * comint.el (comint-password-prompt-regexp): Use it. Bump version
9760 (comint-watch-for-password-prompt): Let-bind `case-fold-search'
9763 2014-01-17 Dmitry Gutov <dgutov@yandex.ru>
9765 * progmodes/ruby-mode.el (ruby-alignable-keywords): New constant.
9766 (ruby-align-to-stmt-keywords): Change the default value.
9767 Use `ruby-alignable-keywords' to generate the possible customization
9769 (ruby-smie-rules): Instead of using a hardcoded list of alignable
9770 keywords, check against the value of `ruby-alignable-keywords'
9771 (http://lists.gnu.org/archive/html/emacs-devel/2014-01/msg01439.html).
9773 2014-01-17 Glenn Morris <rgm@gnu.org>
9775 * emacs-lisp/authors.el (authors-aliases): Remove unnecessary entries.
9777 Make M-x authors return zero *Authors Errors* from current logs.
9778 * emacs-lisp/authors.el (authors-obsolete-files-regexps)
9779 (authors-ignored-files): Add some entries, remove others.
9780 (authors-ambiguous-files, authors-valid-file-names):
9782 (authors-renamed-files-alist): Add, remove, and adjust entries.
9783 (authors-renamed-files-regexps): Add some entries.
9784 Remove some very broad ones. Make some entries `lax'.
9785 (authors-lax-changelogs): New constant.
9786 (authors-disambiguate-file-name): Treat top-level specially.
9787 (authors-lax-changelog-p): New function.
9788 (authors-canonical-file-name): Check file as written against
9789 authors-valid-file-names. Do not special-case etc/.
9790 Handle `lax' logs and authors-renamed-files-regexps elements.
9792 2014-01-16 Dmitry Gutov <dgutov@yandex.ru>
9794 * emacs-lisp/package.el (package-desc--keywords): Use `cdr' with
9795 `assoc'. Use `nth' instead of `cdr'. Make private. Update all
9798 2014-01-16 Stefan Monnier <monnier@iro.umontreal.ca>
9800 * follow.el (follow-adjust-window): Remove `dest' argument (bug#16426).
9801 Assume we're already in the proper buffer.
9802 Inspired by Anders Lindgren <andlind@gmail.com>.
9803 (follow-post-command-hook): Call it from the right buffer.
9804 (follow-comint-scroll-to-bottom): Adjust call.
9805 (follow-all-followers): Use get-buffer-window-list.
9807 2014-01-15 Daniel Colascione <dancol@dancol.org>
9809 * emacs-lisp/bytecomp.el (byte-compile-file): Use whole
9810 `buffer-file-name' in interactive-form so that we don't leave
9811 pathless file names in `file-name-history'.
9813 2014-01-15 Juri Linkov <juri@jurta.org>
9815 * indent.el (indent-rigidly): Set deactivate-mark to nil
9816 in transient indentation mode. (Bug#16438)
9818 2014-01-15 Dmitry Gutov <dgutov@yandex.ru>
9820 * emacs-lisp/package.el (package-desc-keywords): New function
9822 (describe-package-1, package-all-keywords)
9823 (package--has-keyword-p): Use it.
9825 2014-01-14 Nicolas Richard <theonewiththeevillook@yahoo.fr>
9827 * simple.el (define-alternatives): When creating the
9828 COMMAND-alternatives variable, assign COMMAND as its definition
9829 name so that `describe-variable' can relocate it.
9831 2014-01-14 Matthew Leach <matthew@mattleach.net>
9833 * font-lock.el (font-lock-keywords): Fix typo in docstring
9836 2014-01-14 Agustín Martín Domingo <agustin.martin@hispalinux.es>
9838 * textmodes/ispell.el (ispell-region): Reset `in-comment' for new
9839 line instead of wrongly reset `add-coment' (bug#13577).
9841 2014-01-14 Daiki Ueno <ueno@gnu.org>
9843 * epa-file.el (epa-file-write-region): Encode the region according
9844 to `buffer-file-format'. Problem reported at:
9845 <http://sourceforge.jp/ticket/browse.php?group_id=2267&tid=32917>.
9847 2014-01-14 Stefan Monnier <monnier@iro.umontreal.ca>
9849 * emacs-lisp/edebug.el (edebug--display): Move protective let-binding
9850 so it applies in the right buffer (bug#16410).
9852 2014-01-13 Daniel Colascione <dancol@dancol.org>
9854 * textmodes/rst.el (rst-define-key): Provide deprecated
9855 keybindings through named functions instead of anonymous ones so
9856 that "??" doesn't appear in describe-mode output.
9858 2014-01-13 Bastien Guerry <bzg@gnu.org>
9860 * simple.el (define-alternatives): Call the selected command
9861 interactively. When setting `COMMAND--implementation' for the
9862 first time, tell the user how to chose another implementation.
9863 Enhance the docstring.
9865 2014-01-13 Stefan Monnier <monnier@iro.umontreal.ca>
9867 * vc/log-edit.el: Fix highlighting of summary when it's the first line.
9868 (log-edit--match-first-line): New function.
9869 (log-edit-font-lock-keywords): Use it.
9870 (log-edit-mode): Make jit-lock-defer-multiline work.
9872 2014-01-13 Bastien Guerry <bzg@gnu.org>
9874 * rect.el (rectangle-mark-mode): When the region is not active,
9875 display a message saying that the mark as been set and that
9876 rectangle mode is in use.
9877 (rectangle--highlight-for-redisplay): Only put an overlay with a
9878 visible vertical bar when (display-graphic-p) is non-nil.
9879 This partially fixes Bug#16403.
9881 2014-01-13 Juri Linkov <juri@jurta.org>
9883 * info.el (Info-find-file): Go to DIR before displaying the error
9884 about a nonexistent file if no previous Info file is visited.
9885 Use `user-error' instead of `error' for "Info file %s does not exist".
9886 (Info-find-node-2): In case of a nonexistent node in unwind forms
9887 go to the Top node if there is no previous node to revert to.
9890 2014-01-13 Martin Rudalics <rudalics@gmx.at>
9892 fit-frame/window-to-buffer code fixes including one for Bug#14096.
9893 * window.el (fit-frame-to-buffer): Fix doc-string.
9894 Respect window-min-height/-width. Fit pixelwise when
9895 frame-resize-pixelwise is non-nil. Adjust right/bottom edge
9896 when avoiding that frame goes partially off-screen.
9897 (fit-window-to-buffer): Respect window-min-height/-width
9900 2014-01-13 Stefan Monnier <monnier@iro.umontreal.ca>
9902 * indent.el (indent-according-to-mode): Flush to column 0 in text-mode
9903 after an empty line.
9905 2014-01-12 Stefan Monnier <monnier@iro.umontreal.ca>
9907 * net/shr.el (shr-render-region): Autoload.
9909 2014-01-12 Xue Fuqiao <xfq.free@gmail.com>
9911 * net/eww.el (eww-download-directory): Rename from
9912 `eww-download-path' (Bug#16419).
9914 2014-01-12 Leo Liu <sdl.web@gmail.com>
9916 * dired-x.el (dired-mode-map): Fix last change.
9918 * emacs-lisp/eldoc.el (eldoc-mode): Add hook locally.
9920 2014-01-12 Paul Eggert <eggert@cs.ucla.edu>
9923 * emacs-lisp/generic.el (generic--normalize-comments):
9924 Rename from generic--normalise-comments. All uses changed.
9925 * play/bubbles.el (bubbles--neighborhood-score)
9926 (bubbles--mark-direct-neighbors, bubbles--mark-neighborhood)
9927 (bubbles--neighborhood-available)
9928 (bubbles--update-neighborhood-score):
9929 Rename from names with 'neighbourhood'. All uses changed.
9931 2014-01-12 Leo Liu <sdl.web@gmail.com>
9933 Re-implement the feature of showing eldoc info after editing.
9934 * emacs-lisp/eldoc.el (eldoc-post-insert-mode): Remove.
9935 (eldoc-edit-message-commands): New function.
9936 (eldoc-print-after-edit): New variable.
9937 (eldoc-pre-command-refresh-echo-area): Emit message only by
9938 eldoc-message-commands.
9939 (eldoc-mode): Restrict eldoc-message-commands to editing commands
9940 if eldoc-print-after-edit is set. (Bug#16346)
9941 * simple.el (read--expression): Enable eldoc-mode.
9942 * progmodes/octave.el (octave-mode-menu): Adapt to change in eldoc.
9944 2014-01-11 Dani Moncayo <dmoncayo@gmail.com>
9945 Eric S. Raymond <esr@thyrsus.com>
9947 * version.el (emacs-repository-get-version): Enhance so the
9948 function works correctly in either a Bazaar or Git repo.
9950 2014-01-11 Eric S. Raymond <esr@thyrsus.com>
9952 * play/meese.el: It's 2014 and Ed Meese is justly forgotten.
9953 Goes with removal of the joke manpages from /etc.
9955 2014-01-10 Kenichi Handa <handa@gnu.org>
9957 * mail/rmail.el (rmail-get-coding-system):
9958 Check rmail-get-coding-function before "funcall"ing it.
9960 2014-01-10 Glenn Morris <rgm@gnu.org>
9962 * emacs-lisp/authors.el (authors-fixed-entries):
9963 Update for files that no longer exist.
9965 2014-01-10 Eric S. Raymond <esr@thyrsus.com>
9967 * version.el (emacs-bzr-get-version): Restore compatibilty with
9970 2014-01-10 Bozhidar Batsov <bozhidar@batsov.com>
9972 * progmodes/ruby-mode.el (auto-mode-alist): Add .podspec
9975 2014-01-10 Eli Zaretskii <eliz@gnu.org>
9977 * emacs-lisp/authors.el (authors-fixed-entries): Update my entry.
9979 2014-01-10 Chong Yidong <cyd@gnu.org>
9981 * progmodes/octave.el (octave-mode-menu): Don't assume eldoc is loaded.
9983 2014-01-10 Anders Lindgren <andlind@gmail.com>
9985 * follow.el (follow-cache-command-list): Include right-char and
9988 2014-01-10 Paul Eggert <eggert@cs.ucla.edu>
9991 * mail/unrmail.el (unrmail-mbox-format): Choice is mboxo, not mboxro.
9992 * woman.el (woman-mark-horizontal-position):
9993 Rename from woman-mark-horizonal-position. Use changed.
9995 2014-01-10 Glenn Morris <rgm@gnu.org>
9997 * info.el (info-initialize): If running uninstalled, ensure our
9998 own info files are always found first, even if INFOPATH is set.
10000 * help.el (view-order-manuals): Open emacs.info rather than ORDERS.
10002 2014-01-09 David Engster <deng@randomsample.de>
10004 * emacs-lisp/eieio-custom.el:
10005 * emacs-lisp/eieio-opt.el: Set generated autoload file to
10006 'eieio.el'. This was accidentally removed in 2012-10-01T18:10:29Z!cyd@gnu.org.
10007 * emacs-lisp/eieio.el: Regenerate autoloads.
10009 2014-01-09 Eric S. Raymond <esr@thyrsus.com>
10011 * vc/vc-git.el (vc-git-print-log): Add --follow option to command,
10012 following renames. (Bug#8756)
10014 2014-01-09 Stefan Monnier <monnier@iro.umontreal.ca>
10016 * simple.el (deactivate-mark, activate-mark): Force-mode-line-update
10018 (activate-mark): Add `no-tmm' argument.
10019 (set-mark, push-mark-command): Use it instead of running
10020 activate-mark-hook by hand.
10022 2014-01-08 Eric S. Raymond <esr@thyrsus.com>
10024 In preparation for the move to git, sanitize out some
10025 Bazaar-specific names.
10027 * emacs-lisp/authors.el: INSTALL.BZR renamed to INSTALL.REPO.
10029 * version.el (emacs-bzr-version): Name changed to
10030 emacs-repository-version. Obsolete-variable alias made.
10031 * loadup.el: Follow through on this name change.
10032 * mail/emacsbug.el (report-emacs-bug): Factor out any
10033 assumption about the version control system in use.
10035 2014-01-08 David Engster <deng@randomsample.de>
10037 * help-fns.el (help-fns-describe-function-functions):
10038 New variable to call functions for augmenting help buffers.
10039 (describe-function-1): Remove explicit calls to
10040 `help-fns--compiler-macro', `help-fns--parent-mode' and
10041 `help-fns--obsolete'. Put them in above new variable instead, and
10042 call them through `run-hook-with-args'.
10043 * emacs-lisp/eieio-opt.el (eieio-help-class): Rename from
10044 `eieio-describe-class'. Not meant for interactive use anymore,
10045 but to augment existing help buffers. Remove optional second
10046 argument. Create proper button for file location.
10047 Rewrite function to use `insert' instead of `princ' and `prin1' where
10049 (eieio-help-class-slots): Rename from `eieio-describe-class-slots'.
10050 (eieio-method-def, eieio-class-def): Move further up.
10051 (describe-method, describe-generic, eieio-describe-method):
10053 (eieio-help-constructor, eieio-help-generic): Rename from
10054 `eieio-describe-constructor' and `eieio-describe-generic', resp.
10055 Rewrite to use `insert' in the current buffer and use proper help
10057 (eieio-help-find-method-definition)
10058 (eieio-help-find-class-definition): Also accept symbols as
10060 (eieio-help-mode-augmentation-maybee): Remove.
10061 (eieio-describe-class-sb): Use `describe-function'.
10062 * emacs-lisp/eieio.el (help-fns-describe-function-functions):
10063 Add `eieio-help-generic' and `eieio-help-constructor'.
10065 2014-01-08 Paul Eggert <eggert@cs.ucla.edu>
10068 * language/china-util.el (hz-ascii-designation):
10069 Rename from hz-ascii-designnation.
10070 (hz-ascii-designation): Rename from hz-ascii-designnation.
10073 2014-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
10075 * emacs-lisp/package.el (package-delete): Only remove pkg-desc from
10078 2014-01-08 Bastien Guerry <bzg@gnu.org>
10080 * emacs-lisp/package.el (package-delete):
10081 Correctly delete the package from package-alist.
10083 2014-01-08 Daiki Ueno <ueno@gnu.org>
10085 * emacs-lisp/package.el (url-recreate-url): Declare.
10086 (url-http-target-url): Declare.
10087 (package-handle-response): Include requested URL in the error message.
10088 (package--check-signature): Don't re-signal errors from
10089 package--with-work-buffer. Suggested by Stefan Monnier.
10091 2014-01-07 Bastien Guerry <bzg@gnu.org>
10093 * minibuffer.el (completion--try-word-completion): When both a
10094 hyphen and a space are possible candidates for the character
10095 following a word, display both candidates. (Bug#15980)
10097 2014-01-07 Martin Rudalics <rudalics@gmx.at>
10099 * window.el (balance-windows-2): While rounding don't give a
10100 window more than the remainder. Bug#16351, bug#16383.
10102 2014-01-07 Glenn Morris <rgm@gnu.org>
10104 * menu-bar.el (menu-bar-help-extra-packages): Remove.
10105 (menu-bar-help-menu): Use view-external-packages instead.
10107 2014-01-07 Bastien Guerry <bzg@gnu.org>
10109 * emacs-lisp/package.el (package-delete): Also delete the package
10110 name from `package-alist', not its description only.
10112 2014-01-07 Glenn Morris <rgm@gnu.org>
10114 * help.el (view-external-packages):
10115 * menu-bar.el (menu-bar-help-extra-packages):
10116 Visit efaq.info rather than etc/MORE.STUFF.
10118 2014-01-07 Juri Linkov <juri@jurta.org>
10120 * isearch.el (isearch-mode-map): Bind [return] and [backspace] to
10121 isearch-exit and isearch-delete-char resp. (Bug#16342, bug#16035)
10123 * progmodes/ps-mode.el (ps-mode-map): Remove [return] key binding
10124 that shadows RET. (Bug#16342)
10126 2014-01-07 Chong Yidong <cyd@gnu.org>
10128 * isearch.el (isearch-yank-char, isearch-yank-word)
10129 (isearch-yank-line): Doc fix.
10131 2014-01-06 Stefan Monnier <monnier@iro.umontreal.ca>
10133 * abbrev.el (define-abbrev): Beware new meaning of fboundp.
10134 * emacs-lisp/elint.el (elint-find-builtins):
10135 * emacs-lisp/eldoc.el (eldoc-symbol-function):
10136 * emacs-lisp/bytecomp.el (byte-compile-callargs-warn)
10137 (byte-compile-file-form-defmumble, byte-compile, byte-compile-form):
10138 * emacs-lisp/byte-opt.el (byte-compile-inline-expand):
10139 * apropos.el (apropos-safe-documentation):
10140 * subr.el (symbol-file): Remove redundant fboundp.
10141 * progmodes/idlw-shell.el (idlwave-shell-comint-filter): Use defalias.
10143 2014-01-06 Bastien Guerry <bzg@gnu.org>
10145 * hl-line.el (global-hl-line-overlay): Make a local variable.
10146 (global-hl-line-overlays): New variable to store all overlays.
10147 (global-hl-line-mode): Don't delete overlays from the current
10148 buffer when `global-hl-line-sticky-flag' is non-nil.
10149 (global-hl-line-highlight): Add new overlays to
10150 `global-hl-line-overlays'.
10151 (global-hl-line-unhighlight-all): New function to delete all
10152 overlays when turning off `global-hl-line-mode'.
10153 This fixes Bug#16183.
10155 2014-01-06 Stefan Monnier <monnier@iro.umontreal.ca>
10157 * subr.el (set-transient-map): Fix nested case and docstring.
10159 2014-01-06 Tassilo Horn <tsdh@gnu.org>
10161 * textmodes/reftex-vars.el (reftex-label-alist-builtin): Add a
10164 2014-01-06 Daniel Colascione <dancol@dancol.org>
10166 Fix defun navigation in vc log view.
10168 * vc/log-view.el (log-view-beginning-of-defun): Rewrite to behave
10169 like `beginning-of-defun'.
10170 (log-view-end-of-defun, log-view-end-of-defun-1): Rename old
10171 log-view-end-of-defun to log-view-end-of-defun-1. Replace
10172 log-view-end-of-defun with wrapper that behaves like `end-of-defun'.
10173 (log-view-extract-comment): Call `log-view-current-entry' directly
10174 instead of relying on broken `log-view-beginning-of-defun' behavior.
10176 2014-01-06 Paul Eggert <eggert@cs.ucla.edu>
10179 * calc/calc-yank.el (calc-edit-mode, calc-edit-cancel):
10180 * emacs-lisp/debug.el (cancel-debug-on-entry):
10181 * epg.el (epg-error-to-string):
10182 * files.el (recover-file):
10183 * lpr.el (lpr-buffer, print-buffer, lpr-region, print-region):
10184 * mail/emacsbug.el (report-emacs-bug-hook):
10185 * mail/sendmail.el (mail-recover):
10186 * ses.el (ses-yank-resize):
10187 * term/ns-win.el (ns-print-buffer):
10188 Spelling fixes in diagnostics, mostly for "canceled" with one L.
10189 * epg.el (epg-key-capability-alist): Rename from misspelled version.
10191 * obsolete/xesam.el (xesam-all-fields): Fix misspelled field name.
10193 2014-01-06 Leo Liu <sdl.web@gmail.com>
10195 * dired-x.el (dired-mode-map): Rebind dired-omit-mode to C-x M-o
10196 to avoid shadowing global key. (Bug#16354)
10198 2014-01-06 Daniel Colascione <dancol@dancol.org>
10200 * textmodes/rst.el (rst-mode): Set electric-indent-inhibit for
10203 2014-01-05 Martin Rudalics <rudalics@gmx.at>
10205 * window.el (balance-windows): Add mising t to fix Bug#16351.
10207 2014-01-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
10209 * net/shr.el (shr-descend): Don't bug out if the anchor is empty
10211 (shr-insert): If we have a word that's longer than `shr-width',
10212 break after it anyway. Otherwise we'll do no breaking once we get
10215 2014-01-05 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
10217 * net/eww.el (eww): Support single/double quote for search.
10218 * net/eww.el (eww-list-histories, eww-history-browse): Fixup.
10219 (eww-history-quit): Delete and use quit-window.
10220 (eww-history-kill): Delete, because it doesn't work well and
10222 (eww-history-mode-map): Delete some keys and add easy-menu.
10224 2014-01-05 Paul Eggert <eggert@cs.ucla.edu>
10226 Fix misspelling of 'chinese' in rx (Bug#16237).
10227 * emacs-lisp/rx.el (rx-categories): Correct spelling of
10230 Change subword regexps back to vars (Bug#16296).
10231 * progmodes/subword.el (subword-forward-regexp)
10232 (subword-backward-regexp): Change these back to variables.
10234 2014-01-03 Stefan Monnier <monnier@iro.umontreal.ca>
10236 * emacs-lisp/lisp-mode.el (lisp-mode-variables): Don't bother with
10237 syntax-begin-function (bug#16247).
10239 2014-01-03 Chong Yidong <cyd@gnu.org>
10241 * emacs-lisp/nadvice.el (advice--make-docstring): Change args.
10242 (advice--docstring): Delete variable.
10243 (advice--make-1): Leave the docstring empty.
10244 (advice-add): Use function-documentation for advised docstring.
10246 * emacs-lisp/advice.el (ad--make-advised-docstring): Change args.
10247 Ignore function-documentation property when getting documentation.
10248 (ad-activate-advised-definition): Use function-documentation
10249 generate the docstring.
10250 (ad-make-advised-definition): Don't call
10251 ad-make-advised-definition-docstring.
10252 (ad-make-advised-definition-docstring, ad-advised-definition-p):
10255 * progmodes/sql.el (sql-help): Use function-documentation instead
10256 of dynamic-docstring-function property. No need to autoload now.
10257 (sql--help-docstring): New variable.
10258 (sql--make-help-docstring): Use it.
10260 2014-01-03 Stefan Monnier <monnier@iro.umontreal.ca>
10262 * ielm.el (ielm-tab): Retarget.
10263 (ielm-map): Use ielm-tab for tab.
10264 (ielm-complete-filename): Use comint-filename-completion.
10265 (ielm-complete-symbol): Remove.
10266 (inferior-emacs-lisp-mode): Use lisp-completion-at-point instead and
10267 remove ielm-tab from completion-at-point-functions (bug#16224).
10269 * emacs-lisp/pcase.el (pcase--split-equal, pcase--split-member):
10270 Beware signals raised by predicates (bug#16201).
10272 2014-01-02 Richard Stallman <rms@gnu.org>
10274 * dired-aux.el (dired-do-print): Handle printer-name.
10276 * mail/rmailmm.el (rmail-mime-message-p): Move to rmail.el.
10277 * mail/rmail.el (rmail-mime-message-p): Move from rmailmm.el.
10278 (rmail-epa-decrypt): Turn off mime processing.
10280 * mail/rmail.el (rmail-make-in-reply-to-field):
10281 Add parens in message-id.
10283 * mail/rmail.el (rmail-get-coding-function): Variable.
10284 (rmail-get-coding-system): Use it.
10286 2013-12-31 Eli Zaretskii <eliz@gnu.org>
10288 * international/mule-conf.el: Unify the charset indian-is13194.
10289 (indian-is13194): Specify unify-map.
10291 2013-12-31 Leo Liu <sdl.web@gmail.com>
10293 * subr.el (set-temporary-overlay-map): Obsolete alias. (Bug#16305)
10295 2013-12-30 Daniel Colascione <dancol@dancol.org>
10297 * term/x-win.el ([XF86WakeUp]): Ignore the XF86WakeUp key instead
10298 of printing a useless when we resume from sleep.
10300 * progmodes/sh-script.el
10301 (sh-smie-sh-forward-token, sh-smie-rc-forward-token): Fix infloop
10302 in indentation code. (Bug#16233)
10304 2013-12-28 João Távora <joaotavora@gmail.com>
10306 * elec-pair.el (electric-pair-post-self-insert-function):
10307 Don't open extra newlines at beginning of buffer. (Bug#16272)
10309 2013-12-28 Eli Zaretskii <eliz@gnu.org>
10311 * frame.el (window-system-for-display): Don't allow to create a
10312 GUI frame from a -nw session on MS-Windows. (Bug#14739)
10314 2013-12-28 Glenn Morris <rgm@gnu.org>
10316 * mail/hashcash.el (hashcash-program): Rename from hashcash-path.
10319 * apropos.el (apropos-match-face):
10320 * calculator.el (calculator-displayer):
10321 * dabbrev.el (dabbrev-search-these-buffers-only):
10322 * face-remap.el (buffer-face-mode-face):
10323 * simple.el (yank-handled-properties):
10324 * emacs-lisp/testcover.el (testcover-potentially-1value-functions):
10325 * mail/footnote.el (footnote-mode-line-string, footnote-prefix):
10326 * mail/hashcash.el (hashcash-accept-resources, hashcash-program)
10327 (hashcash-double-spend-database):
10328 * progmodes/ruby-mode.el (ruby-deep-indent-paren)
10329 (ruby-deep-indent-paren-style):
10330 * textmodes/flyspell.el (flyspell-auto-correct-binding):
10331 * textmodes/rst.el (rst-toc-indent, rst-toc-insert-style)
10332 (rst-toc-insert-number-separator, rst-toc-insert-max-level):
10333 * vc/pcvs-defs.el (cvs-minor-mode-prefix):
10334 Specify custom types.
10336 * emacs-lisp/smie.el (smie-config): Add type, version, initialize.
10337 * bookmark.el (bookmark-bmenu-use-header-line):
10338 * doc-view.el (doc-view-scale-internally):
10339 * pcmpl-x.el (pcmpl-x-tlmgr-program, pcmpl-x-ack-program):
10340 * register.el (register-preview-delay):
10341 * net/shr.el (shr-bullet):
10342 * progmodes/cfengine.el (cfengine-cf-promises)
10343 (cfengine-parameters-indent):
10344 * progmodes/octave.el (inferior-octave-error-regexp-alist):
10345 * textmodes/reftex-vars.el (reftex-label-regexps):
10346 * vc/log-edit.el (log-edit-setup-add-author): Add version.
10348 * net/tls.el (tls-certtool-program): Fix default value.
10350 * desktop.el (desktop-restore-in-current-display):
10351 * newcomment.el (comment-empty-lines):
10352 * progmodes/idlwave.el (idlwave-scan-all-buffers-for-routine-info)
10353 (idlwave-pad-keyword):
10354 * progmodes/tcl.el (tcl-tab-always-indent):
10355 * textmodes/reftex-vars.el (reftex-index-default-tag):
10356 * elec-pair.el (electric-pair-skip-whitespace):
10357 * progmodes/cfengine.el (cfengine-cf-promises): Fix custom types.
10359 * emacs-lisp/authors.el (authors-ignored-files)
10360 (authors-valid-file-names, authors-renamed-files-alist): Additions.
10362 2013-12-27 Jarek Czekalski <jarekczek@poczta.onet.pl>
10364 * shell.el (shell-dynamic-complete-command): Doc fix.
10365 (shell--command-completion-data): Shell completion now matches
10366 executable filenames from the current buffer's directory, on
10367 systems in which this behavior is the default (windows-nt, ms-dos).
10369 2013-12-27 Lars Ingebrigtsen <larsi@gnus.org>
10371 * net/shr.el (shr-insert): Don't infloop if the width is zero.
10373 2013-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
10375 * icomplete.el (icomplete-show-matches-on-no-input): Default to nil
10378 * electric.el: Move all electric-pair-* to elec-pair.el.
10379 * elec-pair.el: New file, split from electric.el.
10381 2013-12-27 Lars Ingebrigtsen <larsi@gnus.org>
10383 * net/shr.el (shr-find-fill-point): Don't try to fill if the
10384 indentation level is larger than the width, because that will
10386 (shr-insert): Fill repeatedly long texts, so that Japanese is
10387 formatted correctly (bug#16263).
10388 (shr-find-fill-point): Off by one error in comparison with the
10391 2013-12-26 João Távora <joaotavora@gmail.com>
10393 * electric.el (electric-pair-mode): More flexible engine for skip-
10394 and inhibit predicates, new options for pairing-related functionality.
10395 (electric-pair-preserve-balance): Pair/skip parentheses and quotes
10396 if that keeps or improves their balance in buffers.
10397 (electric-pair-delete-adjacent-pairs): Delete the pair when
10398 backspacing over adjacent matched delimiters.
10399 (electric-pair-open-extra-newline): Open extra newline when
10400 inserting newlines between adjacent matched delimiters.
10401 (electric--sort-post-self-insertion-hook):
10402 Sort post-self-insert-hook according to priority values when
10403 minor-modes are activated.
10404 * simple.el (newline-and-indent): Call newline with interactive
10406 (blink-paren-post-self-insert-function): Set priority to 100.
10407 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
10408 Use electric-pair-text-pairs to pair backtick-and-quote in strings and
10409 comments. Locally set electric-pair-skip-whitespace to 'chomp and
10410 electric-pair-open-newline-between-pairs to nil.
10412 2013-12-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
10414 * progmodes/python.el: Use lexical-binding.
10415 (python-nav-beginning-of-defun): Stop searching ASAP.
10417 2013-12-25 Xue Fuqiao <xfq.free@gmail.com>
10419 * vc/vc.el (vc-ignore): Use `vc-responsible-backend'.
10420 Fix interactive spec. Doc fix. (Bug#15754)
10422 2013-12-25 Katsumi Yamaoka <yamaoka@jpl.org>
10424 * emacs-lisp/byte-run.el (eval-when-compile):
10425 * progmodes/cc-defs.el (cc-eval-when-compile):
10426 Fix edebug spec (bug#16184).
10428 2013-12-25 Lars Ingebrigtsen <larsi@gnus.org>
10430 * net/shr.el (shr-visit-file): Remove debugging function.
10431 (shr-insert): Don't infloop if we can't find a good place to break
10432 the line (bug#16256).
10434 2013-12-25 Fabián Ezequiel Gallina <fgallina@gnu.org>
10436 * progmodes/python.el (python-nav--lisp-forward-sexp): New function.
10437 (python-nav--lisp-forward-sexp-safe): Use it. Rename from
10438 python-nav-lisp-forward-sexp-safe.
10439 (python-nav--forward-sexp): New argument SAFE allows switching
10440 forward sexp movement behavior for parens.
10441 (python-nav-forward-sexp): Throw errors on unterminated parens
10443 (python-nav-backward-sexp, python-nav-forward-sexp-safe)
10444 (python-nav-backward-sexp-safe): New functions.
10445 (python-shell-buffer-substring):
10446 Use `python-nav-forward-sexp-safe'.
10448 2013-12-25 Lars Ingebrigtsen <larsi@gnus.org>
10450 * net/shr.el (shr-find-fill-point): Don't break lines before a
10452 (shr-char-kinsoku-bol-p): The quotation mark isn't a kinsoky BOL char.
10453 (shr-find-fill-point): Remove the special checks for the quotation
10454 mark, since `shr-char-kinsoku-bol-p' should now return the right thing.
10456 2013-12-25 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
10458 * net/eww.el (eww-form-textarea): Use a different face for
10459 textareas than text input since they have different keymaps
10462 2013-12-24 Fabián Ezequiel Gallina <fgallina@gnu.org>
10464 * progmodes/python.el (python-nav-beginning-of-statement):
10465 Speed up (Bug#15295).
10467 2013-12-24 Lars Ingebrigtsen <larsi@gnus.org>
10469 * net/eww.el (eww-bookmark-browse): Use `quit-window' to restore
10470 the window configuration.
10472 2013-12-24 Eli Zaretskii <eliz@gnu.org>
10474 * net/eww.el (eww-open-file): Ensure 3 slashes after "file:" when
10475 we run on MS-Windows or MS-DOS.
10477 2013-12-24 Martin Rudalics <rudalics@gmx.at>
10479 * window.el (balance-windows-area): Call window-size instead of
10480 window-height and window-width. Bug#16241.
10482 2013-12-24 Lars Ingebrigtsen <larsi@gnus.org>
10484 * net/eww.el (eww-bookmark-quit): Remove.
10485 (eww-bookmark-browse): Restore the window configuration when you
10486 choose a bookmark (bug#16144).
10488 2013-12-24 Daniel Colascione <dancol@dancol.org>
10490 * icomplete.el: Remove redundant :group arguments to `defcustom'
10492 (icomplete-show-matches-on-no-input): New customizable variable.
10493 (icomplete-minibuffer-setup): Call `icomplete-exhibit' on setup if
10494 we have something to show.
10495 (icomplete-exhibit): Compute completions even if we have no user input.
10497 2013-12-23 Daniel Colascione <dancol@dancol.org>
10499 * icomplete.el: Move `provide' to end of file.
10501 2013-12-23 Teodor Zlatanov <tzz@lifelogs.com>
10503 * net/gnutls.el (gnutls-verify-error): Add version tag.
10505 2013-12-23 Chong Yidong <cyd@gnu.org>
10507 * subr.el (set-transient-map): Rename from
10508 set-temporary-overlay-map. Doc fix.
10510 * face-remap.el (text-scale-adjust):
10511 * indent.el (indent-rigidly):
10512 * kmacro.el (kmacro-call-macro):
10513 * minibuffer.el (minibuffer-force-complete):
10514 * repeat.el (repeat):
10515 * simple.el (universal-argument--mode):
10516 * calendar/todo-mode.el (todo-insert-item--next-param):
10517 * progmodes/f90.el (f90-abbrev-start): Callers changed.
10519 * indent.el (indent-rigidly): Use substitute-command-keys.
10521 2013-12-22 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
10523 * net/eww.el (eww-tag-select): Add text-property to jump to next
10525 (eww): Add non-supported ftp error.
10527 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
10529 * progmodes/ruby-mode.el (ruby--electric-indent-p): Improve the
10530 comments. Handle electric indent after typing `?' and `!'.
10532 2013-12-22 Chong Yidong <cyd@gnu.org>
10534 * faces.el (face-spec-recalc): If the theme specs are not
10535 applicable to a frame, fall back on the defface spec.
10536 This prevents themes from obliterating faces on low-color terminals.
10538 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
10540 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Return t
10541 after `{'. We need it after block openers, and it doesn't seem
10542 to hurt after hash openers.
10544 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
10546 * progmodes/ruby-mode.el (ruby--at-indentation-p): New function,
10547 extracted from `ruby-smie-rules'.
10548 (ruby--electric-indent-chars): New variable.
10549 (ruby--electric-indent-p): New function.
10550 (ruby-mode): Use `electric-indent-functions' instead of
10551 `electric-indent-chars'.
10553 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
10555 * progmodes/ruby-mode.el (ruby-align-to-stmt-keywords): Tweak the
10557 (ruby-smie-rules): Indent plus one level after `=>'.
10559 2013-12-21 Richard Stallman <rms@gnu.org>
10561 * simple.el (newline): Doc fix.
10563 2013-12-21 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
10565 * net/eww.el (eww-list-histories, eww-list-histories)
10566 (eww-history-browse, eww-history-quit, eww-history-kill)
10567 (eww-history-mode-map, eww-history-mode): New command and
10568 functions to list browser histories.
10569 (eww-form-text): Support text form with disabled
10570 and readonly attributes.
10571 (eww-checkbox-map): Fix wrong key bind to `eww-toggle-checkbox'.
10573 2013-12-21 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
10575 * net/eww.el (eww-back-url, eww-forward-url, eww-next-url)
10576 (eww-previous-url, eww-up-url, eww-top-url, eww-add-bookmark)
10577 (eww-bookmark-prepare, eww-bookmark-kill, eww-bookmark-yank)
10578 (eww-bookmark-browse, eww-next-bookmark, eww-previous-bookmark):
10580 (eww-bookmark-mode-map): Add menu.
10581 (eww-render, eww-mode): Use `setq-local'.
10582 (eww-tool-bar-map): New variable.
10583 (eww-mode): Set `tool-bar-map'.
10584 (eww-view-source): Check for `html-mode' with `fboundp'.
10586 2013-12-21 Lars Magne Ingebrigtsen <larsi@gnus.org>
10588 * net/shr.el (shr--extract-best-source): Don't bug out on audio
10589 elements with text inside. Also remove debugging.
10591 2013-12-21 Jan Djärv <jan.h.d@swipnet.se>
10593 * cus-start.el (all): Add ns-use-srgb-colorspace.
10595 2013-12-21 Chong Yidong <cyd@gnu.org>
10597 * custom.el (custom-theme-recalc-face): Do nothing if the face is
10598 undefined. Thus, theme settings for undefined faces do not take
10599 effect until the faces are defined with defface, the same as with
10602 * faces.el (face-spec-set): Use face-spec-recalc in all cases.
10603 (face-spec-reset-face): Don't assign extra properties in temacs.
10604 (face-spec-recalc): Apply X resources too.
10606 2013-12-21 Chong Yidong <cyd@gnu.org>
10608 * faces.el (face-spec-set):
10609 * cus-face.el (custom-theme-set-faces, custom-set-faces):
10610 * custom.el (defface): Doc fixes (Bug#16203).
10612 * indent.el (indent-rigidly-map): Add docstring, and move commands
10613 into named functions.
10614 (indent-rigidly-left, indent-rigidly-right)
10615 (indent-rigidly-left-to-tab-stop)
10616 (indent-rigidly-right-to-tab-stop): New functions. Decide on
10617 indentation direction based on bidi direction, and accumulate
10618 sequential commands in a single undo boundary.
10619 (indent-rigidly--pop-undo): New utility function.
10621 2013-12-20 Juanma Barranquero <lekktu@gmail.com>
10623 * faces.el (read-face-name): Require crm.el when using crm-separator.
10625 2013-12-20 Daniel Colascione <dancol@dancol.org>
10627 * progmodes/sh-script.el (sh-mode): Tweak paragraph-separate
10628 so that we don't reflow comments into the shebang line.
10630 2013-12-20 Juri Linkov <juri@jurta.org>
10632 * saveplace.el (save-place-to-alist): Add `dired-filename' as
10633 a position when `dired-directory' is non-nil. Check integer
10634 positions with `integerp'.
10635 (toggle-save-place, save-places-to-alist): Add check for
10637 (save-place-find-file-hook): Check integer positions with
10639 (save-place-dired-hook): Use `dired-goto-file' when
10640 `dired-filename' is found in the assoc list. Check integer
10641 positions with `integerp'.
10642 (dired-initial-position-hook): Rename from `dired-initial-point-hook'.
10644 * dired.el (dired-initial-position-hook): Rename back from
10645 `dired-initial-point-hook'.
10646 (dired-initial-position): Rename `dired-initial-point-hook' to
10647 `dired-initial-position-hook'.
10648 (dired-file-name-at-point): Doc fix. (Bug#15329)
10650 2013-12-20 Juri Linkov <juri@jurta.org>
10652 * replace.el (read-regexp-defaults-function): New defcustom (bug#14405).
10653 (read-regexp-suggestions): New function.
10654 (read-regexp): Use `read-regexp-defaults-function' to get default values.
10655 Use `read-regexp-suggestions'. Add non-empty default to history
10657 (occur-read-regexp-defaults-function): Remove function.
10658 (occur-read-primary-args): Use `regexp-history-last' instead of
10659 `occur-read-regexp-defaults-function'.
10661 * hi-lock.el (hi-lock-read-regexp-defaults-function): Remove function.
10662 (hi-lock-line-face-buffer, hi-lock-face-buffer)
10663 (hi-lock-face-phrase-buffer): Use `regexp-history-last' instead of
10664 `hi-lock-read-regexp-defaults-function'. Doc fix.
10665 (hi-lock-face-symbol-at-point): Replace `find-tag-default-as-regexp'
10666 with `find-tag-default-as-symbol-regexp'. Doc fix.
10667 (hi-lock-read-regexp-defaults): Remove function.
10668 (hi-lock-regexp-okay): Add check for null.
10670 * progmodes/grep.el (grep-read-regexp): Use `grep-tag-default' for
10671 the arg DEFAULTS. Move formatting of the prompt to `read-regexp'.
10673 * subr.el (find-tag-default-as-symbol-regexp): New function.
10674 (find-tag-default-as-regexp): Move symbol regexp formatting to
10675 `find-tag-default-as-symbol-regexp'.
10677 2013-12-20 E Sabof <esabof@gmail.com> (tiny change)
10679 * hi-lock.el (hi-lock-set-pattern): Check for `font-lock-specified-p'.
10682 2013-12-20 Stephen Berman <stephen.berman@gmx.net>
10684 * calendar/todo-mode.el: New implementation of item insertion
10685 commands and key bindings.
10686 (todo-key-prompt): New face.
10687 (todo-insert-item): New command.
10688 (todo-insert-item--parameters): New defconst, replacing defvar
10689 todo-insertion-commands-args-genlist.
10690 (todo-insert-item--param-key-alist): New defconst, replacing
10691 defvar todo-insertion-commands-arg-key-list.
10692 (todo-insert-item--keyof, todo-insert-item--this-key): New defsubsts.
10693 (todo-insert-item--argsleft, todo-insert-item--apply-args)
10694 (todo-insert-item--next-param): New functions.
10695 (todo-insert-item--args, todo-insert-item--argleft)
10696 (todo-insert-item--argsleft, todo-insert-item--newargsleft):
10698 (todo-key-bindings-t): Change binding of "i" from
10699 todo-insertion-map to todo-insert-item.
10700 (todo-powerset, todo-gen-arglists, todo-insertion-commands-args)
10701 (todo-insertion-command-name, todo-insertion-commands-names)
10702 (todo-define-insertion-command, todo-insertion-commands)
10703 (todo-insertion-key-bindings, todo-insertion-map): Remove.
10705 2013-12-20 Stephen Berman <stephen.berman@gmx.net>
10707 * calendar/todo-mode.el: Bug fixes and new features (bug#15225).
10708 (todo-toggle-item-highlighting): Use eval-and-compile instead of
10710 (todo-move-category): Allow choosing a non-existing todo file to
10711 move the category to, and create that file.
10712 (todo-default-priority): New user option.
10713 (todo-set-item-priority): Use it.
10714 (todo-desktop-save-buffer, todo-restore-desktop-buffer): New functions.
10715 (desktop-restore-file-buffer): Declare.
10716 (desktop-buffer-mode-handlers): Add todo-restore-desktop-buffer.
10717 (todo-modes-set-2): Locally set desktop-save-buffer to
10718 todo-desktop-save-buffer.
10719 (todo-mode, todo-archive-mode, todo-filtered-items-mode)
10720 (auto-mode-alist): Add autoload cookie.
10722 2013-12-20 Bozhidar Batsov <bozhidar@batsov.com>
10724 * emacs-lisp/subr-x.el: Renamed from helpers.el.
10725 helpers.el was a poor choice of name.
10726 (string-remove-prefix): New function.
10727 (string-remove-suffix): New function.
10729 2013-12-20 Martin Rudalics <rudalics@gmx.at>
10731 Fix assignment for new window total sizes.
10732 * window.el (window--pixel-to-size): Remove function.
10733 (window--pixel-to-total-1, window--pixel-to-total):
10734 Fix calculation of new total sizes.
10736 2013-12-20 Vitalie Spinu <spinuvit@gmail.com>
10738 * comint.el (comint-output-filter): Fix rear-nonsticky property
10739 placement (Bug#16010).
10741 2013-12-20 Chong Yidong <cyd@gnu.org>
10743 * faces.el (read-color): Minor fix for completion function.
10745 2013-12-20 Dmitry Gutov <dgutov@yandex.ru>
10747 * progmodes/ruby-mode.el (ruby-align-to-stmt-keywords):
10748 New option. (Bug#16182)
10749 (ruby-smie--indent-to-stmt-p): Use it.
10750 (ruby-smie-rules): Revert the logic in the handling of `when'.
10751 Expand the begin clause to handle `ruby-align-to-stmt-keywords'.
10752 (ruby-deep-arglist, ruby-deep-indent-paren)
10753 (ruby-deep-indent-paren-style): Update docstrings to note that the
10754 vars don't have any effect with SMIE.
10756 2013-12-20 Jay Belanger <jay.p.belanger@gmail.com>
10758 * calc/calc.el (calc-enter, calc-pop): Use the variable
10759 `calc-context-sensitive-enter'.
10761 2013-12-20 Lars Magne Ingebrigtsen <larsi@gnus.org>
10763 * net/shr.el (shr-insert): Protect against infloops in degenerate
10766 2013-12-20 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
10768 * progmodes/octave.el (octave): Add link to manual and octave
10770 (octave-mode-menu): Link to octave-mode manual.
10772 2013-12-20 Leo Liu <sdl.web@gmail.com>
10774 * skeleton.el (skeleton-pair-insert-maybe): Disable newline
10775 insertion using skeleton-end-newline. (Bug#16138)
10777 2013-12-20 Juri Linkov <juri@jurta.org>
10779 * replace.el (occur-engine): Use `add-face-text-property'
10780 to add the face property to matches and titles. (Bug#14645)
10782 * hi-lock.el (hi-green): Use lighter color "light green" closer to
10783 the palette of other hi-lock colors.
10784 (hi-lock-set-pattern): Prepend hi-lock face to the existing face.
10786 2013-12-19 Juri Linkov <juri@jurta.org>
10788 * isearch.el (isearch-mode-map): Bind `M-s e' to `isearch-edit-string'.
10789 Put :advertised-binding on `M-s c', `M-s r', `M-s e'. (Bug#16035)
10790 (minibuffer-history-symbol): Move variable declaration closer to
10793 * isearchb.el (isearchb): Add `event-basic-type' on `last-command-event'.
10796 2013-12-19 Juri Linkov <juri@jurta.org>
10798 * vc/log-edit.el (log-edit-insert-filenames-without-changelog):
10800 (log-edit-hook): Add it to :options. (Bug#16170)
10802 2013-12-19 Juri Linkov <juri@jurta.org>
10804 * simple.el (eval-expression-print-format): Don't check for
10805 command names and the last command. Always display additional
10806 formats of the integer result in the echo area, and insert them
10807 to the current buffer only with a zero prefix arg.
10808 Display character when char-displayable-p is non-nil.
10809 (eval-expression): With a zero prefix arg, set `print-length' and
10810 `print-level' to nil, and insert the integer values from
10811 `eval-expression-print-format' at the end. Doc fix. (Bug#12985)
10813 * emacs-lisp/lisp-mode.el (eval-print-last-sexp): Add arg
10814 `eval-last-sexp-arg-internal'. Doc fix.
10815 (eval-last-sexp-1): Pass arg `eval-last-sexp-arg-internal' to
10816 `eval-last-sexp-print-value'. Doc fix.
10817 (eval-last-sexp-print-value): Add arg `eval-last-sexp-arg-internal'.
10818 Set `print-length' and `print-level' to nil when arg is zero.
10819 (eval-last-sexp): Doc fix.
10820 (eval-defun-2): Print the integer values from
10821 `eval-expression-print-format' at the end.
10823 * emacs-lisp/edebug.el (edebug-eval-defun): Print the integer
10824 values from `eval-expression-print-format' at the end.
10826 * ielm.el (ielm-eval-input): Print the integer
10827 values from `eval-expression-print-format' at the end.
10829 2013-12-19 Teodor Zlatanov <tzz@lifelogs.com>
10831 * net/eww.el (eww-exit, eww-close, eww-mode-map): Revert change of
10832 2013-12-11T19:01:44Z!tzz@lifelogs.com.
10834 2013-12-19 Stefan Monnier <monnier@iro.umontreal.ca>
10836 * hl-line.el (hl-line-make-overlay): New fun. Set priority (bug#16192).
10837 (hl-line-highlight, global-hl-line-highlight): Use it.
10838 (hl-line-overlay): Use defvar-local.
10840 2013-12-19 Jan Djärv <jan.h.d@swipnet.se>
10842 * term/ns-win.el: Require dnd.
10843 (global-map): Remove drag items.
10844 (ns-insert-text, ns-set-foreground-at-mouse)
10845 (ns-set-background-at-mouse):
10846 Remove (ns-drag-n-drop, ns-drag-n-drop-other-frame)
10847 (ns-drag-n-drop-as-text, ns-drag-n-drop-as-text-other-frame):
10850 2013-12-19 Glenn Morris <rgm@gnu.org>
10852 * emacs-lisp/ert.el (ert-select-tests):
10853 Fix string/symbol mixup. (Bug#16121)
10855 2013-12-19 Dmitry Gutov <dgutov@yandex.ru>
10857 * progmodes/ruby-mode.el (ruby-smie-rules): Indent middle-of-block
10858 keywords to their parent.
10860 2013-12-19 Dmitry Gutov <dgutov@yandex.ru>
10862 * progmodes/ruby-mode.el (ruby-smie--args-separator-p): Allow the
10863 first arg to be a string (fixed dead code), or an operator symbol.
10864 (ruby-smie--forward-token): Tokenize ` @ ' before strings and
10866 (ruby-smie-rules): Remove parent token check in the `.' clause, it
10867 did nothing. Don't respond to `(:after ".")', it will be called
10868 with :before anyway. Remove the ` @ ' rule, it didn't seem to
10869 change anything. Only return indentation for binary operators
10870 when they are hanging. De-dent opening paren when its parent is
10871 `.', otherwise it looks bad when the dot is not at bol or eol
10874 2013-12-19 Juri Linkov <juri@jurta.org>
10876 * replace.el (query-replace-read-args): Split a non-negative arg
10877 and a negative arg into separate elements.
10878 (query-replace, query-replace-regexp, replace-string)
10879 (replace-regexp): Add arg `backward'. Doc fix.
10880 (replace-match-maybe-edit): When new arg `backward' is non-nil,
10881 move point to the beginning of the match.
10882 (replace-search, replace-highlight): Use new arg `backward'
10883 to set the value of `isearch-forward'.
10884 (perform-replace): Add arg `backward' and use it to perform
10885 replacement backward. (Bug#14979)
10887 * isearch.el (isearch-query-replace): Use a negative prefix arg
10888 to call `perform-replace' with a non-nil arg `backward'.
10890 2013-12-18 Juri Linkov <juri@jurta.org>
10892 * vc/log-edit.el (log-edit-hook): Add `log-edit-insert-message-template'
10893 to the default list. Move `log-edit-show-files' to the end.
10894 Add more available functions to options.
10895 (log-edit): Move default specific settings to
10896 `log-edit-insert-message-template'. Don't move point.
10897 (log-edit-insert-message-template): New function.
10898 (log-edit-insert-changelog): Add `save-excursion' and don't move point.
10901 2013-12-18 Juri Linkov <juri@jurta.org>
10903 * help-mode.el (help-mode-map): Bind "l" to help-go-back,
10904 and "r" to help-go-forward for compatibity with Info. (Bug#16178)
10906 2013-12-18 Leo Liu <sdl.web@gmail.com>
10908 * eshell/em-prompt.el (eshell-emit-prompt): Fix last change.
10911 2013-12-18 Eli Zaretskii <eliz@gnu.org>
10913 * ls-lisp.el (ls-lisp-insert-directory): Don't modify %d and %f
10914 formats for displaying file sizes when the -s switch is given.
10915 Instead, compute a separate format for displaying the size in
10916 blocks, which is displayed in addition to the "regular" size.
10917 When -h is given in addition to -s, produce size in blocks in
10918 human-readable form as well. (Bug#16179)
10920 2013-12-18 Tassilo Horn <tsdh@gnu.org>
10922 * textmodes/reftex-vars.el (reftex-label-alist-builtin):
10923 Reference tables with ~\ref{...} instead of only \ref{...}.
10925 2013-12-18 Chong Yidong <cyd@gnu.org>
10927 * cus-edit.el (custom-magic-alist): Fix "themed" description
10930 * custom.el (custom-push-theme): If custom--inhibit-theme-enable
10931 is non-nil, do not create a new entry in the symbol's theme-value
10932 or theme-face property; update theme-settings only (Bug#14664).
10933 (custom-available-themes): Doc fix.
10935 * cus-theme.el (custom-new-theme-mode-map): Add bindings
10938 * replace.el (occur-engine): Avoid infloop (Bug#7593).
10940 2013-12-18 Kazuhiro Ito <kzhr@d1.dion.ne.jp> (tiny change)
10942 * progmodes/make-mode.el (makefile-fill-paragraph): Fix infloop
10945 2013-12-18 Shigeru Fukaya <shigeru.fukaya@gmail.com>
10947 * apropos.el (apropos-words-to-regexp): Fix algorithm (Bug#13946).
10949 2013-12-18 Glenn Morris <rgm@gnu.org>
10951 * Makefile.in (BYTE_COMPILE_FLAGS): Set load-prefer-newer to t.
10952 * cus-start.el (load-prefer-newer): New option.
10954 2013-12-18 Le Wang <l26wang@gmail.com>
10956 * comint.el (comint-previous-matching-input-from-input):
10957 Retain point (Bug#13404).
10959 2013-12-18 Chong Yidong <cyd@gnu.org>
10961 * simple.el (append-next-kill): Doc fix (Bug#15995, Bug#16016).
10963 2013-12-18 Glenn Morris <rgm@gnu.org>
10965 * mail/emacsbug.el (report-emacs-bug):
10966 Only mention enable-multibyte-characters if non-standard.
10968 2013-12-17 Juri Linkov <juri@jurta.org>
10970 * arc-mode.el (archive-extract-by-file): Check if directory exists
10971 before deletion to not show irrelevant errors if it doesn't exist.
10973 2013-12-17 Juri Linkov <juri@jurta.org>
10975 * menu-bar.el (menu-bar-tools-menu): Add `browse-web'.
10978 * net/eww.el (browse-web): Add alias to `eww'.
10979 (eww-mode-map): Bind "r" to `eww-forward-url' like in Info.
10980 Bind "S-SPC" to `scroll-down-command'. (Bug#16178)
10982 * net/browse-url.el (browse-url-browser-function): Move `eww'
10983 closer to similar functions.
10985 * startup.el (fancy-startup-screen, fancy-about-screen):
10986 Set browse-url-browser-function to eww-browse-url locally.
10989 2013-12-17 Stefan Monnier <monnier@iro.umontreal.ca>
10991 * window.el (window--pixel-to-total): Remove unused `mini' var.
10992 (maximize-window, minimize-window): Remove unused `pixelwise' arg.
10993 (split-window): Remove unused `new' var.
10994 (window--display-buffer): Remove unused `frame' and `delta' vars.
10995 (fit-window-to-buffer): Remove unused vars `frame', `display-height',
10996 and display-width'.
10998 2013-12-17 Martin Rudalics <rudalics@gmx.at>
11000 * dired.el (dired-mark-pop-up):
11001 * register.el (register-preview): Don't bind
11002 split-height-threshold here since it's now done in
11003 display-buffer-below-selected.
11005 2013-12-17 oblique <psyberbits@gmail.com> (tiny change)
11007 * term/rxvt.el (rxvt-rgb-convert-to-16bit): Standardize with
11008 xterm-rgb-convert-to-16bit.
11009 (rxvt-register-default-colors): Standardize with
11010 xterm-register-default-colors (Bug#14078).
11012 2013-12-17 Dima Kogan <dima@secretsauce.net> (tiny change)
11014 * simple.el (kill-region): Pass mark first, then point, so that
11015 kill-append works right (Bug#12819).
11016 (copy-region-as-kill, kill-ring-save): Likewise.
11018 2013-12-17 Leo Liu <sdl.web@gmail.com>
11020 * net/rcirc.el (rcirc-add-face):
11021 * eshell/em-prompt.el (eshell-emit-prompt):
11022 * eshell/em-ls.el (eshell-ls-decorated-name): Use font-lock-face.
11025 2013-12-17 Chong Yidong <cyd@gnu.org>
11027 * files.el (break-hardlink-on-save): Doc fix (Bug#13801).
11028 Suggested by Xue Fuqiao.
11030 2013-12-17 Dmitry Gutov <dgutov@yandex.ru>
11032 * progmodes/ruby-mode.el (ruby-smie-rules): Indent ternary if.
11034 2013-12-17 Stefan Monnier <monnier@iro.umontreal.ca>
11036 * net/shr.el (shr-insert-document): Remove unused var
11037 `shr-preliminary-table-render'.
11038 (shr-rescale-image): Remove unused arg `force'.
11039 (shr-put-image): Update calls accordingly.
11040 (shr-tag-a): Use `cont' rather than dyn-bound `dom'.
11042 2013-12-17 Dmitry Gutov <dgutov@yandex.ru>
11044 * emacs-lisp/smie.el (smie-indent--rule): Extract `smie-indent--rule-1'.
11045 (smie-indent-close): Call `smie-indent--rule-1' with METHOD
11046 :close-all, to see which indentation method to use (Bug#16116).
11047 (smie-rules-function): Document the method :close-all.
11049 2013-12-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
11051 * net/shr.el (shr-tag-a): Support zero-length <a name="foo"> elements.
11053 * net/eww.el (eww-display-html): If we can't find the anchor we're
11054 looking for, then go to point-min.
11056 2013-12-16 Paul Eggert <eggert@cs.ucla.edu>
11058 Fix problems with CANNOT_DUMP and EMACSLOADPATH.
11059 * Makefile.in (emacs): Add lisp src to EMACSLOADPATH.
11060 * loadup.el: Check for src/bootstrap-emacs only when Emacs can dump.
11061 Expand dir too, in case it's relative.
11063 2013-12-16 Juri Linkov <juri@jurta.org>
11065 * desktop.el (desktop-auto-save-timeout): Change default to
11066 `auto-save-timeout'. Doc fix.
11067 (desktop-save): Skip the timestamp in desktop-saved-frameset
11068 when checking for auto-save changes.
11069 (desktop-auto-save): Don't call desktop-auto-save-set-timer since
11070 `desktop-auto-save' is called repeatedly by the idle timer.
11071 (desktop-auto-save-set-timer): Replace `run-with-timer' with
11072 `run-with-idle-timer' and a non-nil arg REPEAT. Doc fix.
11075 2013-12-16 Juri Linkov <juri@jurta.org>
11077 * isearch.el (isearch-mode-map): Remove [escape] key bindinds.
11079 (isearch-pre-command-hook): Check `this-command' for symbolp.
11081 2013-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
11083 * emacs-lisp/gv.el (gv-ref): Mention lexbind restriction (bug#16153).
11085 2013-12-16 Teodor Zlatanov <tzz@lifelogs.com>
11087 * progmodes/cfengine.el (cfengine3--current-word): Remove.
11088 (cfengine3--current-function): Bring in the current-function
11089 functionality from `cfengine3--current-word'.
11090 (cfengine3-completion-function): Bring in the
11091 bounds-of-current-word functionality from
11092 `cfengine3--current-word'.
11094 2013-12-16 Martin Rudalics <rudalics@gmx.at>
11096 * window.el (display-buffer-below-selected):
11097 Bind split-height-threshold to 0 as suggested by Juri Linkov.
11099 2013-12-16 Leo Liu <sdl.web@gmail.com>
11101 * progmodes/compile.el (compile-goto-error): Do not push-mark.
11102 Remove NOMSG arg and all uses changed.
11104 2013-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
11106 * emulation/cua-rect.el (cua-rectangle-mark-mode): New minor mode.
11107 (cua--deactivate-rectangle): Don't deactivate the mark.
11108 (cua-set-rectangle-mark): Don't set mark-active since
11109 cua--activate-rectangle already does it for us.
11110 (cua--rectangle-highlight-for-redisplay): Unhighlight a previous
11111 non-rectangular region.
11113 * emulation/cua-base.el (cua-repeat-replace-region):
11114 Use with-current-buffer.
11116 * net/gnutls.el: Use cl-lib.
11117 (gnutls-negotiate): `mapcan' -> cl-mapcan.
11119 2013-12-14 Teodor Zlatanov <tzz@lifelogs.com>
11121 * emacs-lisp/package.el (package-built-in-p): Support both
11122 built-in and the package.el converted package descriptions.
11123 (package-show-package-list): Allow keywords.
11124 (package-keyword-button-action): Use it instead of
11125 `finder-list-matches'.
11126 (package-menu-filter-interactive): Interactive filtering (by
11128 (package-menu--generate): Support keywords and change keymappings
11129 and headers when they are given.
11130 (package--has-keyword-p): Helper function.
11131 (package-menu--refresh): Use it.
11132 (package--mapc): Helper function.
11133 (package-all-keywords): Use it.
11134 (package-menu-mode-map): Set up menu items and keybindings to
11135 provide a filtering UI.
11137 2013-12-14 Teodor Zlatanov <tzz@lifelogs.com>
11139 * net/gnutls.el (gnutls-verify-error): New defcustom to control
11140 the behavior when a certificate fails validation. Defaults to
11141 old behavior: never abort, just warn.
11142 (gnutls-negotiate): Use it.
11144 2013-12-14 Martin Rudalics <rudalics@gmx.at>
11146 * window.el (display-buffer-below-selected): Never split window
11147 horizontally. Suggested by Juri Linkov <juri@jurta.org>.
11149 2013-12-14 Tom Willemse <tom@ryuslash.org> (tiny change)
11151 * emacs-lisp/package.el (package--prepare-dependencies): New function.
11152 (package-buffer-info): Use it (bug#15108).
11154 2013-12-14 Stefan Monnier <monnier@iro.umontreal.ca>
11156 * icomplete.el (icomplete-completions): Make sure the prefix is already
11157 displayed elsewhere before hiding it (bug#16219).
11159 2013-12-14 Dmitry Gutov <dgutov@yandex.ru>
11161 * progmodes/ruby-mode.el (ruby-smie-rules): Return nil before
11162 open-paren tokens when preceded by a open-paren, too.
11163 (ruby-smie-rules): Handle virtual indentation after open-paren
11164 tokens specially. If there is code between it and eol, return the
11165 column where is starts (Bug#16118).
11167 2013-12-13 Teodor Zlatanov <tzz@lifelogs.com>
11169 * progmodes/cfengine.el: Fix `add-hook' doc.
11170 (cfengine-mode-syntax-functions-regex): Initialize sensibly.
11171 (cfengine3--current-word): Fix parameters.
11172 (cfengine3-make-syntax-cache): Simplify further.
11173 (cfengine3-completion-function, cfengine3--current-function):
11174 Use `assq' for symbols.
11175 (cfengine3--current-function): Fix `cfengine3--current-word' call.
11177 2013-12-13 Glenn Morris <rgm@gnu.org>
11179 * loadup.el (load-path): Warn if site-load or site-init changes it.
11180 No more need to reset it when bootstrapping.
11182 2013-12-13 Teodor Zlatanov <tzz@lifelogs.com>
11184 * progmodes/cfengine.el (cfengine-cf-promises): Add more default
11185 locations for cf-promises.
11186 (cfengine-mode-syntax-functions-regex): New caching variable.
11187 (cfengine3-fallback-syntax): Fallback syntax for cases where
11188 cf-promises doesn't run.
11189 (cfengine3--current-word): Reimplement using
11190 `cfengine-mode-syntax-functions-regex'.
11191 (cfengine3-completion-function, cfengine3--current-function):
11192 Use `cfengine3-make-syntax-cache' directly.
11193 (cfengine3-clear-syntax-cache): New function.
11194 (cfengine3-make-syntax-cache): Simplify and create
11195 `cfengine-mode-syntax-functions-regex' on demand.
11196 (cfengine3-format-function-docstring): Don't call
11197 `cfengine3-make-syntax-cache' explicitly.
11199 2013-12-13 Martin Rudalics <rudalics@gmx.at>
11201 Fix windmove-find-other-window broken after pixelwise resizing
11203 * windmove.el (windmove-other-window-loc): Revert change from
11205 (windmove-find-other-window): Call window-in-direction.
11206 * window.el (window-in-direction): New arguments SIGN, WRAP and
11207 MINI to emulate original windmove-find-other-window behavior.
11209 2013-12-13 Dmitry Gutov <dgutov@yandex.ru>
11211 * simple.el (blink-matching--overlay): New variable.
11212 (blink-matching-open): Instead of moving point, highlight the
11213 matching paren with an overlay
11214 (http://lists.gnu.org/archive/html/emacs-devel/2013-12/msg00333.html).
11216 * faces.el (paren-showing-faces, show-paren-match)
11217 (show-paren-mismatch): Move from paren.el.
11219 2013-12-13 Leo Liu <sdl.web@gmail.com>
11221 * indent.el (indent-region): Disable progress reporter in
11222 minibuffer. (Bug#16108)
11224 * bindings.el (visual-order-cursor-movement): Fix version.
11226 2013-12-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
11228 * progmodes/python.el (python-pdbtrack-stacktrace-info-regexp):
11229 Also match after beginning of line.
11230 (python-pdbtrack-set-tracked-buffer): Fix logic for remote
11231 files. Thanks to Russell Sim. (Bug#15378)
11233 2013-12-13 Juri Linkov <juri@jurta.org>
11235 * simple.el <Keypad support>: Remove key bindings duplicated
11236 with bindings.el. (Bug#14397)
11238 2013-12-13 Juri Linkov <juri@jurta.org>
11240 * comint.el (comint-mode-map): Replace `delete-char' with
11241 `delete-forward-char'. (Bug#16109)
11243 2013-12-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
11245 * progmodes/python.el (python-indent-calculate-indentation):
11246 Fix de-denters cornercase. (Bug#15731)
11248 2013-12-12 Stefan Monnier <monnier@iro.umontreal.ca>
11250 * emacs-lisp/nadvice.el: Add `depth' property to manage ordering.
11251 (advice--make): Pay attention to `depth'.
11252 (advice--make-1): Don't autoload commands eagerly.
11253 * emacs-lisp/elp.el (elp-instrument-function):
11254 * emacs-lisp/trace.el (trace-function-internal):
11255 * emacs-lisp/debug.el (debug-on-entry): Keep them "first".
11257 * iswitchb.el (iswitchb-mode): Don't belittle ido.
11259 2013-12-12 Eli Zaretskii <eliz@gnu.org>
11261 * term/w32-win.el (w32-handle-dropped-file):
11262 * startup.el (normal-top-level):
11263 * net/browse-url.el (browse-url-file-url):
11264 * dnd.el (dnd-get-local-file-name): On MS-Windows, encode and
11265 decode file names using 'utf-8' rather than
11266 file-name-coding-system.
11268 2013-12-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
11270 * progmodes/python.el (python-indent-context)
11271 (python-indent-calculate-indentation): Fix auto-identation
11272 behavior for comment blocks. (Bug#15916)
11274 2013-12-12 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
11276 * progmodes/python.el (python-indent-calculate-indentation):
11277 When determining indentation, don't treat "return", "pass", etc., as
11278 operators when they are just string constituents. (Bug#15812)
11280 2013-12-12 Juri Linkov <juri@jurta.org>
11282 * uniquify.el (uniquify-buffer-name-style): Change default to
11283 `post-forward-angle-brackets'.
11285 * menu-bar.el (menu-bar-options-menu): Don't require preloaded
11286 `uniquify'. Change default to `post-forward-angle-brackets'.
11288 2013-12-11 Glenn Morris <rgm@gnu.org>
11290 * emacs-lisp/package.el (finder-list-matches):
11291 Autoload rather than falsely declaring.
11293 2013-12-11 Teodor Zlatanov <tzz@lifelogs.com>
11295 * net/eww.el (eww-exit, eww-close): Add UI convenience wrappers.
11296 (eww-mode-map): Use them.
11298 2013-12-11 Martin Rudalics <rudalics@gmx.at>
11300 * window.el (display-buffer-in-side-window): Fix doc-string
11303 2013-12-11 Juanma Barranquero <lekktu@gmail.com>
11305 * vc/vc-git.el: Silence byte-compiler warnings.
11306 (vc-git-dir-extra-headers): Rename arg _dir which is no longer ignored.
11307 (log-edit-set-header): Declare.
11309 2013-12-11 Eli Zaretskii <eliz@gnu.org>
11311 * Makefile.in (custom-deps, finder-data): Run output file names
11312 through unmsys--file-name. (Bug#16099)
11314 2013-12-11 Stefan Monnier <monnier@iro.umontreal.ca>
11316 * emacs-lisp/smie.el (smie-indent--hanging-p): Don't bother matching
11317 comment-start-skip, which fails when that uses submatch 1 (bug#16041).
11319 * emulation/cua-base.el (cua-paste): Add `delete-selection' property
11320 instead of deleting the selection "by hand" (bug#16098).
11321 Rely on insert-for-yank to yank rectangles.
11322 (cua-highlight-region-shift-only): Mark obsolete.
11323 (cua-mode): Don't enable/disable transient-mark-mode,
11324 shift-select-mode (cua-mode works both with and without them), and
11325 pc-selection-mode (obsolete).
11326 * emulation/cua-rect.el (cua--activate-rectangle): Activate the mark.
11327 (cua--deactivate-rectangle): Deactivate it.
11329 * delsel.el (delete-selection-mode): Don't enable transient-mark-mode.
11330 (delete-selection-helper): Make sure yank starts at the top of the
11332 (minibuffer-keyboard-quit): Use region-active-p.
11334 * emacs-lisp/trace.el (trace-make-advice): Don't deactivate the mark.
11336 * simple.el (normal-erase-is-backspace-mode): Map kp-delete identically
11337 to `delete' (bug#16109).
11339 2013-12-11 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
11341 * progmodes/octave.el (octave-mode, inferior-octave-mode): Link to
11342 info manual and show keybindings and set `:group' keyword.
11344 2013-12-11 Juri Linkov <juri@jurta.org>
11346 * delsel.el (delete-active-region): Let-bind `this-command'
11347 to prevent `kill-region' from changing its original value.
11348 (delete-selection-helper): Handle `overwrite-mode' for the type
11349 `kill' exactly the same way as for the type `t'.
11350 (insert-char, quoted-insert, reindent-then-newline-and-indent):
11351 Support more commands. (Bug#13312)
11353 2013-12-11 Juri Linkov <juri@jurta.org>
11355 * bindings.el: Map kp keys to non-kp keys systematically
11356 with basic modifiers control, meta and shift. (Bug#14397)
11358 2013-12-11 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
11360 * net/eww.el (eww-mode-map): Instead of "Quit" show "Exit" and
11361 "Close browser" menu items. Fix wrong function of "List
11364 2013-12-11 Juri Linkov <juri@jurta.org>
11366 * misearch.el (multi-isearch-buffers): Set the value of
11367 `multi-isearch-buffer-list' globally. Set NO-RECURSIVE-EDIT
11368 arg of isearch-forward to t.
11369 (multi-isearch-buffers-regexp): Set the value of
11370 `multi-isearch-buffer-list' globally. Set NO-RECURSIVE-EDIT
11371 arg of isearch-forward-regexp to t.
11372 (multi-isearch-files): Set the value of
11373 `multi-isearch-file-list' globally. Set NO-RECURSIVE-EDIT
11374 arg of isearch-forward to t.
11375 (multi-isearch-files-regexp): Set the value of
11376 `multi-isearch-file-list' globally. Set NO-RECURSIVE-EDIT
11377 arg of isearch-forward-regexp to t. (Bug#16035)
11379 * dired-aux.el (dired-isearch-filenames): Set NO-RECURSIVE-EDIT
11380 arg of isearch-forward to t.
11381 (dired-isearch-filenames-regexp): Set NO-RECURSIVE-EDIT
11382 arg of isearch-forward-regexp to t.
11383 (dired-isearch-filter-filenames): Remove unnecessary check for
11384 `dired-isearch-filenames'.
11386 * comint.el (comint-history-isearch-backward):
11387 Set NO-RECURSIVE-EDIT arg of isearch-backward to t.
11388 (comint-history-isearch-backward-regexp):
11389 Set NO-RECURSIVE-EDIT arg of isearch-backward-regexp to t.
11391 2013-12-10 Eli Zaretskii <eliz@gnu.org>
11393 * Makefile.in (autoloads): Run $(srcdir)/loaddefs.el through
11394 unmsys--file-name. (Bug#16099)
11396 2013-12-10 Teodor Zlatanov <tzz@lifelogs.com>
11398 * emacs-lisp/package.el (package-keyword-button-action):
11399 Remove finder.el require dependency.
11401 2013-12-09 Teodor Zlatanov <tzz@lifelogs.com>
11403 * emacs-lisp/package.el: Require finder.el.
11404 (describe-package-1): Add keyword buttons.
11405 (package-make-button): New convenience function.
11406 (package-keyword-button-action): Keyword button action using
11407 `finder-list-matches'.
11409 2013-12-09 Eli Zaretskii <eliz@gnu.org>
11411 * autorevert.el (auto-revert-notify-add-watch): Fix a thinko in
11414 2013-12-09 Michael Albinus <michael.albinus@gmx.de>
11416 * autorevert.el (auto-revert-notify-add-watch): Do not handle
11419 2013-12-09 Dmitry Gutov <dgutov@yandex.ru>
11421 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Return t
11422 after the end of a percent literal.
11424 2013-12-09 Cameron Desautels <camdez@gmail.com> (tiny change)
11426 * progmodes/ruby-mode.el (ruby-forward-string): Document.
11427 Handle caret-delimited strings (Bug#16079).
11429 2013-12-09 Dmitry Gutov <dgutov@yandex.ru>
11431 * progmodes/ruby-mode.el (ruby-accurate-end-of-block):
11432 When `ruby-use-smie' is t, use `smie-forward-sexp' instead of
11433 `ruby-parse-partial' (Bug#16078).
11435 2013-12-09 Leo Liu <sdl.web@gmail.com>
11437 * subr.el (read-passwd): Disable show-paren-mode. (Bug#16091)
11439 2013-12-08 Dmitry Gutov <dgutov@yandex.ru>
11441 * progmodes/js.el (js-auto-indent-flag): Remove, was unused.
11442 (js-switch-indent-offset): New option.
11443 (js--proper-indentation): Use it. And handle the case when
11444 "default" is actually a key in an object literal.
11445 (js--same-line): New function.
11446 (js--multi-line-declaration-indentation): Use it.
11447 (js--indent-in-array-comp, js--array-comp-indentation):
11449 (js--proper-indentation): Use them, to handle array comprehension
11452 2013-12-08 Leo Liu <sdl.web@gmail.com>
11454 * progmodes/flymake.el (flymake-highlight-line): Re-write.
11455 (flymake-make-overlay): Remove arg MOUSE-FACE.
11456 (flymake-save-string-to-file, flymake-read-file-to-string): Remove.
11458 2013-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
11460 * emulation/cua-rect.el (cua--rectangle-highlight-for-redisplay):
11462 (redisplay-highlight-region-function): Use it.
11464 * emulation/cua-base.el (cua--explicit-region-start)
11465 (cua--last-region-shifted): Remove.
11466 (cua--deactivate): Use deactivate-mark.
11467 (cua--pre-command-handler-1): Don't handle shift-selection.
11468 (cua--post-command-handler-1): Don't change transient-mark-mode.
11469 (cua--select-keymaps): Use region-active-p rather than
11470 cua--explicit-region-start or cua--last-region-shifted.
11471 (cua-mode): Enable shift-select-mode.
11473 2013-12-08 Leo Liu <sdl.web@gmail.com>
11475 * progmodes/flymake.el (flymake-popup-current-error-menu):
11476 Rename from flymake-display-err-menu-for-current-line. Reimplement.
11477 (flymake-posn-at-point-as-event, flymake-popup-menu)
11478 (flymake-make-emacs-menu): Remove. (Bug#16077)
11480 2013-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
11482 * rect.el (rectangle-mark-mode): Activate mark even if
11483 transient-mark-mode is off (bug#16066).
11484 (rectangle--highlight-for-redisplay): Fix boundary condition when point
11485 is > mark and at bolp.
11487 * emulation/cua-rect.el (cua--rectangle-region-extract): New function.
11488 (region-extract-function): Use it.
11489 (cua-mouse-save-then-kill-rectangle): Use cua-copy-region.
11490 (cua-copy-rectangle, cua-cut-rectangle, cua-delete-rectangle):
11492 (cua--init-rectangles): Don't re-remap copy-region-as-kill,
11493 kill-ring-save, kill-region, delete-char, delete-forward-char.
11494 Ignore self-insert-iso.
11496 * emulation/cua-gmrk.el (cua--init-global-mark):
11497 Ignore `self-insert-iso'.
11499 * emulation/cua-base.el (cua--prefix-copy-handler)
11500 (cua--prefix-cut-handler): Rely on region-extract-function rather than
11501 checking cua--rectangle.
11502 (cua-delete-region): Use region-extract-function.
11503 (cua-replace-region): Delete function.
11504 (cua-copy-region, cua-cut-region): Obey region-extract-function.
11505 (cua--pre-command-handler-1): Don't do the delete-selection thing.
11506 (cua--self-insert-char-p): Ignore `self-insert-iso'.
11507 (cua--init-keymaps): Don't remap delete-selection commands.
11508 (cua-mode): Use delete-selection-mode instead of rolling our own
11511 * menu-bar.el (clipboard-kill-ring-save, clipboard-kill-region):
11512 Obey region-extract-function.
11514 Make registers and delete-selection-mode work on rectangles.
11515 * register.el (describe-register-1): Don't modify the register's value.
11516 (copy-to-register): Obey region-extract-function.
11517 * delsel.el (delete-active-region): Obey region-extract-function.
11519 2013-12-08 Leo Liu <sdl.web@gmail.com>
11521 * progmodes/flymake.el (flymake, flymake-error-bitmap)
11522 (flymake-warning-bitmap, flymake-fringe-indicator-position)
11523 (flymake-compilation-prevents-syntax-check)
11524 (flymake-start-syntax-check-on-newline)
11525 (flymake-no-changes-timeout, flymake-gui-warnings-enabled)
11526 (flymake-start-syntax-check-on-find-file, flymake-log-level)
11527 (flymake-xml-program, flymake-master-file-dirs)
11528 (flymake-master-file-count-limit)
11529 (flymake-allowed-file-name-masks): Relocate.
11530 (flymake-makehash, flymake-float-time)
11531 (flymake-replace-regexp-in-string, flymake-split-string)
11532 (flymake-get-temp-dir): Remove.
11533 (flymake-popup-menu, flymake-nop, flymake-make-xemacs-menu)
11534 (flymake-current-row, flymake-selected-frame)
11535 (flymake-get-point-pixel-pos): Remove xemacs compatibity and
11536 related functions. (Bug#16077)
11538 2013-12-07 Bozhidar Batsov <bozhidar@batsov.com>
11540 * emacs-lisp/helpers.el (string-blank-p): Use `string-match-p'.
11542 2013-12-07 Tassilo Horn <tsdh@gnu.org>
11544 * help-fns.el (describe-function-1): Use new advice-* functions
11545 rather than old ad-* functions. Fix function type description and
11546 source links for advised functions and subrs.
11548 2013-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
11550 * net/shr.el (shr-tag-img): Don't bug out on <img src=""> data.
11552 2013-12-06 Michael Albinus <michael.albinus@gmx.de>
11554 * progmodes/compile.el (compilation-start):
11555 * progmodes/grep.el (rgrep): Revert change 2012-12-20T11:15:38Z!michael.albinus@gmx.de.
11557 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
11558 Handle long command lines, lasting from "sh -c ...". (Bug#16045)
11560 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
11562 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
11563 Touch up the last change.
11565 2013-12-06 Leo Liu <sdl.web@gmail.com>
11567 * progmodes/octave.el (inferior-octave-prompt): Use shy groups.
11568 (inferior-octave-startup): Always use "octave> " for prompt.
11569 (octave-goto-function-definition)
11570 (octave-sync-function-file-names)
11571 (octave-find-definition-default-filename): Remove redundant backquotes.
11573 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
11575 * progmodes/ruby-mode.el (ruby-mode-syntax-table): Don't modify
11577 (ruby-expr-beg): Expect that `!' will have syntax class "symbol"
11578 where appropriate already.
11579 (ruby-syntax-propertize-function): Propertize `?' and `!' at the
11580 end of method names (Bug#15874).
11582 2013-12-06 Juri Linkov <juri@jurta.org>
11584 * isearch.el (isearch--saved-overriding-local-map):
11585 New internal variable.
11586 (isearch-mode): Set it to the initial value of
11587 `overriding-terminal-local-map'.
11588 (isearch-pre-command-hook): Compare `overriding-terminal-local-map'
11589 with `isearch--saved-overriding-local-map'. (Bug#16035)
11591 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
11593 * progmodes/octave.el (inferior-octave-completion-table):
11594 Turn back into function, use `completion-table-with-cache'
11595 (Bug#11906). Update all references.
11597 * minibuffer.el (completion-table-with-cache): New function.
11599 2013-12-05 Cameron Desautels <camdez@gmail.com> (tiny change)
11601 * emacs-lisp/regexp-opt.el (regexp-opt-charset): Fix ^ (bug#16046).
11603 2013-12-05 Teodor Zlatanov <tzz@lifelogs.com>
11605 * net/eww.el (eww-current-source): New variable to store page
11607 (eww-display-html, eww-mode, eww-save-history)
11608 (eww-restore-history): Use it.
11609 (eww-view-source): New command to view page source.
11610 Opportunistically uses `html-mode' to highlight the buffer.
11611 (eww-mode-map): Install it.
11613 2013-12-05 Michael Albinus <michael.albinus@gmx.de>
11615 * net/dbus.el (dbus-unregister-service)
11616 (dbus-escape-as-identifier, dbus-unescape-from-identifier):
11618 (dbus-unregister-service): Skip :serial entries in
11619 `dbus-registered-objects-table'.
11620 (dbus-byte-array-to-string): New optional arg MULTIBYTE.
11622 2013-12-04 Teodor Zlatanov <tzz@lifelogs.com>
11624 * emacs-lisp/lisp-mnt.el (lm-keywords-list): Trim whitespace
11625 around keywords with extra `split-string' argument.
11627 2013-12-04 Martin Rudalics <rudalics@gmx.at>
11629 * windmove.el (windmove-other-window-loc): Handle navigation
11630 between windows (excluding the minibuffer window - Bug#16017).
11632 2013-12-04 Michael Albinus <michael.albinus@gmx.de>
11634 * net/dbus.el (dbus-byte-array-to-string): Accept also byte arrays
11635 in D-Bus type syntax.
11636 (dbus-unescape-from-identifier): Use `byte-to-string' in order to
11637 preserve unibyte strings. (Bug#16048)
11639 2013-12-04 Stefan Monnier <monnier@iro.umontreal.ca>
11641 * emacs-lisp/eldoc.el (eldoc-minibuffer-message):
11642 Call force-mode-line-update is the proper buffer (bug#16042).
11644 2013-12-04 Dmitry Gutov <dgutov@yandex.ru>
11646 * vc/log-edit.el (log-edit-add-new-comment): Rename to
11647 `log-edit-remember-comment', make argument optional. Adjust all
11649 (log-edit-mode): Add `log-edit-remember-comment' to
11650 `kill-buffer-hook' locally.
11651 (log-edit-kill-buffer): Don't remember comment explicitly since
11652 the buffer is killed anyway.
11654 2013-12-04 Juri Linkov <juri@jurta.org>
11656 * isearch.el (isearch-mode, isearch-done): Don't set arg LOCAL in
11657 add-hook and remove-hook for multi-buffer search. (Bug#16035)
11659 2013-12-03 Tom Regner <tom@goochesa.de> (tiny change)
11661 * notifications.el (notifications-close-notification): Call the
11662 D-Bus method with ID being a `:uint32'. (Bug#16030)
11664 2013-12-03 Katsumi Yamaoka <yamaoka@jpl.org>
11666 * net/eww.el (eww-render): Don't pass arg to eww-display-image.
11668 2013-12-03 Juri Linkov <juri@jurta.org>
11670 * progmodes/compile.el (compilation-start): Rename window alist
11671 entry `no-display-ok' to `allow-no-window'.
11673 * simple.el (shell-command): Add window alist entry
11674 `allow-no-window' to `display-buffer'.
11675 (async-shell-command): Doc fix.
11677 * window.el (display-buffer-no-window): New action function.
11678 (display-buffer-alist, display-buffer): Doc fix. (Bug#13594)
11680 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
11682 * vc/log-edit.el (log-edit-set-header): Extract from
11683 `log-edit-toggle-header'.
11684 (log-edit-extract-headers): Separate the summary, when extracted
11685 from header, from the rest of the message with an empty line.
11687 * vc/vc-git.el (vc-git-log-edit-toggle-amend): Move the summary
11688 line, if present, to the Summary header.
11690 2013-12-02 Stefan Monnier <monnier@iro.umontreal.ca>
11692 * epa-file.el (epa-file-insert-file-contents): Ensure we insert text
11693 in current-buffer (bug#16029).
11695 2013-12-02 Helmut Eller <eller.helmut@gmail.com>
11697 * emacs-lisp/debug.el (debugger-toggle-locals): New command.
11698 (debugger-mode-map): Bind it.
11699 (debugger--backtrace-base): New function.
11700 (debugger-eval-expression): Use it.
11701 (debugger-frame-number): Skip local vars when present.
11702 (debugger--locals-visible-p, debugger--insert-locals)
11703 (debugger--show-locals, debugger--hide-locals): New functions.
11705 2013-12-02 Michael Albinus <michael.albinus@gmx.de>
11707 * net/tramp-sh.el (tramp-remote-process-environment): Do not set
11709 (tramp-get-remote-locale): New defun.
11710 (tramp-open-connection-setup-interactive-shell): Use it.
11712 2013-12-02 Leo Liu <sdl.web@gmail.com>
11714 * subr.el (process-live-p): Return nil for non-process. (Bug#16023)
11716 * progmodes/sh-script.el (sh-shell-process):
11717 * progmodes/octave.el (inferior-octave-process-live-p):
11718 * progmodes/gdb-mi.el (gdb-delchar-or-quit)
11719 (gdb-inferior-io-sentinel):
11720 * emacs-lock.el (emacs-lock-live-process-p): All uses changed.
11722 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
11724 * vc/log-edit.el (log-edit-kill-buffer): Move the use of
11725 `save-selected-window' to `log-edit-hide-buf'. This makes
11726 `log-edit-show-files' idempotent.
11727 (log-edit-show-files): Mark the new window as dedicated.
11729 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
11731 * vc/log-edit.el (log-edit-mode-map): Add binding for
11732 `log-edit-kill-biffer'.
11733 (log-edit-hide-buf): Add a FIXME comment.
11734 (log-edit-add-new-comment): New function, extracted from
11736 (log-edit-done, log-edit-add-to-changelog): Use it.
11737 (log-edit-kill-buffer): New command.
11739 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
11741 * net/eww.el (eww-mode-map): Have `q' do a normal `quit-window'
11742 instead of killing the buffer.
11744 2013-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
11746 * simple.el (newline): Mention `electric-indent-mode' (bug#16015).
11748 2013-12-01 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
11750 * net/eww.el (eww-form-checkbox-selected-symbol)
11751 (eww-form-checkbox-symbol): New customizable variable.
11752 (eww-form-checkbox, eww-toggle-checkbox):
11753 Use `eww-form-checkbox-selected-symbol' and `eww-form-checkbox-symbol'.
11755 * net/shr.el (shr-prefer-media-type-alist): New customizable variable.
11756 (shr--get-media-pref, shr--extract-best-source): New function.
11757 (shr-tag-video, shr-tag-audio): Use `shr--extract-best-source' when
11758 no :src tag was specified.
11760 * net/eww.el (eww-use-external-browser-for-content-type): New variable.
11761 (eww-render): Handle `eww-use-external-browser-for-content-type'.
11762 Use \\` to match beginning of string instead of ^.
11763 (eww-browse-with-external-browser): Provide optional URL parameter.
11764 (eww-render): Set `eww-current-title' back to "".
11766 * net/shr.el (shr-tag-video): Display content for video if no
11767 poster is available.
11768 (shr-tag-audio): Add support for <audio> tag.
11770 * net/eww.el (eww-text-input-types): New const.
11771 (eww-process-text-input): Treat input types in
11772 `eww-text-input-types' as text.
11774 * net/shr.el (shr-tag-table): Fix comment typo.
11776 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
11778 * net/eww.el (eww-follow-link): New command to avoid reloading
11779 pages when we follow #target links (bug#15243).
11780 (eww-quit): Special mode buffers shouldn't query before exiting.
11782 2013-12-01 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
11784 * net/eww.el (eww-tag-select): Support <optgroup> tags in <select>
11787 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
11789 * net/eww.el (eww-restore-history): Update the window title after
11790 moving in the history.
11791 (eww-current-dom): New variable used to save the current DOM.
11793 2013-12-01 Dmitry Gutov <dgutov@yandex.ru>
11795 * vc/log-edit.el (log-edit-mode-map): Add binding for
11796 `log-edit-beginning-of-line'.
11797 (log-edit-setup-add-author): New user option.
11798 (log-edit-beginning-of-line): New command.
11799 (log-edit): Move major mode call above the contents setup so that
11800 the local variable values are already applied.
11801 (log-edit): Only insert "Author: " when
11802 `log-edit-setup-add-author' is non-nil.
11803 (log-edit): When SETUP is non-nil, position point after ": "
11804 instead of point-min.
11806 2013-12-01 Glenn Morris <rgm@gnu.org>
11808 * startup.el (command-line): Warn if ~/emacs.d is in load-path.
11810 2013-11-30 Eli Zaretskii <eliz@gnu.org>
11812 * startup.el (fancy-splash-frame): On MS-Windows, trigger
11813 redisplay to make sure the initial frame gets a chance to become
11814 visible. (Bug#16014)
11816 2013-11-30 Martin Rudalics <rudalics@gmx.at>
11818 Support resizing frames and windows pixelwise.
11819 * cus-start.el (frame-resize-pixelwise)
11820 (window-resize-pixelwise): New entries.
11821 * emacs-lisp/debug.el (debug): Use window-total-height instead
11822 of window-total-size.
11823 * frame.el (tool-bar-lines-needed): Defalias to tool-bar-height.
11824 * help.el (describe-bindings-internal): Use help-buffer as
11825 argument for with-help-window.
11826 (temp-buffer-max-width): New option.
11827 (resize-temp-buffer-window, help-window-setup)
11828 (with-help-window): Rewrite.
11829 * mouse.el (mouse-drag-line): Rewrite. Add key bindings for
11831 * window.el (frame-char-size, window-min-pixel-height)
11832 (window-safe-min-pixel-height, window-safe-min-pixel-width)
11833 (window-min-pixel-width, window-safe-min-pixel-size)
11834 (window-combination-p, window-safe-min-size)
11835 (window-resizable-p, window--size-to-pixel)
11836 (window--pixel-to-size, window--resize-apply-p): New functions.
11837 (window-safe-min-height): Fix doc-string.
11838 (window-size, window-min-size, window--min-size-1)
11839 (window-sizable, window-sizable-p, window--min-delta-1)
11840 (window-min-delta, window--max-delta-1, window-max-delta)
11841 (window--resizable, window--resizable-p, window-resizable)
11842 (window-full-height-p, window-full-width-p, window-at-side-p)
11843 (window--in-direction-2, window-in-direction)
11844 (window--resize-reset-1, window--resize-mini-window)
11845 (window-resize, window-resize-no-error)
11846 (window--resize-child-windows-normal)
11847 (window--resize-child-windows, window--resize-siblings)
11848 (window--resize-this-window, window--resize-root-window)
11849 (window--resize-root-window-vertically)
11850 (adjust-window-trailing-edge, enlarge-window, shrink-window)
11851 (maximize-window, minimize-window, delete-window)
11852 (quit-restore-window, window-split-min-size, split-window)
11853 (balance-windows-2, balance-windows)
11854 (balance-windows-area-adjust, balance-windows-area)
11855 (window--state-get-1, window-state-get, window--state-put-1)
11856 (window--state-put-2, window-state-put)
11857 (display-buffer-record-window, window--display-buffer):
11858 Make functions handle pixelwise sizing of windows.
11859 (display-buffer--action-function-custom-type)
11860 (display-buffer-fallback-action):
11861 Add display-buffer-in-previous-window.
11862 (display-buffer-use-some-window): Resize window to height it had
11864 (fit-window-to-buffer-horizontally): New option.
11865 (fit-frame-to-buffer): Describe new values.
11866 (fit-frame-to-buffer-bottom-margin): Replace with
11867 fit-frame-to-buffer-margins.
11868 (window--sanitize-margin): New function.
11869 (fit-frame-to-buffer, fit-window-to-buffer): Rewrite completely
11870 using window-text-pixel-size.
11872 2013-11-30 Glenn Morris <rgm@gnu.org>
11874 * emacs-lisp/bytecomp.el (byte-compile-form):
11875 Make the `interactive-only' warning like the `obsolete' one.
11876 * comint.el (comint-run):
11877 * files.el (insert-file-literally, insert-file):
11878 * replace.el (replace-string, replace-regexp):
11879 * simple.el (beginning-of-buffer, end-of-buffer, delete-backward-char)
11880 (goto-line, insert-buffer, next-line, previous-line):
11881 Tweak `interactive-only' spec.
11883 Stop keeping (most) generated cedet grammar files in the repository.
11884 * Makefile.in (semantic): New.
11885 (compile-main): Depend on semantic.
11887 2013-11-29 Stefan Monnier <monnier@iro.umontreal.ca>
11889 * net/newst-reader.el (newsticker-html-renderer): Default to SHR if
11890 available. Suggested by Clément B. <barthele1u@etu.univ-lorraine.fr>.
11892 * uniquify.el (uniquify-buffer-name-style): Change default.
11894 * loadup.el: Preload "uniquify".
11896 * time.el (display-time-update): Update all mode lines (bug#15999).
11898 * electric.el (electric-indent-mode): Enable by default.
11899 * loadup.el: Preload "electric".
11901 2013-11-29 Bozhidar Batsov <bozhidar@batsov.com>
11903 * emacs-lisp/helpers.el (string-empty-p): New function.
11904 (string-blank-p): New function.
11906 2013-11-29 Andreas Politz <politza@hochschule-trier.de>
11908 * imenu.el (imenu--index-alist): Add missing dot to the docstring
11911 2013-11-29 Andreas Politz <politza@fh-trier.de>
11912 * imenu.el (imenu--subalist-p): Don't error on non-conses and
11913 allow non-lambda lists as functions.
11914 (imenu--in-alist): Don't recurse into non-subalists.
11915 (imenu): Don't pass function itself as an argument (Bug#14029).
11917 2013-11-29 Stefan Monnier <monnier@iro.umontreal.ca>
11919 * progmodes/python.el (python-mode-map): Remove binding for ":".
11920 (python-indent-electric-colon): Remove command.
11921 (python-indent-post-self-insert-function): Integrate the previous code
11922 of python-indent-electric-colon. Make it conditional on
11923 electric-indent-mode.
11924 (python-mode): Add ?: to electric-indent-chars.
11925 Move python-indent-post-self-insert-function to the end of
11926 post-self-insert-hook.
11928 2013-11-28 Stefan Monnier <monnier@iro.umontreal.ca>
11930 * doc-view.el (doc-view-goto-page): Update mode-line.
11932 * vc/vc-dispatcher.el (vc-log-edit): Setup the Summary&Author headers.
11934 2013-11-27 Glenn Morris <rgm@gnu.org>
11936 * international/charprop.el, international/uni-bidi.el:
11937 * international/uni-category.el, international/uni-combining.el:
11938 * international/uni-comment.el, international/uni-decimal.el:
11939 * international/uni-decomposition.el, international/uni-digit.el:
11940 * international/uni-lowercase.el, international/uni-mirrored.el:
11941 * international/uni-name.el, international/uni-numeric.el:
11942 * international/uni-old-name.el, international/uni-titlecase.el:
11943 * international/uni-uppercase.el:
11944 Remove generated files from VCS repository.
11946 2013-11-27 Eli Zaretskii <eliz@gnu.org>
11948 * filenotify.el (file-notify-add-watch): Don't special-case
11949 w32notify when computing the directory to watch.
11951 2013-11-27 Glenn Morris <rgm@gnu.org>
11953 Make bootstrap without generated uni-*.el files possible again.
11954 * loadup.el: Update command-line-args checking for unidata-gen.
11955 Add vc to load-path to allow loading vc-bzr when writing uni-*.el.
11956 * composite.el, international/characters.el:
11957 Handle unicode tables being undefined.
11959 Move ja-dic, quail, leim-list.el from ../leim to a leim subdirectory.
11960 * Makefile.in (setwins_for_subdirs): Skip leim/ directory.
11961 (compile-main): Depend on leim rule.
11963 * loadup.el: Move leim-list.el to leim/ subdirectory.
11964 * startup.el (normal-top-level): No more leim directory.
11965 * international/ja-dic-cnv.el (skkdic-convert):
11966 Disable version-control and autoloads in output files.
11967 * international/titdic-cnv.el (titdic-convert, miscdic-convert):
11968 Disable version-control and autoloads in output files.
11969 * leim/quail: Move here from ../leim.
11970 * leim/quail/hangul.el (hangul-input-method-activate):
11971 Add autoload cookie.
11972 (generated-autoload-load-name): Set file-local value.
11973 * leim/quail/uni-input.el (ucs-input-activate): Add autoload cookie.
11974 (generated-autoload-load-name): Set file-local value.
11976 2013-11-26 Kenjiro NAKAYAMA <knakayam@redhat.com>
11978 * net/eww.el (eww-bookmark-browse): Use 'eww-browse-url'.
11979 (eww-add-bookmark): Ask confirmation when add to bookmarks.
11980 (eww-quit): Ask confirmation before quitting eww.
11982 2013-11-26 Eli Zaretskii <eliz@gnu.org>
11984 * vc/vc.el (vc-diff-internal): Use *-dos coding-system when
11985 reading output from Diff on MS-Windows and MS-DOS.
11987 2013-11-26 Bozhidar Batsov <bozhidar@batsov.com>
11989 * emacs-lisp/helpers.el (string-reverse): New function.
11991 2013-11-26 Michael Albinus <michael.albinus@gmx.de>
11993 * net/tramp.el (tramp-file-name-regexp-unified): Support IPv6 host
11994 names on MS Windows, like "/[::1]:".
11996 * net/tramp-sh.el (tramp-sh-handle-insert-directory): Accept nil
11999 2013-11-26 Glenn Morris <rgm@gnu.org>
12001 * progmodes/python.el (python-indent-guess-indent-offset):
12002 Avoid corner-case error. (Bug#15975)
12004 Preload leim-list.el. (Bug#4789)
12005 * loadup.el: Load leim-list.el when found.
12006 * startup.el (normal-top-level): Skip re-loading leim/leim-list.el.
12008 2013-11-25 Bozhidar Batsov <bozhidar@batsov.com>
12010 * emacs-lisp/bytecomp.el (byte-compile-form): Fix a typo.
12012 * emacs-lisp/helpers.el (string-join): New function.
12014 2013-11-25 Sebastian Wiesner <lunaryorn@gmail.com> (tiny change)
12016 * emacs-lisp/bytecomp.el (byte-compile-interactive-only-functions):
12017 Mark as obsolete and replace it with a symbol property.
12018 (byte-compile-form): Use new 'interactive-only property.
12019 * comint.el, files.el, replace.el, simple.el:
12020 Apply new 'interactive-only properly.
12022 2013-11-25 Martin Rudalics <rudalics@gmx.at>
12024 * window.el (display-buffer-at-bottom): Make sure that
12025 split-window-sensibly creates the new window on bottom
12028 2013-11-23 David Kastrup <dak@gnu.org>
12030 * vc/smerge-mode.el (smerge-ediff): Choose default buffer names based
12031 on the conflict markers when available.
12032 (smerge--get-marker): New function.
12033 (smerge-end-re, smerge-base-re): Add subgroup.
12035 2013-11-25 Stefan Monnier <monnier@iro.umontreal.ca>
12037 * frame.el (handle-focus-in, handle-focus-out): Add missing
12040 2013-11-25 Michael Albinus <michael.albinus@gmx.de>
12042 * net/tramp-cmds.el (tramp-cleanup-connection): Clean up
12043 `tramp-current-connection' only when KEEP-PASSWORD is non-nil.
12045 2013-11-25 Stefan Monnier <monnier@iro.umontreal.ca>
12047 * play/gomoku.el: Don't use intangible property. Use lexical-binding.
12048 (gomoku--last-pos): New var.
12049 (gomoku--intangible-chars): New const.
12050 (gomoku--intangible): New function.
12051 (gomoku-mode): Use it. Derive from special-mode.
12052 (gomoku-move-up): Adjust line count.
12053 (gomoku-click, gomoku-point-y, gomoku-point-square, gomoku-goto-xy)
12054 (gomoku-plot-square, gomoku-init-display, gomoku-cross-qtuple):
12055 Simplify accordingly.
12057 * frame.el (handle-focus-in, handle-focus-out): Move from frame.c.
12058 Remove blink-cursor code.
12059 (blink-cursor-timer-function, blink-cursor-suspend):
12060 Don't special-case GUIs.
12061 (blink-cursor-mode): Use focus-in/out-hook.
12063 2013-11-25 Dmitry Gutov <dgutov@yandex.ru>
12065 * vc/vc-git.el (vc-git-annotate-extract-revision-at-line): Make it
12066 work when annotation is invisible (Bug#13886).
12068 2013-11-24 Simon Schubert <2@0x2c.org> (tiny change)
12070 * json.el (json-alist-p): Only return non-nil if the alist has
12071 simple keys (Bug#13518).
12073 2013-11-24 Mihir Rege <mihirrege@gmail.com> (tiny change)
12075 * progmodes/js.el (js--ctrl-statement-indentation): Fix indent
12076 when control-statement is the first statement in a buffer (Bug#15956).
12078 2013-11-24 Dmitry Gutov <dgutov@yandex.ru>
12080 * imenu.el (imenu-generic-skip-comments-and-strings):
12081 New option (Bug#15560).
12082 (imenu--generic-function): Use it.
12084 2013-11-24 Jorgen Schaefer <contact@jorgenschaefer.de>
12086 * minibuffer.el (completion--in-region-1): Scroll the correct window.
12089 2013-11-24 Bozhidar Batsov <bozhidar@batsov.com>
12091 * emacs-lisp/helpers.el: Add some string helpers.
12092 (string-trim-left): Removes leading whitespace.
12093 (string-trim-right): Removes trailing whitespace.
12094 (string-trim): Removes leading and trailing whitespace.
12096 * subr.el (string-suffix-p): New function.
12098 2013-11-23 Glenn Morris <rgm@gnu.org>
12100 * progmodes/python.el (python-shell-send-file):
12101 Add option to delete file when done. (Bug#15647)
12102 (python-shell-send-string, python-shell-send-region): Use it.
12104 2013-11-23 Ivan Shmakov <ivan@siamics.net>
12106 * vc/diff-mode.el (diff-mode): Only allow diff-default-read-only
12107 to set buffer-read-only to t, never to nil. (Bug#15938)
12109 * textmodes/tex-mode.el (latex-noindent-environments):
12110 Add safe-local-variable property. (Bug#15936)
12112 2013-11-23 Glenn Morris <rgm@gnu.org>
12114 * textmodes/enriched.el (enriched-mode): Doc fix.
12115 * emacs-lisp/authors.el (authors-renamed-files-alist):
12116 Add enriched.doc -> enriched.txt.
12118 * Makefile.in (emacs): Empty EMACSLOADPATH rather than unsetting.
12120 2013-11-22 Leo Liu <sdl.web@gmail.com>
12122 * progmodes/octave.el (inferior-octave-startup): Spit out error
12125 2013-11-22 Bozhidar Batsov <bozhidar@batsov.com>
12127 * progmodes/ruby-mode.el (ruby-custom-encoding-magic-comment-template):
12130 (ruby-encoding-magic-comment-style): Add :version.
12132 2013-11-22 Leo Liu <sdl.web@gmail.com>
12134 * progmodes/octave.el (octave-operator-regexp): Exclude newline.
12136 (octave-help-mode): Adapt to change to help-mode-finish to use
12137 derived-mode-p on 2013-09-17.
12138 (inferior-octave-prompt): Also match octave-gui.
12139 (octave-kill-process): Don't ask twice. (Bug#10564)
12141 2013-11-22 Leo Liu <sdl.web@gmail.com>
12143 * progmodes/octave.el (inferior-octave-process-live-p): New helper.
12144 (inferior-octave-startup, inferior-octave-check-process)
12145 (inferior-octave-track-window-width-change)
12146 (octave-completion-at-point, octave-eldoc-function): Use it.
12147 (octave-kill-process): Provide confirmation. (Bug#10564)
12149 2013-11-21 Leo Liu <sdl.web@gmail.com>
12151 * progmodes/octave.el (octave-mode, inferior-octave-mode):
12152 Fix obsolete variable comment-use-global-state.
12154 2013-11-21 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
12156 * progmodes/octave.el (octave-mode-map, octave-mode-menu):
12157 Add `octave-source-file'.
12158 (octave-source-file): New function. (Bug#15935)
12160 2013-11-21 Kenjiro Nakayama <nakayamakenjiro@gmail.com>
12162 * net/eww.el (eww-local-regex): New variable.
12163 (eww): Use it to detect localhost and similar.
12165 2013-11-21 Leo Liu <sdl.web@gmail.com>
12167 Add completion for command `ag'.
12168 * pcmpl-x.el (pcmpl-x-ag-options): New variable.
12169 (pcomplete/ag): New function.
12170 (pcmpl-x-ag-options): New function. Handle `[no]' in long options.
12172 2013-11-21 Stefan Monnier <monnier@iro.umontreal.ca>
12174 * emacs-lisp/byte-run.el (eval-when-compile): Fix edebug spec
12176 (make-obsolete): Remove interactive spec.
12178 2013-11-21 Glenn Morris <rgm@gnu.org>
12180 * startup.el (command-line-1): Use path-separator with -L.
12182 2013-11-20 Teodor Zlatanov <tzz@lifelogs.com>
12184 * emacs-lisp/package.el (describe-package-1): Add package archive
12187 2013-11-20 Bozhidar Batsov <bozhidar@batsov.com>
12189 * progmodes/ruby-mode.el (ruby-custom-encoding-magic-comment-template):
12190 Change default to "# encoding: %s" to differentiate it from the
12191 default Ruby encoding comment template.
12193 2013-11-20 era eriksson <era+emacsbugs@iki.fi>
12195 * ses.el (ses-mode): Doc fix. (Bug#14748)
12197 2013-11-20 Leo Liu <sdl.web@gmail.com>
12199 * window.el (display-buffer-alist): Doc fix. (Bug#13594)
12201 2013-11-19 Dan Nicolaescu <dann@gnu.org>
12203 * vc/vc-git.el (vc-git-dir-extra-headers): Add headers
12204 when rebase or bisect are in progress.
12206 2013-11-19 Xue Fuqiao <xfq.free@gmail.com>
12208 * filenotify.el (file-notify-add-watch): Doc fix.
12210 2013-11-19 Leo Liu <sdl.web@gmail.com>
12212 * obsolete/rcompile.el: Mark obsolete.
12214 * progmodes/compile.el (compilation-start)
12215 (compilation-goto-locus, compilation-find-file):
12216 Pass no-display-ok and handle nil value from display-buffer.
12219 * window.el (display-buffer-alist, display-buffer): Document the
12220 new parameter no-display-ok. Return either a window or nil
12221 but never a non-window value.
12223 2013-11-18 Stefan Monnier <monnier@iro.umontreal.ca>
12225 * electric.el (electric-indent-mode-map): Remove.
12226 (electric-indent-mode): Change the global-map instead (bug#15915).
12228 * textmodes/text-mode.el (paragraph-indent-minor-mode):
12231 2013-11-17 Stefan Monnier <monnier@iro.umontreal.ca>
12233 * emacs-lisp/nadvice.el (remove-function): Align with
12234 add-function's behavior.
12236 * progmodes/gdb-mi.el: Avoid backtracking in regexp matcher.
12237 (gdb--string-regexp): New constant.
12238 (gdb-tooltip-print, gdb-var-evaluate-expression-handler)
12239 (gdbmi-bnf-stream-record, gdb-jsonify-buffer): Use it.
12240 (gdb-source-file-regexp, gdb-prompt-name-regexp): Use it and change
12242 (gdb-get-source-file-list, gdb-get-prompt, gdb-get-source-file):
12243 Adjust use accordingly.
12244 (gdb-breakpoints-list-handler-custom): Pre-build the y/n string.
12246 2013-11-17 Adam Sokolnicki <adam.sokolnicki@gmail.com> (tiny change)
12248 * progmodes/ruby-mode.el (ruby-toggle-block): Don't stop at
12249 interpolation curlies (Bug#15914).
12251 2013-11-17 Jay Belanger <jay.p.belanger@gmail.com>
12253 * calc/calc.el (calc-context-sensitive-enter): New variable.
12254 (calc-enter): Use `calc-context-sensitive-enter'.
12256 2013-11-16 Teodor Zlatanov <tzz@lifelogs.com>
12258 * progmodes/cfengine.el: Version bump.
12259 (cfengine-cf-promises): New defcustom to locate cf-promises.
12260 (cfengine3-vartypes): Add new "data" type.
12261 (cfengine3--current-word): New function to get current name-like
12262 word or its bounds.
12263 (cfengine3--current-function): New function to look up a CFEngine
12264 function's definition.
12265 (cfengine3-format-function-docstring): New function.
12266 (cfengine3-make-syntax-cache): New function.
12267 (cfengine3-documentation-function): New function: ElDoc glue.
12268 (cfengine3-completion-function): New function: completion glue.
12269 (cfengine3-mode): Set `compile-command',
12270 `eldoc-documentation-function', and add to
12271 `completion-at-point-functions'.
12273 2013-11-16 Michael Albinus <michael.albinus@gmx.de>
12275 * net/tramp-cmds.el (tramp-cleanup-connection): Clean up
12276 `tramp-current-connection'.
12278 2013-11-15 Dmitry Gutov <dgutov@yandex.ru>
12280 * progmodes/ruby-mode.el (ruby-font-lock-keywords): End regexp for
12281 nil/self/true/false with "end of symbol".
12283 2013-11-15 Bozhidar Batsov <bozhidar@batsov.com>
12285 * subr.el (version-regexp-alist): Fix a typo.
12287 2013-11-15 Michael Albinus <michael.albinus@gmx.de>
12289 * net/tramp-sh.el (tramp-remote-process-environment): Set "LC_ALL" to
12290 "en_US.utf8" and "LC_CTYPE" to "".
12291 (tramp-maybe-open-connection): Set "LC_ALL" to "en_US.utf8".
12292 (tramp-sh-handle-insert-directory): Don't set "LC_ALL" and "LC_CTYPE".
12294 2013-11-15 Leo Liu <sdl.web@gmail.com>
12296 * loadhist.el (read-feature): Get rid of fake feature nil. (Bug#15889)
12298 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
12300 * progmodes/gud.el (ctl-x-map):
12301 Remove C-x SPC binding. (Bug#12342)
12302 (gud-jdb-find-source-using-classpath): Remove ((lambda (..)..)..).
12304 2013-11-14 Bozhidar Batsov <bozhidar@batsov.com>
12306 * subr.el (version-regexp-alist):
12307 Recognize hg, svn and darcs versions as snapshot versions.
12309 * progmodes/ruby-mode.el (ruby--detect-encoding): Make aware of
12310 'always-utf8 value of `ruby-insert-encoding-magic-comment'.
12311 (ruby--encoding-comment-required-p): Extract from
12312 `ruby-mode-set-encoding'.
12313 (ruby-mode-set-encoding): Add the ability to always insert an
12314 utf-8 encoding comment. Fix and simplify coding comment update
12317 2013-11-14 Michael Albinus <michael.albinus@gmx.de>
12319 * net/tramp-gvfs.el (top): Run init code only when
12320 `tramp-gvfs-enabled' is not nil.
12321 (tramp-gvfs-enabled): Check also :system bus.
12323 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
12325 Sync with upstream verilog-mode revision 78e66ba.
12326 * progmodes/verilog-mode.el (verilog-end-of-defun)
12327 (verilog-type-completion, verilog-get-list): Remove unused funcs.
12328 (verilog-get-end-of-defun): Remove unused argument.
12329 (verilog-comment-depth): Remove unused local `e'.
12330 (verilog-read-decls, verilog-read-sub-decls, verilog-read-instants):
12331 Don't pass arg to verilog-get-end-of-defun.
12333 2013-11-14 Glenn Morris <rgm@gnu.org>
12335 * obsolete/assoc.el (aget): Prefix dynamic variable.
12337 * allout-widgets.el (allout-widgets): No need to autoload defgroup.
12339 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
12341 * widget.el, hfy-cmap.el: Remove bogus package version number.
12343 2013-11-13 Glenn Morris <rgm@gnu.org>
12345 * replace.el (replace-eval-replacement):
12346 Try to give more helpful error message. (Bug#15836)
12348 * arc-mode.el (archive-7z-extract, archive-7z-expunge)
12349 (archive-7z-update): Avoid custom type mismatches.
12351 * vc/vc.el (vc-diff-knows-L): Remove; unused since 2007-10-10.
12353 2013-11-13 Michael Albinus <michael.albinus@gmx.de>
12355 * net/tramp.el (tramp-remote-file-name-spec-regexp): An IPv6
12356 address can be empty.
12358 * net/tramp-gvfs.el (tramp-gvfs-handle-insert-directory):
12359 Accept nil SWITCHES.
12360 (tramp-gvfs-handle-write-region): Implement APPEND.
12362 2013-11-12 Dmitry Gutov <dgutov@yandex.ru>
12364 * progmodes/ruby-mode.el (ruby-smie-grammar): Disambiguate between
12365 binary "|" operator and closing block args delimiter.
12366 Remove FIXME comment referring to Ruby 1.8-only syntax.
12367 (ruby-smie--implicit-semi-p): Not after "|" operator.
12368 (ruby-smie--closing-pipe-p): New function.
12369 (ruby-smie--forward-token, ruby-smie--backward-token): Use it.
12370 (ruby-smie-rules): Indent after "|".
12372 2013-11-12 Glenn Morris <rgm@gnu.org>
12374 * ps-print.el (ps-face-attribute-list):
12375 Handle anonymous faces. (Bug#15827)
12377 2013-11-12 Martin Rudalics <rudalics@gmx.at>
12379 * window.el (display-buffer-other-frame): Fix doc-string.
12382 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
12384 * subr.el (force-mode-line-update): Delete, move to buffer.c.
12386 2013-11-11 Michael Albinus <michael.albinus@gmx.de>
12388 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer)
12389 (tramp-sh-handle-file-local-copy): Don't write a message when
12390 saving temporary files.
12392 * net/tramp-smb.el (tramp-smb-handle-copy-directory): Fix bug when
12393 both directories are remote.
12394 (tramp-smb-handle-directory-files): Do not return double entries.
12395 Do not expand full file names.
12396 (tramp-smb-handle-insert-directory): Accept nil SWITCHES.
12397 (tramp-smb-handle-write-region): Implement APPEND.
12398 (tramp-smb-get-stat-capability): Fix a stupid bug.
12400 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
12402 * bindings.el (ctl-x-map): Bind C-x SPC to rectangle-mark-mode.
12404 2013-11-11 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
12406 * emacs-lisp/cconv.el (cconv-convert): Print warning instead of
12407 throwing error over malformed let/let* (bug#15814).
12409 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
12411 * iswitchb.el (iswitchb-mode): Mark obsolete.
12413 2013-11-11 Glenn Morris <rgm@gnu.org>
12415 * international/uni-bidi.el, international/uni-category.el:
12416 * international/uni-name.el, international/uni-numeric.el:
12417 Regenerate for Unicode 6.3.0.
12419 2013-11-10 Michael Albinus <michael.albinus@gmx.de>
12421 * net/tramp.el (tramp-methods):
12422 * net/tramp-sh.el (tramp-compute-multi-hops): Revert change of
12423 2013-10-29 (2013-10-29T02:50:24Z!dancol@dancol.org).
12425 2013-11-09 Andreas Schwab <schwab@linux-m68k.org>
12427 * progmodes/sh-script.el (sh-font-lock-keywords-var):
12428 Force highlighting text after Summary keyword in doc face for rpm.
12430 2013-11-09 Dmitry Gutov <dgutov@yandex.ru>
12432 * textmodes/ispell.el (ispell-lookup-words): When `look' is not
12433 available and the word has no wildcards, append one to the grep pattern.
12434 http://lists.gnu.org/archive/html/emacs-devel/2013-11/msg00258.html
12435 (ispell-complete-word): Call `ispell-lookup-words' with the value
12436 independent of `ispell-look-p'.
12438 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
12440 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p):
12442 (ruby-smie-rules): Indent non-hanging "begin" blocks as part of
12445 2013-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
12447 * progmodes/ruby-mode.el: Don't require cl any more. Use pcase instead.
12448 (ruby-font-lock-keywords): Use backquote.
12450 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
12452 * progmodes/ruby-mode.el (ruby-smie--forward-token)
12453 (ruby-smie--backward-token): Only consider full-string matches.
12455 2013-11-08 Jan Djärv <jan.h.d@swipnet.se>
12457 * faces.el (describe-face): Add distant-foreground.
12459 2013-11-08 Bozhidar Batsov <bozhidar@batsov.com>
12461 * progmodes/ruby-mode.el: Improve encoding comment handling.
12462 (ruby-encoding-magic-comment-style): New option.
12463 (ruby-custom-encoding-magic-comment-template): New option.
12464 (ruby--insert-coding-comment, ruby--detect-encoding):
12465 New functions extracted from `ruby-mode-set-encoding'.
12466 (ruby-mode-set-encoding): Use `ruby-encoding-magic-comment-style'
12467 to control the style of the auto-inserted encoding comment.
12469 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
12471 * progmodes/ruby-mode.el (ruby-smie--indent-to-stmt):
12472 Use `smie-backward-sexp' with token argument.
12474 2013-11-08 Michael Albinus <michael.albinus@gmx.de>
12476 * net/tramp-sh.el (tramp-set-remote-path, tramp-get-ls-command):
12477 Remove instrumentation code.
12479 2013-11-08 Glenn Morris <rgm@gnu.org>
12481 * progmodes/autoconf.el (autoconf-mode):
12482 Tweak comment-start-skip. (Bug#15822)
12484 2013-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
12486 * progmodes/sh-script.el (sh-smie--sh-keyword-in-p): Don't inf-loop
12487 at bobp (bug#15826).
12488 (sh-smie--sh-keyword-in-p): Recognize keywords at bobp.
12490 2013-11-08 Darren Hoo <darren.hoo@gmail.com>
12492 * man.el (Man-start-calling): New macro, extracted from
12493 Man-getpage-in-background.
12494 (Man-getpage-in-background): Use it.
12495 (Man-update-manpage): New command.
12496 (Man-mode-map): Bind it.
12498 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
12500 * progmodes/ruby-mode.el (ruby-smie-grammar): Improve precedences
12501 of "and", "or", "&&" and "||".
12502 (ruby-smie--args-separator-p): Prohibit keyword "do" as the first
12503 argument. Prohibit opening curly brace because it could only be a
12504 block opener in that position.
12505 (ruby-smie--forward-token, ruby-smie--backward-token):
12506 Separate "|" from "&" or "*" going after it. That can happen in block
12508 (ruby-smie--indent-to-stmt): New function, seeks the end of
12509 previous statement or beginning of buffer.
12510 (ruby-smie-rules): Use it.
12511 (ruby-smie-rules): Check if there's a ":" before a curly block
12512 opener candidate; if there is, it's a hash.
12514 2013-11-07 Stefan Monnier <monnier@iro.umontreal.ca>
12516 * emacs-lisp/cl-macs.el (cl-symbol-macrolet): Use macroexp-progn.
12517 (cl--block-wrapper): Fix last accidental change.
12519 2013-11-07 Michael Albinus <michael.albinus@gmx.de>
12521 * net/tramp-sh.el (tramp-set-remote-path, tramp-get-ls-command):
12522 Instrument, in order to hunt failure on hydra.
12524 2013-11-05 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
12526 * emacs-lisp/cl-macs.el (cl-symbol-macrolet): Print warning for
12527 malformed bindings form (bug#15814).
12529 2013-11-07 Dmitry Gutov <dgutov@yandex.ru>
12531 * progmodes/ruby-mode.el (ruby-smie-grammar): Lower priority of
12532 "." compared to " @ ". This incidentally fixes some indentation
12533 examples with "do".
12534 (ruby-smie--implicit-semi-p): No implicit semi after "^", "and" or "or".
12535 (ruby-smie-grammar): New tokens: "and" and "or".
12536 (ruby-smie--args-separator-p): Fix the check for tokens at POS.
12537 Exclude "and" and "or". Remove "do" in order to work around token
12539 (ruby-smie-rules): Add all infix tokens. Handle the case of
12540 beginning-of-buffer.
12542 2013-11-06 Glenn Morris <rgm@gnu.org>
12544 * Makefile.in (setwins_almost, setwins_for_subdirs):
12545 Avoid accidental matches.
12547 2013-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
12549 * menu-bar.el (popup-menu): Use key-binding.
12551 2013-11-06 Eli Zaretskii <eliz@gnu.org>
12553 * menu-bar.el (popup-menu, menu-bar-open): When displaying TTY
12554 menus, support also the menus produced by minor modes.
12557 2013-11-06 Leo Liu <sdl.web@gmail.com>
12559 * thingatpt.el (thing-at-point-looking-at): Add optional arg
12560 DISTANCE to bound the search. All uses changed. (Bug#15808)
12562 2013-11-06 Glenn Morris <rgm@gnu.org>
12564 * Makefile.in (setwins, setwins_almost, setwins_for_subdirs): Simplify.
12565 (setwins_almost, setwins_for_subdirs): Don't assume called from srcdir.
12566 (custom-deps, finder-data, autoloads, update-subdirs): No need to cd.
12568 2013-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
12570 * electric.el (electric-indent-just-newline): New command.
12571 (electric-indent-mode-map): New keymap.
12572 (electric-indent-mode, electric-pair-mode, electric-layout-mode):
12573 Re-add :group which weren't redundant.
12575 * electric.el (electric-indent-local-mode): New minor mode.
12576 (electric-indent-functions-without-reindent): New var.
12577 (electric-indent-post-self-insert-function): Use it.
12578 * emacs-lisp/gv.el (buffer-local-value): Add setter.
12580 2013-11-05 Eli Zaretskii <eliz@gnu.org>
12582 * international/quail.el (quail-help): Be more explicit about the
12583 meaning of the labels shown on the keys. (Bug#15800)
12585 * startup.el (normal-top-level): Load the subdirs.el files before
12586 setting the locale environment. (Bug#15805)
12588 2013-11-05 Stefan Monnier <monnier@iro.umontreal.ca>
12590 * vc/vc-rcs.el (vc-rcs-parse): Make `gather' get e, b, and @-holes
12591 via arguments so as to get the right ones (bug#15418).
12593 * net/rcirc.el (rcirc-record-activity): Don't abuse add-to-list.
12595 2013-11-05 Michael Albinus <michael.albinus@gmx.de>
12597 Fix problems found while writing a test suite.
12599 * net/tramp-compat.el (tramp-compat-load): New defun.
12600 * net/tramp.el (tramp-handle-load): Use it.
12602 * net/tramp-sh.el (tramp-sh-handle-add-name-to-file): Handle the case
12603 "(numberp ok-if-already-exists)" correctly.
12605 2013-11-05 Xue Fuqiao <xfq.free@gmail.com>
12607 * international/characters.el (glyphless-char-display-control):
12610 2013-11-05 Bozhidar Batsov <bozhidar@batsov.com>
12612 * progmodes/python.el (python-mode):
12613 * progmodes/scheme.el (scheme-mode):
12614 * progmodes/prolog.el (prolog-mode):
12615 * progmodes/ruby-mode.el (ruby-mode):
12616 * emacs-lisp/lisp-mode.el (lisp-mode, lisp-interaction-mode)
12617 (emacs-lisp-mode): Remove incorrect and redundant text from docstring.
12619 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
12621 * rect.el (rectangle--highlight-for-redisplay):
12622 * emacs-lisp/smie.el (smie--next-indent-change):
12623 Use buffer-chars-modified-tick.
12625 * emacs-lisp/byte-run.el (defmacro, defun): Set their `indent' property.
12627 * electric.el (electric-indent-post-self-insert-function):
12628 Only delete trailing whitepsace if it is indeed trailing (bug#15767).
12630 2013-11-04 Helmut Eller <eller.helmut@gmail.com>
12632 * emacs-lisp/cl-indent.el (with-compilation-unit): Add rule (bug#15782).
12634 2013-11-04 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
12636 * emacs-lisp/cconv.el (cconv-convert): Check form of let binding
12639 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
12641 * emacs-lisp/helpers.el: Move from helpers.el. Use lexical-binding.
12643 * progmodes/python.el: Fix up last change.
12644 (python-shell--save-temp-file): New function.
12645 (python-shell-send-string): Use it. Remove `msg' arg. Don't assume
12646 `string' comes from the current buffer.
12647 (python-shell-send-string-no-output): Remove `msg' arg.
12648 (python--use-fake-loc): New var.
12649 (python-shell-buffer-substring): Obey it. Try to compensate for the
12650 extra coding line added by python-shell--save-temp-file.
12651 (python-shell-send-region): Use python-shell--save-temp-file and
12652 python-shell-send-file directly. Add `nomain' argument.
12653 (python-shell-send-buffer): Use python-shell-send-region.
12654 (python-electric-pair-string-delimiter): New function.
12655 (python-mode): Use it.
12657 2013-11-04 Eli Zaretskii <eliz@gnu.org>
12659 * startup.el (normal-top-level): Move setting eol-mnemonic-unix,
12660 eol-mnemonic-mac, eol-mnemonic-dos, and also setup of the locale
12661 environment and decoding all of the default-directory's to here
12663 (command-line): Decode also argv[0].
12665 * loadup.el: Error out if default-directory is a multibyte string
12666 when we are dumping.
12668 * Makefile.in (emacs): Don't set LC_ALL=C. (Bug#15260)
12670 2013-11-04 Teodor Zlatanov <tzz@lifelogs.com>
12672 * emacs-lisp/package.el (package-menu-mode)
12673 (package-menu--print-info, package-menu--archive-predicate):
12674 Add Archive column to package list.
12676 2013-11-04 Michael Albinus <michael.albinus@gmx.de>
12678 Fix problems found while writing a test suite.
12680 * net/tramp.el (tramp-file-name-regexp-unified): Simplify.
12681 (tramp-file-name-for-operation): Use `tramp-tramp-file-p'.
12682 (tramp-handle-substitute-in-file-name): Let-bind `process-environment'
12683 to nil when running original file name handler. Otherwise,
12684 there are problems with constructs like "$$FOO".
12686 * net/tramp-sh.el (tramp-do-copy-or-rename-file): Use correct prefix
12689 2013-11-04 Bozhidar Batsov <bozhidar@batsov.com>
12691 * progmodes/ruby-mode.el (ruby-mode): Clean up docstring.
12693 * subr.el (version<, version<=, version=):
12694 Update docstrings with information for snapshot versions.
12696 * helpers.el: New library for misc helper functions.
12697 (hash-table-keys): New function returning a list of hash keys.
12698 (hash-table-values): New function returning a list of hash values.
12700 2013-11-04 Dmitry Gutov <dgutov@yandex.ru>
12702 * progmodes/ruby-mode.el (ruby-smie--forward-token)
12703 (ruby-smie--backward-token): Tokenize heredocs as semicolons.
12705 2013-11-04 Michal Nazarewicz <mina86@mina86.com>
12707 * textmodes/fill.el (fill-single-char-nobreak-p): New function
12708 checking whether point is after a 1-letter word.
12710 2013-11-04 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
12712 * progmodes/cperl-mode.el (cperl-font-lock-fontify-region-function):
12713 Don't infloop when expanding region over `multiline' syntax-type that
12714 begins a line (bug#15778).
12716 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
12718 * rect.el (rectangle-mark-mode): Rename from rectangle-mark.
12719 Make it into a proper minor mode.
12720 (rectangle--region): (Implicitly) rename to rectangle-mark-mode.
12721 (rectangle-mark-mode-map): New keymap.
12722 (rectangle--highlight-for-redisplay): Fix some corner cases (bug#15796).
12724 2013-11-04 Glenn Morris <rgm@gnu.org>
12726 * startup.el (command-line-1): Allow `-L :...' to append to load-path.
12728 2013-11-03 Stefan Monnier <monnier@iro.umontreal.ca>
12730 * progmodes/ruby-mode.el (ruby-smie--rule-parent-skip-assign): Remove.
12731 (ruby-smie-rules): Use smie-rule-parent instead.
12733 * emacs-lisp/smie.el (smie-rule-parent): Always call
12734 smie-indent-virtual rather than only for hanging tokens.
12735 (smie--next-indent-change): New helper command.
12737 2013-11-03 Glenn Morris <rgm@gnu.org>
12739 * Makefile.in (abs_srcdir): Remove.
12740 (emacs): Unset EMACSLOADPATH.
12742 2013-11-02 Glenn Morris <rgm@gnu.org>
12744 * Makefile.in (EMACS): Use a relative filename.
12745 (abs_top_builddir): Remove.
12746 (custom-deps, finder-data, autoloads): Use --chdir.
12748 * Makefile.in (abs_lisp): Remove, replace by abs_srcdir.
12750 Use relative filenames in TAGS files.
12751 * Makefile.in (lisptagsfiles1, lisptagsfiles2, lisptagsfiles3)
12752 (lisptagsfiles4, TAGS): Use relative file names.
12753 (TAGS-LISP): Remove.
12754 (maintainer-clean): No more TAGS-LISP file.
12756 * Makefile.in (lisptagsfiles1, lisptagsfiles2, lisptagsfiles3)
12757 (lisptagsfiles4): Use absolute filenames again.
12758 (TAGS, TAGS-LISP): Not everything needs to run in one line.
12759 Remove all *loaddefs files, not just the first. Remove esh-groups.
12760 (maintainer-clean): Delete TAGS, TAGS-LISP.
12762 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
12764 * emacs-lisp/package.el (package-version-join):
12765 Recognize snapshot versions.
12767 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
12769 * subr.el (version-regexp-alist): Add support for snapshot versions.
12771 2013-11-02 Dmitry Gutov <dgutov@yandex.ru>
12773 * progmodes/ruby-mode.el (ruby-smie--rule-parent-skip-assign):
12774 New function, replacement for `smie-rule-parent' for when we want to
12775 skip over our direct parent if it's an assignment token..
12776 (ruby-smie-rules): Use it.
12778 2013-11-02 Dmitry Gutov <dgutov@yandex.ru>
12780 * progmodes/ruby-mode.el: Use `syntax-propertize-function'
12781 unconditionally. Remove now unnecessary forward declarations.
12782 Remove XEmacs-specific setup.
12783 (ruby-here-doc-end-re, ruby-here-doc-beg-match)
12784 (ruby-font-lock-syntactic-keywords)
12785 (ruby-comment-beg-syntax, ruby-in-here-doc-p)
12786 (ruby-here-doc-find-end, ruby-here-doc-beg-syntax)
12787 (ruby-here-doc-end-syntax): Remove.
12788 (ruby-mode): Don't check whether `syntax-propertize-rules' is
12789 defined as function.
12791 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
12793 * progmodes/ruby-mode.el (ruby-mode-variables, ruby-mode): Use `setq-local'.
12795 2013-11-01 Bozhidar Batsov <bozhidar@batsov.com>
12797 * progmodes/ruby-mode.el (ruby-mode-variables): Don't set syntax
12798 table and abbrev table, `define-derived-mode' does that for us
12801 2013-11-01 Glenn Morris <rgm@gnu.org>
12803 * Makefile.in: Remove manual mh-e dependencies (writing .elc
12804 files is atomic for some time, so no parallel compilation issues).
12806 2013-11-01 Jan Djärv <jan.h.d@swipnet.se>
12808 * faces.el (face-x-resources): Add :distant-foreground.
12809 (region): Use :distant-foreground for gtk and ns.
12811 2013-11-01 Tassilo Horn <tsdh@gnu.org>
12813 Allow multiple bibliographies when BibLaTeX is used rather than
12815 * textmodes/reftex-parse.el (reftex-using-biblatex-p): New function.
12816 (reftex-locate-bibliography-files): Us it.
12818 2013-11-01 Claudio Bley <claudio.bley@googlemail.com>
12820 * image.el (image-type-header-regexps): Fix the 'pbm' part to
12821 allow comments in pbm files.
12823 * term/w32-win.el (dynamic-library-alist): Support newer versions
12824 of libjpeg starting with v7: look only for the DLL from the
12825 version against which Emacs was built.
12826 Support versions of libpng beyond 1.4.x.
12827 Support libtiff v4.x.
12829 2013-11-01 Bozhidar Batsov <bozhidar@batsov.com>
12831 * progmodes/ruby-mode.el (ruby-indent-tabs-mode)
12832 (ruby-indent-level, ruby-comment-column, ruby-deep-arglist):
12833 Add property :safe.
12834 (ruby-deep-arglist): Add property :type.
12836 2013-10-31 Glenn Morris <rgm@gnu.org>
12838 * Makefile.in (custom-deps, finder-data): No need to setq the target
12839 variables, we are in the right directory and the defaults work fine.
12841 2013-10-30 Glenn Morris <rgm@gnu.org>
12843 * Makefile.in (autoloads): Do not use abs_lisp.
12845 * emacs-lisp/autoload.el (autoload-generate-file-autoloads):
12846 `newline' does not respect `standard-output', so use `princ'.
12848 2013-10-30 Alp Aker <alp.tekin.aker@gmail.com>
12850 Ensure unmarking in buffer menu clears 'S' marks. (Bug#15761)
12851 * buff-menu.el (Buffer-menu--unmark): New function.
12852 (Buffer-menu-unmark, Buffer-menu-backup-unmark): Use it.
12854 2013-10-30 Glenn Morris <rgm@gnu.org>
12856 * Makefile.in (AUTOGENEL): Add org/org-loaddefs.el.
12858 * emacs-lisp/package.el (lm-homepage): Declare.
12860 * eshell/em-ls.el (eshell-ls-directory, eshell-ls-symlink):
12863 * vc/pcvs.el (cvs-status-cvstrees): Autoload to silence compiler.
12865 * Makefile.in (finder-data, autoloads, update-subdirs)
12866 (compile-main, compile-clean, compile-always, bootstrap-clean):
12867 Check return value of cd.
12868 (compile-calc): Remove.
12870 2013-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
12872 * simple.el (copy-region-as-kill): Fix call to region-extract-function.
12874 * emacs-lisp/bytecomp.el (byte-defop-compiler): Add new `2-and' handler.
12875 (byte-compile-and-folded): New function.
12876 (=, <, >, <=, >=): Use it.
12878 * dos-w32.el (minibuffer-history-case-insensitive-variables)
12879 (path-separator, null-device, buffer-file-coding-system)
12880 (lpr-headers-switches): Check system-type before modifying them.
12881 (find-buffer-file-type-coding-system): Mark obsolete.
12882 (w32-find-file-not-found-set-buffer-file-coding-system): Rename from
12883 find-file-not-found-set-buffer-file-coding-system.
12884 (w32-untranslated-filesystem-list, w32-untranslated-canonical-name)
12885 (w32-add-untranslated-filesystem, w32-remove-untranslated-filesystem)
12886 (w32-direct-print-region-use-command-dot-com, w32-untranslated-file-p)
12887 (w32-direct-print-region-helper, w32-direct-print-region-function)
12888 (w32-direct-ps-print-region-function): Rename by adding a "w32-" prefix.
12889 * startup.el (normal-top-level-add-subdirs-to-load-path):
12890 * ps-print.el (ps-print-region-function):
12891 * lpr.el (print-region-function): Use new name.
12893 * subr.el (custom-declare-variable-early): Remove function.
12894 (custom-declare-variable-list): Remove var.
12895 (error, user-error): Remove `while' loop.
12896 (read-quoted-char-radix, read-quoted-char): Move to simple.el.
12897 (user-emacs-directory-warning, locate-user-emacs-file):
12899 * simple.el (read-quoted-char-radix, read-quoted-char):
12900 * files.el (user-emacs-directory-warning, locate-user-emacs-file):
12902 * custom.el (custom-declare-variable-list): Don't process
12903 custom-declare-variable-list.
12905 * progmodes/python.el (python-shell-get-buffer): New function.
12906 (python-shell-get-process): Use it.
12907 (python-shell-send-string): Always use utf-8 and add a cookie to tell
12908 Python which encoding was used. Don't split-string since we only care
12909 about the first line. Return the temp-file, if applicable.
12910 (python-shell-send-region): Tell compile.el how to turn locations in
12911 the temp-file into locations in the source buffer.
12913 2013-10-29 Stefan Monnier <monnier@iro.umontreal.ca>
12915 * subr.el (undefined): Add missing behavior from the C code for
12918 * rect.el: Use lexical-binding. Add new rectangular region support.
12919 (rectangle-mark): New command.
12920 (rectangle--region): New var.
12921 (deactivate-mark-hook): Reset rectangle--region.
12922 (rectangle--extract-region, rectangle--insert-for-yank)
12923 (rectangle--highlight-for-redisplay)
12924 (rectangle--unhighlight-for-redisplay): New functions.
12925 (region-extract-function, redisplay-unhighlight-region-function)
12926 (redisplay-highlight-region-function): Use them to handle
12927 rectangular region.
12928 * simple.el (region-extract-function): New var.
12929 (delete-backward-char, delete-forward-char, deactivate-mark): Use it.
12930 (kill-new, kill-append): Remove obsolete `yank-handler' argument.
12931 (kill-region): Replace obsolete `yank-handler' arg with `region'.
12932 (copy-region-as-kill, kill-ring-save): Add `region' argument.
12933 (redisplay-unhighlight-region-function)
12934 (redisplay-highlight-region-function): New vars.
12935 (redisplay--update-region-highlight): New function.
12936 (pre-redisplay-function): Use it.
12937 (exchange-point-and-mark): Don't deactivate the mark before
12938 reactivate-it anyway.
12939 * comint.el (comint-kill-region): Remove yank-handler argument.
12940 * delsel.el (delete-backward-char, backward-delete-char-untabify)
12941 (delete-char): Remove property, since it's now part of their
12943 (self-insert-iso): Remove property since this command doesn't exist.
12945 * emacs-lisp/package.el (package--download-one-archive)
12946 (describe-package-1): Don't query the user about final newline.
12948 2013-10-29 Daniel Colascione <dancol@dancol.org>
12950 * net/tramp.el (tramp-methods): Document new functionality.
12951 * net/tramp-sh.el (tramp-compute-multi-hops): Punt to
12952 tramp-hostname-checker if method provides one instead of scanning
12953 argument list for "%h" to decide hostname acceptability.
12955 2013-10-28 Michael Albinus <michael.albinus@gmx.de>
12957 * net/tramp-sh.el (tramp-sh-handle-copy-directory):
12958 * net/tramp-smb.el (tramp-smb-handle-copy-directory):
12959 Handle COPY-CONTENTS. (Bug#15737)
12961 2013-10-28 Daiki Ueno <ueno@gnu.org>
12963 * epa-file.el (epa-file-cache-passphrase-for-symmetric-encryption):
12964 Document that this option has no effect with GnuPG 2.0 (bug#15552).
12966 2013-10-27 Xue Fuqiao <xfq.free@gmail.com>
12968 * image.el (defimage, image-load-path): Doc fixes.
12970 2013-10-27 Alan Mackenzie <acm@muc.de>
12972 Indent statements in macros following "##" correctly.
12973 * progmodes/cc-engine.el (c-crosses-statement-barrier-p):
12974 Modify the "#" arm of a cond form to handle "#" and "##" operators.
12976 2013-10-27 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
12978 * linum.el (linum-update-window): Fix boundary test (bug#13446).
12980 2013-10-27 Dmitry Gutov <dgutov@yandex.ru>
12982 * progmodes/ruby-mode.el (ruby-smie--bosp): Anything that goes
12983 after `=' is probably a new expression.
12985 2013-10-27 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
12987 * man.el (man-imenu-title): New option.
12988 (Man-mode-map): Add menu. (Bug#15722)
12989 (Man-mode): Add imenu to menu.
12991 2013-10-26 Dmitry Gutov <dgutov@yandex.ru>
12993 * progmodes/ruby-mode.el (ruby-smie--args-separator-p): Be more
12994 specific in what the first arg can be: a non-keyword word,
12995 string/regexp/percent literal opener, opening paren, or unary
12996 operator followed directly by word.
12998 2013-10-25 Stefan Monnier <monnier@iro.umontreal.ca>
13000 * progmodes/prolog.el: Remove old indent; use post-self-insert-hook.
13001 (prolog-align-comments-flag, prolog-indent-mline-comments-flag)
13002 (prolog-object-end-to-0-flag, prolog-electric-newline-flag)
13003 (prolog-electric-tab-flag, prolog-use-prolog-tokenizer-flag):
13004 Remove vars, they do not apply any more.
13005 (prolog-mode-abbrev-table): Remove redundant declaration.
13006 (prolog-upper-case-string, prolog-lower-case-string): Remove.
13007 (prolog-use-smie): Remove.
13008 (prolog-smie-rules): Add indentation rule for the if-then-else layout
13009 supported by prolog-electric-if-then-else-flag.
13010 (prolog-mode-variables, prolog-menu): Use setq-local.
13011 (prolog-mode-keybindings-edit): Don't rebind M-C-p and M-C-n.
13012 Remove binding to `Backspace' since this key doesn't exist anyway.
13013 Remove bindings for electric self-inserting keys.
13014 (prog-mode): Assume it's defined.
13015 (prolog-post-self-insert): New function.
13016 (prolog-mode): Use it.
13017 (prolog-indent-line, prolog-indent-level)
13018 (prolog-find-indent-of-matching-paren)
13019 (prolog-indentation-level-of-line, prolog-goto-comment-column)
13020 (prolog-paren-is-the-first-on-line-p, prolog-region-paren-balance)
13021 (prolog-goto-next-paren, prolog-in-string-or-comment)
13022 (prolog-tokenize, prolog-inside-mline-comment)
13023 (prolog-find-start-of-mline-comment): Remove functions.
13024 (prolog-find-unmatched-paren, prolog-clause-end)
13025 (prolog-guess-fill-prefix, prolog-get-predspec): Use syntax-ppss.
13026 (prolog-electric--if-then-else): Rename from
13027 prolog-insert-spaces-after-paren; use prolog-electric-if-then-else-flag.
13028 (prolog-tokenize-searchkey): Remove const.
13029 (prolog-clause-info): Use forward-sexp.
13030 (prolog-forward-list, prolog-backward-list, prolog-electric-delete)
13031 (prolog-electric-if-then-else): Remove commands.
13032 (prolog-electric--colon): Rename from prolog-electric-colon; adapt it
13033 for use in post-self-insert-hook.
13034 (prolog-electric--dash): Rename from prolog-electric-dash; adapt it
13035 for use in post-self-insert-hook.
13036 (prolog-electric--dot): Rename from prolog-electric-dot; adapt it
13037 for use in post-self-insert-hook.
13038 (prolog-electric--underscore): Rename from prolog-electric--underscore;
13039 adapt it for use in post-self-insert-hook.
13041 2013-10-25 Michael Albinus <michael.albinus@gmx.de>
13043 * emacs-lisp/ert.el (ert-run-tests-interactively):
13044 Use `completing-read'. (Bug#9756)
13046 2013-10-25 Eli Zaretskii <eliz@gnu.org>
13048 * simple.el (line-move): Call line-move-1 instead of
13049 line-move-visual when the current window hscroll is zero, but
13050 temporary-goal-column indicates we will need to hscroll as result
13051 of the movement. (Bug#15712)
13053 2013-10-25 Dmitry Gutov <dgutov@yandex.ru>
13055 * progmodes/ruby-mode.el (ruby-mode-menu): Use proper
13056 capitalization. Use :visible instead of :active.
13057 Fix `ruby-indent-exp' reference. Add menu items for the generic
13058 commands that are used with SMIE.
13059 (ruby-do-end-to-brace): Insert space after `{'.
13061 2013-10-25 John Anthony <john@jo.hnanthony.com>
13063 * progmodes/ruby-mode.el (ruby-mode-menu): Add a menu. (Bug#15600)
13065 * progmodes/inf-lisp.el (inferior-lisp-menu): Add a menu. (Bug#15599)
13067 2013-10-25 Glenn Morris <rgm@gnu.org>
13069 * vc/vc.el (vc-print-log): Don't use a working revision unless
13070 one was explicitly specified. (Bug#15322)
13072 2013-10-25 Stefan Monnier <monnier@iro.umontreal.ca>
13074 * subr.el (add-to-list): Preserve return value in compiler-macro
13077 2013-10-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
13079 * progmodes/octave.el (octave-lookfor): Handle empty lookfor
13080 result. Ask user to retry using '-all' flag. (Bug#15701)
13082 2013-10-24 Stefan Monnier <monnier@iro.umontreal.ca>
13084 * emacs-lisp/smie.el: New smie-config system.
13085 (smie-config): New defcustom.
13086 (smie-edebug, smie-config-show-indent, smie-config-set-indent)
13087 (smie-config-guess, smie-config-save): New commands.
13088 (smie-config--mode-local, smie-config--buffer-local)
13089 (smie-config--trace, smie-config--modefuns): New vars.
13090 (smie-config--advice, smie-config--mode-hook)
13091 (smie-config--setter, smie-config-local, smie-config--get-trace)
13092 (smie-config--guess-value, smie-config--guess): New functions.
13093 (smie-indent-forward-token, smie-indent-backward-token): Don't copy
13094 text properties. Treat "string fence" syntax like string syntax.
13096 * progmodes/sh-script.el (sh-use-smie): Change default.
13097 (sh-smie-sh-rules, sh-smie-rc-rules): Obey legacy sh-indent-* vars.
13098 (sh-var-value): Simplify by CSE.
13099 (sh-show-indent, sh-set-indent, sh-learn-line-indent)
13100 (sh-learn-buffer-indent): Redirect to their SMIE equivalent when SMIE
13102 (sh-guess-basic-offset): Use cl-incf.
13103 (sh-guess-basic-offset): Use push+nreverse to avoid O(n^2).
13105 2013-10-24 Helmut Eller <eller.helmut@gmail.com>
13107 * emacs-lisp/lisp-mode.el (lisp-cl-font-lock-keywords-2): Fix cut&paste
13110 2013-10-24 Glenn Morris <rgm@gnu.org>
13112 * Makefile.in (abs_top_srcdir): Remove.
13113 (update-subdirs): Use relative path to update-subdirs.
13115 2013-10-24 Eli Zaretskii <eliz@gnu.org>
13117 * Makefile.in ($(MH_E_DIR)/mh-loaddefs.el)
13118 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
13119 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
13120 Call unmsys--file-name before expand-file-name, not after it.
13122 2013-10-24 Michael Albinus <michael.albinus@gmx.de>
13124 * emacs-lisp/ert.el (ert-deftest): Bind macro `skip-unless'.
13125 (ert-test-skipped): New error.
13126 (ert-skip, ert-stats-skipped): New defuns.
13127 (ert--skip-unless): New macro.
13128 (ert-test-skipped): New struct.
13129 (ert--run-test-debugger, ert-test-result-type-p)
13130 (ert-test-result-expected-p, ert--stats, ert-stats-completed)
13131 (ert--stats-set-test-and-result, ert-char-for-test-result)
13132 (ert-string-for-test-result, ert-run-tests-batch)
13133 (ert--results-update-ewoc-hf, ert-run-tests-interactively):
13134 Handle skipped tests. (Bug#9803)
13136 2013-10-24 Glenn Morris <rgm@gnu.org>
13138 * Makefile.in (check-declare): Remove unnecessary path in -l argument.
13140 * Makefile.in (abs_top_srcdir): New, set by configure.
13141 (update-subdirs): Correct build-aux location.
13143 2013-10-24 Dmitry Gutov <dgutov@yandex.ru>
13145 * vc/vc.el (vc-print-root-log): Always set `default-directory'
13146 value, whether we could auto-deduce `backend', or not.
13148 * progmodes/ruby-mode.el (ruby-smie-rules): Fix the "curly block
13149 with parameters" example. Simplify the "is it block or is it
13150 hash" check, but also make it more thorough.
13152 2013-10-23 Masashi Fujimoto <masfj.dev@gmail.com> (tiny change)
13154 * battery.el (battery-pmset): Handle OS X Mavericks. (Bug#15694)
13156 2013-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
13158 * progmodes/ruby-mode.el (ruby-smie-rules): Only align with parent of
13159 { if it is hanging.
13161 * progmodes/ruby-mode.el (ruby-smie-rules): Don't return 0 for
13164 2013-10-23 Jed Brown <jed@59A2.org> (tiny change)
13166 * progmodes/compile.el (compilation-directory-matcher)
13167 (compilation-page-delimiter):
13168 Support GNU Make-4.0 directory quoting. (Bug#15678)
13170 2013-10-23 Leo Liu <sdl.web@gmail.com>
13172 * ido.el (ido-tidy): Handle read-only text.
13174 2013-10-23 Glenn Morris <rgm@gnu.org>
13176 * Makefile.in (abs_srcdir, abs_lisp): New, set by configure.
13177 (emacs, compile, compile-always):
13178 Quote entities that might contain whitespace.
13179 (custom-deps, finder-data, autoloads): Use abs_lisp.
13180 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
13181 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
13182 ($(CAL_DIR)/hol-loaddefs.el): Manually expand target file name.
13184 2013-10-23 Dmitry Gutov <dgutov@yandex.ru>
13186 * progmodes/ruby-mode.el (ruby-smie--at-dot-call):
13187 Use `following-char'.
13189 2013-10-22 Stefan Monnier <monnier@iro.umontreal.ca>
13191 * emacs-lisp/smie.el (smie-rule-parent): Fix opener-test.
13192 * progmodes/ruby-mode.el (ruby-smie-rules):
13193 Remove corresponding workaround. Fix indentation rule of ";" so it
13194 also applies when ";" is the parent.
13196 2013-10-22 Xue Fuqiao <xfq.free@gmail.com>
13198 * frame.el (display-screens, display-pixel-height)
13199 (display-pixel-width, display-mm-width, display-backing-store)
13200 (display-save-under, display-planes, display-color-cells)
13201 (display-visual-class, display-monitor-attributes-list):
13202 Mention the optional ‘display’ argument in doc strings.
13204 2013-10-22 Michael Gauland <mikelygee@amuri.net>
13206 * progmodes/ebnf2ps.el (ebnf-prologue): Avoid PS error with some
13207 viewers such as evince when ebnf-production-name-p is nil. (Bug#15625)
13209 2013-10-21 Dmitry Gutov <dgutov@yandex.ru>
13211 * progmodes/ruby-mode.el (ruby-smie-grammar): Remove outdated
13212 TODO. Add "." after " @ ".
13213 (ruby-smie--at-dot-call): New function. Checks if point at method
13214 call with explicit target.
13215 (ruby-smie--forward-token, ruby-smie--backward-token): Prepend "."
13216 to the method name tokens when it precedes them.
13217 (ruby-smie--backward-id, ruby-smie--forward-id): Remove.
13218 (ruby-smie-rules): Add rule for indentation before and after "."
13221 2013-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
13223 * textmodes/remember.el (remember-diary-extract-entries):
13226 * progmodes/ruby-mode.el (ruby-smie-rules): Indent after + used as
13229 2013-10-21 Dmitry Gutov <dgutov@yandex.ru>
13231 * progmodes/ruby-mode.el (ruby-smie-grammar):
13232 Add (almost) all infix operators.
13233 (ruby-smie--implicit-semi-p): Add new operator chars.
13235 * progmodes/ruby-mode.el (ruby-mode-map): Add binding for
13237 (ruby-smie--args-separator-p): Check that there's no newline
13238 between method call and its arguments.
13240 2013-10-20 Alan Mackenzie <acm@muc.de>
13242 Allow comma separated lists after Java "implements".
13244 * progmodes/cc-engine.el (c-backward-over-enum-header):
13246 * progmodes/cc-fonts.el (c-basic-matchers-after): Remove comma
13247 from a "disallowed" list in enum fontification.
13249 2013-10-20 Johan Bockgård <bojohan@gnu.org>
13251 * startup.el (default-frame-background-mode): Remove unused defvar.
13253 * progmodes/verilog-mode.el (verilog-mode): Don't set
13254 comment-indent-function globally.
13256 2013-10-20 Jan Djärv <jan.h.d@swipnet.se>
13258 * menu-bar.el: Put help-menu in menu-bar-final-items unconditionally.
13259 Move Info menu item creation to ns-win.el.
13261 * term/ns-win.el (ns-initialize-window-system): Rename Help to Info
13264 * menu-bar.el: Move GNUstep specific menus...
13266 * term/ns-win.el (ns-initialize-window-system): ... to here.
13268 2013-10-19 Stefan Monnier <monnier@iro.umontreal.ca>
13270 * simple.el (newline): Only run post-self-insert-hook when
13271 called interactively.
13273 2013-10-19 Johan Bockgård <bojohan@gnu.org>
13275 * icomplete.el (icomplete-with-completion-tables): Add :version.
13277 2013-10-19 Alan Mackenzie <acm@muc.de>
13279 Fix fontification bugs with constructors and const.
13281 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): (Just after
13282 CASE 2) Remove the check for the absence of a suffix construct
13283 after a function declaration with only types (no identifiers) in
13284 the parentheses. Also, accept a function declaration with just a
13285 type inside the parentheses, if this type can be positively
13286 recognised as such, or if a prefix keyword like "explicit" nails
13287 down the construct as a declaration.
13289 2013-10-19 Eli Zaretskii <eliz@gnu.org>
13291 * menu-bar.el (tty-menu-navigation-map): Bind mouse-N to perform
13292 TTY menu actions and down-mouse-N to tty-menu-ignore. This solves
13293 the problem whereby selecting a menu item that leads to a
13294 minibuffer prompt moves the cursor out of the minibuffer window,
13295 making it hard to type at the prompt. Suggested by Stefan Monnier
13296 <monnier@iro.umontreal.ca>.
13298 2013-10-19 Jan Djärv <jan.h.d@swipnet.se>
13300 * menu-bar.el: Don't make Services menu.
13302 2013-10-19 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
13304 * ffap.el: Handle "/usr/include/c++/<version>" directories.
13305 (ffap-alist): Use ffap-c++-mode for c++-mode.
13306 (ffap-c++-path): New variable.
13307 (ffap-c++-mode): New function.
13309 2013-10-19 Joe Vornehm Jr. <joe.vornehm@gmail.com> (tiny change)
13311 * ido.el (dired-other-frame): Only list directories. (Bug#15638)
13313 2013-10-18 Michael Albinus <michael.albinus@gmx.de>
13315 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Fix an error
13316 introduced on 2013-09-08, which results in an infinite loop
13317 requesting a password.
13319 2013-10-18 Glenn Morris <rgm@gnu.org>
13321 * progmodes/verilog-mode.el (verilog-case-fold): Add :version.
13323 2013-10-18 Wilson Snyder <wsnyder@wsnyder.org>
13325 Sync with upstream verilog-mode revision 1a6ecec7.
13326 * progmodes/verilog-mode.el (verilog-mode-version): Update.
13327 (verilog-mode-release-date): Remove.
13328 (verilog-highlight-grouping-keywords, verilog-active-low-regexp)
13329 (verilog-auto-inst-param-value, verilog-auto-input-ignore-regexp)
13330 (verilog-auto-inout-ignore-regexp, verilog-auto-output-ignore-regexp)
13331 (verilog-auto-tieoff-ignore-regexp)
13332 (verilog-auto-unused-ignore-regexp, verilog-typedef-regexp)
13333 (verilog-scan-cache-preserving, verilog-mode, verilog-at-struct-p)
13334 (verilog-signals-with, verilog-dir-cache-preserving)
13335 (verilog-auto-inst, verilog-auto-inout-param, verilog-auto):
13337 (verilog-case-fold): New option, to control case folding in
13338 regexp searches, bug597.
13339 (verilog-menu): Add verilog-sk-uvm-component, minor tweaks.
13340 (verilog-string-match-fold, verilog-in-paren-count)
13341 (verilog-in-struct-nested-p, verilog-at-struct-mv-p)
13342 (verilog-at-close-struct-p): New functions.
13343 (verilog-beg-block-re-ordered, verilog-extended-case-re)
13344 (verilog-forward-sexp, verilog-set-auto-endcomments)
13345 (verilog-leap-to-case-head): Handle "unique0" case.
13346 (verilog-in-constraint-re): New constant.
13347 (verilog-keywords, verilog-type-font-keywords):
13348 Add some SystemVerilog 1800-2012 keywords.
13349 (verilog-label-be): Remove unimplemented argument, bug669.
13350 (verilog-batch-execute-func): When batch expanding clear
13351 create-lockfiles to prevent spurious user locks when a file ends
13353 (verilog-calculate-indent, verilog-calc-1)
13354 (verilog-at-close-constraint-p, verilog-at-constraint-p)
13355 (verilog-do-indent): Fix indentation of nested constraints
13357 (verilog-sig-tieoff, verilog-typedef-name-p, verilog-auto-inst)
13358 (verilog-auto-inst-param): Use verilog-string-match-fold.
13359 (verilog-read-inst-module-matcher):
13360 Fix AUTOINST on gate primitives with #1.
13361 (verilog-read-decls): Fix double-declaring user-defined typed signals.
13362 Reads all user-defined typed variables.
13363 (verilog-read-defines): Fix reading definitions inside comments, bug647.
13364 (verilog-signals-matching-regexp)
13365 (verilog-signals-not-matching-regexp, verilog-auto):
13366 Respect verilog-case-fold.
13367 (verilog-diff-report): Fix line count.
13368 (verilog-auto-assign-modport): Remove unused local `modi'.
13369 (verilog-auto-inst-port): Support [][] in AUTO_TEMPLATE to
13370 better handle multidimensional arrays.
13371 Fix packed array ports misadding bit index in AUTOINST, bug637.
13372 (verilog-auto-output, verilog-auto-input): Fix AUTOINPUT and AUTOOUTPUT
13373 to not double-declare existing outputs and inputs, respectively.
13374 (verilog-template-map): Bind U to verilog-sk-uvm-component.
13375 (verilog-sk-uvm-object): Rename from verilog-sk-uvm-class.
13376 (verilog-sk-uvm-component): New skeleton.
13377 (verilog-submit-bug-report): Add verilog-case-fold,
13378 remove verilog-mode-release-date.
13380 2013-10-17 Barry O'Reilly <gundaetiapo@gmail.com>
13382 * subr.el (sit-for): Call (input-pending-p t) so as to behave
13385 2013-10-18 Reuben Thomas <rrt@sc3d.org>
13387 * textmodes/remember.el (remember): Set buffer-offer-save in
13388 remember buffers (bug#13566).
13390 2013-10-18 Daniel Colascione <dancol@dancol.org>
13392 When evaluating forms in ielm, direct standard output to ielm
13393 buffer. Add new ielm-return-for-effect command. Remove trailing
13394 whitespace throughout.
13396 * ielm.el (ielm-map): Bind M-RET to ielm-return-for-effect.
13397 (ielm-return-for-effect): New command.
13398 (ielm-send-input): Accept optional `for-effect' parameter.
13399 (ielm-eval-input): Accept optional `for-effect' parameter.
13400 Bind `standard-output' to stream we create using
13401 `ielm-standard-output-impl'. Suppress printing result when
13403 (ielm-standard-output-impl): New function.
13404 (inferior-emacs-lisp-mode): Explain new features in documentation.
13406 2013-10-17 Michael Albinus <michael.albinus@gmx.de>
13410 * net/tramp.el (tramp-debug-message): Do not check for connection
13412 (tramp-message): Use "vector" connection property.
13414 * net/tramp.el (tramp-rfn-eshadow-update-overlay)
13415 (tramp-equal-remote, tramp-eshell-directory-change)
13416 * net/tramp-adb.el (tramp-adb-handle-copy-file)
13417 (tramp-adb-handle-rename-file)
13418 * net/tramp-cmds.el (tramp-list-remote-buffers)
13419 (tramp-cleanup-connection, tramp-cleanup-this-connection)
13420 * net/tramp-compat.el (tramp-compat-process-running-p)
13421 * net/tramp-ftp.el (tramp-ftp-file-name-handler)
13422 * net/tramp-gvfs.el (tramp-gvfs-handle-copy-file)
13423 (tramp-gvfs-handle-rename-file)
13424 * net/tramp-sh.el (tramp-sh-handle-set-file-times)
13425 (tramp-set-file-uid-gid)
13426 * net/tramp-smb.el (tramp-smb-handle-copy-file)
13427 (tramp-smb-handle-rename-file): Use `tramp-tramp-file-p' instead
13428 of `file-remote-p'.
13430 * net/tramp.el (tramp-connectable-p, tramp-handle-file-remote-p)
13431 * net/tramp-gw.el (tramp-gw-gw-proc-sentinel)
13432 (tramp-gw-aux-proc-sentinel, tramp-gw-process-filter)
13433 (tramp-gw-open-network-stream): Suppress unrelated traces.
13435 * net/tramp-adb.el (tramp-adb-maybe-open-connection)
13436 * net/tramp-gvfs.el (tramp-gvfs-handle-file-notify-add-watch)
13437 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band)
13438 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Set "vector"
13439 connection property.
13441 * net/tramp-cache.el (top): Suppress traces when reading
13444 * net/tramp-sh.el (tramp-sh-handle-file-notify-add-watch):
13445 Refactor common code. Improve debug message.
13446 (tramp-maybe-open-connection)
13447 * net/tramp-smb.el (tramp-smb-call-winexe): Do not request
13448 connection buffer too early.
13450 * net/tramp-smb.el (tramp-smb-actions-get-acl): New defconst, renamed
13451 from `tramp-smb-actions-with-acl'.
13452 (tramp-smb-actions-set-acl): New defconst.
13453 (tramp-smb-handle-copy-directory)
13454 (tramp-smb-action-get-acl): New defun, renamed from
13455 `tramp-smb-action-with-acl'.
13456 (tramp-smb-action-set-acl): New defun.
13457 (tramp-smb-handle-set-file-acl): Rewrite.
13459 2013-10-17 Glenn Morris <rgm@gnu.org>
13461 * indent.el (indent-rigidly): Fix 2013-10-08 change. (Bug#15635)
13463 2013-10-17 Stefan Monnier <monnier@iro.umontreal.ca>
13465 * skeleton.el (skeleton-newline): Remove.
13466 (skeleton-internal-1): Use (insert "\n") instead.
13468 * emacs-lisp/lisp.el (lisp-completion-at-point): Complete var names for
13471 * progmodes/sh-script.el (sh-find-prev-matching): Disable SMIE's
13472 forward-sexp-function while we redo its job (bug#15613).
13474 2013-10-17 Jay Belanger <jay.p.belanger@gmail.com>
13476 * calc/calc-comb.el (math-prime-test): Don't assume large integers are
13477 represented by lists.
13479 2013-10-16 Glenn Morris <rgm@gnu.org>
13481 * tmm.el (tmm--history): New dynamic variable.
13482 (tmm-prompt): Use tmm--history in place of `history'. (Bug#15623)
13484 2013-10-16 Michael Albinus <michael.albinus@gmx.de>
13486 * net/tramp-smb.el (tramp-smb-acl-program): New customer option.
13487 (tramp-smb-errors): Add error messages.
13488 (tramp-smb-actions-with-acl): New defconst.
13489 (tramp-smb-file-name-handler-alist) <set-file-acl>: Add handler.
13490 (tramp-smb-action-with-acl, tramp-smb-handle-set-file-acl): New defuns.
13491 (tramp-smb-handle-file-acl): Rewrite, using "smbcacls".
13492 (tramp-smb-handle-file-attributes): Simplify test for "stat" capability.
13493 (tramp-smb-get-stat-capability): Fix tests.
13495 2013-10-16 Dima Kogan <dima@secretsauce.net> (tiny change)
13497 * progmodes/subword.el (subword-capitalize): Fix Stefan's mess
13500 2013-10-16 Glenn Morris <rgm@gnu.org>
13502 * ansi-color.el (ansi-color-drop-regexp):
13503 Add 1J, 1K, 2K. (Bug#15617)
13505 * files.el (hack-local-variables--warned-lexical): New.
13506 (hack-local-variables):
13507 Warn about misplaced lexical-binding. (Bug#15616)
13509 * net/eww.el (eww-render): Always set eww-current-url,
13510 and update header line. (Bug#15622)
13511 (eww-display-html): ... Rather than just doing it here.
13513 2013-10-15 Eli Zaretskii <eliz@gnu.org>
13515 * menu-bar.el (tty-menu-navigation-map): Bind mouse wheels to TTY
13516 menu navigations commands.
13518 2013-10-14 Dima Kogan <dima@secretsauce.net> (tiny change)
13520 * progmodes/subword.el (subword-capitalize): Be careful when
13521 the search for [[:alpha:]] fails (bug#15580).
13523 2013-10-14 Eli Zaretskii <eliz@gnu.org>
13525 * menu-bar.el (tty-menu-navigation-map): Bind shifted mouse clicks
13526 to commands that scroll the menu.
13528 2013-10-14 Dmitry Gutov <dgutov@yandex.ru>
13530 * progmodes/ruby-mode.el (ruby-smie--args-separator-p):
13531 Handle methods ending with `?' and `!'.
13533 2013-10-14 Akinori MUSHA <knu@iDaemons.org>
13535 * progmodes/ruby-mode.el (ruby-encoding-map): Add a mapping from
13536 `japanese-cp932' to `cp932' to fix the problem where saving a
13537 source file written in Shift_JIS twice would end up having
13538 `coding: japanese-cp932' which Ruby could not recognize.
13539 (ruby-mode-set-encoding): Add support for encodings mapped to nil
13540 in `ruby-encoding-map'.
13541 (ruby-encoding-map): Map `us-ascii' to nil by default, meaning it
13542 doesn't need to be explicitly declared in magic comment.
13543 (ruby-encoding-map): Add type declaration for better customize UI.
13545 2013-10-13 Glenn Morris <rgm@gnu.org>
13547 * progmodes/sh-script.el (sh-mark-line, sh-learn-buffer-indent):
13548 Occur buffers are read-only. http://bugs.debian.org/720775
13550 * emacs-lisp/authors.el (authors-fixed-entries):
13551 Comment out old alpha stuff.
13553 2013-10-13 Dmitry Gutov <dgutov@yandex.ru>
13555 * progmodes/ruby-mode.el (ruby-mode): Add `ruby-mode-set-encoding'
13556 to `after-save-hook' instead of `before-save-hook'.
13557 (ruby-mode-set-encoding): Use the value of coding system used to
13558 write the file. Call `basic-save-buffer-1' after modifying the
13561 2013-10-13 Alan Mackenzie <acm@muc.de>
13563 Fix indentation/fontification of Java enum with
13564 "implements"/generic.
13566 * progmodes/cc-engine.el (c-backward-over-enum-header):
13567 Extracted from the three other places and enhanced to handle generics.
13568 (c-inside-bracelist-p): Uses new function above.
13569 * progmodes/cc-fonts.el (c-font-lock-declarations): Uses new
13571 (c-font-lock-enum-tail): Uses new function above.
13573 2013-10-13 Kenichi Handa <handa@gnu.org>
13575 * international/mule-cmds.el (select-safe-coding-system): Remove a
13576 superfluous condition in chekcing whether a coding system is safe
13579 2013-10-13 Oleh Krehel <ohwoeowho@gmail.com>
13581 * replace.el (how-many): Fix rstart and !rend case. (Bug#15589)
13583 2013-10-13 Andreas Politz <politza@hochschule-trier.de>
13585 * progmodes/sql.el (sql-add-product): Fix paren typo. (Bug#15435)
13587 2013-10-13 Glenn Morris <rgm@gnu.org>
13589 * menu-bar.el (menu-bar-update-buffers):
13590 Unify Buffers menu prompt string. (Bug#15576)
13592 * face-remap.el (text-scale-adjust): Doc fix. (Bug#15434)
13594 * emacs-lisp/authors.el (authors-aliases, authors-ignored-files):
13596 (authors-fixed-entries): Use accented form of name.
13598 2013-10-12 Stefan Monnier <monnier@iro.umontreal.ca>
13600 * progmodes/ruby-mode.el (ruby-smie-grammar): Add rule for paren-free
13601 method calls (bug#15594).
13602 (ruby-smie--args-separator-p): New function.
13603 (ruby-smie--forward-token, ruby-smie--backward-token): Use it to
13604 recognize paren-free method calls.
13606 * isearch.el (isearch-pre-command-hook): Don't build in knowledge about
13607 internals of universal-argument.
13609 2013-10-11 Eli Zaretskii <eliz@gnu.org>
13611 * menu-bar.el (tty-menu-navigation-map): Remap F10 to tty-menu-exit.
13612 Bind all menu-bar sequences to tty-menu-exit -- this pops down a
13613 dropped menu on second mouse click on the menu bar.
13615 2013-10-11 Stefan Monnier <monnier@iro.umontreal.ca>
13617 * progmodes/sh-script.el: Provide simpl(e|istic) completion.
13618 (explicit-shell-file-name): Declare.
13619 (sh--vars-before-point, sh--cmd-completion-table): New functions.
13620 (sh-completion-at-point-function): New function.
13622 (sh-smie--keyword-p): Remove unused argument.
13623 (sh-smie-sh-backward-token, sh-smie-rc-backward-token): Remove unused
13625 (sh-set-shell): Always setup SMIE, even if we use the
13626 old indentation code.
13628 2013-10-11 Dmitry Gutov <dgutov@yandex.ru>
13630 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Split the
13632 (ruby-smie-rules): Simplify the "do" rule. The cases when the
13633 predicate would return nil are almost non-existent.
13634 (ruby-smie--redundant-do-p): Include "until" and "for" statements.
13636 * emacs-lisp/smie.el (smie--matching-block-data): Invalidate the
13637 cache also after commands that modify the buffer but don't move
13640 2013-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
13642 * env.el (substitute-env-in-file-name): New function.
13643 (substitute-env-vars): Extend the meaning of the optional arg.
13645 2013-10-10 Eli Zaretskii <eliz@gnu.org>
13647 * term/w32-win.el (dynamic-library-alist): Define separate lists
13648 of GIF DLLs for versions before and after 5.0.0 of giflib.
13651 2013-10-10 João Távora <joaotavora@gmail.com>
13653 * vc/vc.el (vc-diff-build-argument-list-internal): If the file is
13654 not locked, use last revision and current source as
13655 defaults. (Bug#15569)
13657 2013-10-10 Masatake YAMATO <yamato@redhat.com>
13659 * menu-bar.el (menu-bar-open): Don't use popup-menu if
13660 menu-bar is hidden.
13662 2013-10-10 Martin Rudalics <rudalics@gmx.at>
13664 * window.el (pop-to-buffer-same-window): Fix doc-string.
13667 2013-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
13669 * menu-bar.el (tty-menu-navigation-map): Reduce redundancy.
13671 2013-10-10 Andrei Chițu <andrei.chitu1@gmail.com> (tiny change)
13673 * calendar/icalendar.el (icalendar-import-file):
13674 Fix interactive spec. (Bug#15482)
13676 2013-10-10 Glenn Morris <rgm@gnu.org>
13678 * desktop.el (desktop-save): Default to saving in .emacs.d,
13679 since PWD is no longer in desktop-path by default. (Bug#15319)
13681 * menu-bar.el (menu-bar-options-menu): Remove text-mode auto-fill,
13682 now that text mode has a menu with the same entry.
13683 (menu-bar-text-mode-auto-fill): Remove now unused func.
13684 * textmodes/text-mode.el (text-mode-map):
13685 Use auto-fill help text from menu-bar.el.
13687 2013-10-10 John Anthony <john@jo.hnanthony.com>
13689 * textmodes/text-mode.el (text-mode-map): Add a menu. (Bug#15562)
13691 2013-10-09 Juri Linkov <juri@jurta.org>
13693 * isearch.el (isearch-pre-command-hook): Use this-single-command-keys
13694 instead of this-command-keys. Add universal-argument-more and
13695 universal-argument-minus to the list of prefix commands. (Bug#15568)
13697 2013-10-09 Glenn Morris <rgm@gnu.org>
13699 * vc/vc-svn.el (vc-svn-create-repo):
13700 Expand paths in file://... url. (Bug#15446)
13702 * emacs-lisp/authors.el (authors-aliases, authors-fixed-case):
13704 (authors): Remove unused local variables.
13706 2013-10-09 Stefan Monnier <monnier@iro.umontreal.ca>
13708 * profiler.el: Create a more coherent calltree from partial backtraces.
13709 (profiler-format): Hide the tail with `invisible' so that C-s can still
13710 find the hidden elements.
13711 (profiler-calltree-depth): Don't recurse so enthusiastically.
13712 (profiler-function-equal): New hash-table-test.
13713 (profiler-calltree-build-unified): New function.
13714 (profiler-calltree-build): Use it.
13715 (profiler-report-make-name-part): Indent the calltree less.
13716 (profiler-report-mode): Add visibility specs for profiler-format.
13717 (profiler-report-expand-entry, profiler-report-toggle-entry):
13718 Expand the whole subtree when provided with a prefix arg.
13720 2013-10-09 Dmitry Gutov <dgutov@yandex.ru>
13722 * progmodes/ruby-mode.el (ruby-smie-rules): Indent after hanging
13724 (ruby-smie--implicit-semi-p): Prohibit implicit semicolon after
13725 hanging iuwu-mod token.
13726 (ruby-smie--forward-token): Do not include a dot after a token in
13728 (ruby-smie--backward-token): Likewise.
13730 2013-10-08 Juri Linkov <juri@jurta.org>
13732 * isearch.el (isearch-help-map, isearch-mode-map): Don't bind [t]
13733 to isearch-other-control-char.
13734 (isearch-mode): Add isearch-pre-command-hook to pre-command-hook
13735 and isearch-post-command-hook to post-command-hook.
13736 (isearch-done): Remove isearch-pre-command-hook from pre-command-hook
13737 and isearch-post-command-hook from post-command-hook.
13738 (isearch-unread-key-sequence)
13739 (isearch-reread-key-sequence-naturally)
13740 (isearch-lookup-scroll-key, isearch-other-control-char)
13741 (isearch-other-meta-char): Remove functions.
13742 (isearch-pre-command-hook, isearch-post-command-hook):
13743 New functions based on isearch-other-meta-char rewritten
13744 relying on the new behavior of overriding-terminal-local-map
13745 that does not replace the local keymaps any more. (Bug#15200)
13747 2013-10-08 Eli Zaretskii <eliz@gnu.org>
13749 Support menus on text-mode terminals.
13750 * tmm.el (tmm-menubar): Adapt doc string to TTY menus
13753 * tooltip.el (tooltip-mode): Don't error out on TTYs.
13755 * menu-bar.el (popup-menu, popup-menu-normalize-position):
13756 Move here from mouse.el.
13757 (popup-menu): Support menu-bar navigation on TTYs using C-f/C-b
13759 (tty-menu-navigation-map): New map for TTY menu navigation.
13761 * loadup.el ("tooltip"): Load even if x-show-tip is not available.
13763 * frame.el (display-mouse-p): Report text-mode mouse as available
13765 (display-popup-menus-p): Report availability if mouse is
13766 available; don't condition on window-system.
13768 * faces.el (tty-menu-enabled-face, tty-menu-disabled-face)
13769 (tty-menu-selected-face): New faces.
13771 2013-10-08 Stefan Monnier <monnier@iro.umontreal.ca>
13773 * emacs-lisp/lisp-mode.el: Font-lock cl-lib constructs.
13774 (lisp-el-font-lock-keywords, lisp-el-font-lock-keywords-1)
13775 (lisp-el-font-lock-keywords-2, lisp-cl-font-lock-keywords)
13776 (lisp-cl-font-lock-keywords-1, lisp-cl-font-lock-keywords-2):
13778 (lisp-mode-variables): New `elisp' argument.
13779 (emacs-lisp-mode): Use it.
13780 * font-lock.el (lisp-font-lock-keywords, lisp-font-lock-keywords-1)
13781 (lisp-font-lock-keywords-2): Move to lisp-mode.el.
13783 * indent.el: Use lexical-binding.
13784 (indent-region): Add progress reporter.
13785 (tab-stop-list): Make it implicitly extend to infinity by repeating the
13787 (indent--next-tab-stop): New function to implement this behavior.
13788 (tab-to-tab-stop, move-to-tab-stop): Use it.
13790 2013-10-08 Teemu Likonen <tlikonen@iki.fi>
13792 * indent.el (indent-rigidly--current-indentation): New function.
13793 (indent-rigidly-map): New var.
13794 (indent-rigidly): Use it to provide interactive mode (bug#8196).
13796 2013-10-08 Bastien Guerry <bzg@gnu.org>
13798 * register.el (insert-register): Fix 2013-10-07 change.
13800 2013-10-08 Stefan Monnier <monnier@iro.umontreal.ca>
13802 * progmodes/perl-mode.el: Use lexical-binding.
13803 Remove redundant :group args.
13804 (perl-nochange): Change default to be closer to other major modes's
13806 (perl-indent-line): Don't consider text on current line as a
13807 valid beginning of function from which to indent.
13809 * emacs-lisp/backquote.el (backquote-process): Catch uses of , and ,@
13810 with more than one argument (bug#15538).
13812 * mpc.el (mpc-songs-jump-to): Adjust to different playlist format.
13814 * vc/pcvs.el: Use lexical-binding.
13815 (cvs-temp-buffer, cvs-make-cvs-buffer): Pass some vars in the lexical
13816 environment of `eval'.
13817 (cvs-mode-run, cvs-mode-do): Change `postproc' to be a function rather
13818 than a list of expressions. Adjust callers.
13819 * vc/pcvs-defs.el (cvs-postprocess): Remove, unused.
13821 2013-10-07 Dmitry Gutov <dgutov@yandex.ru>
13823 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Handle the
13824 case of the dot in a chained method call being on the following line.
13826 2013-10-07 Stefan Monnier <monnier@iro.umontreal.ca>
13828 * electric.el (electric-indent-inhibit): New var.
13829 (electric-indent-post-self-insert-function): Use it.
13830 * progmodes/python.el (python-mode): Set it.
13832 * progmodes/ruby-mode.el (ruby-smie-rules): Tweak handling of
13835 * emacs-lisp/smie.el (smie-next-sexp): Refine last fix.
13837 * textmodes/css-mode.el (css-smie-rules): Fix indentation (bug#15467).
13838 (css-mode): Use electric-indent-chars.
13840 * nxml/nxml-mode.el: Use lexical-binding and syntax-propertize.
13841 (font-lock-beg, font-lock-end): Move before first use.
13842 (nxml-mode): Use syntax-propertize-function.
13843 (nxml-after-change, nxml-after-change1): Adjust accordingly.
13844 (nxml-extend-after-change-region): Remove.
13845 * nxml/xmltok.el: Use lexical-binding.
13846 (xmltok-save): Use `declare'.
13847 (xmltok-unclosed-reparse-p, xmltok-semi-closed-reparse-p): Remove.
13848 * nxml/nxml-util.el: Use lexical-binding.
13849 (nxml-with-degradation-on-error, nxml-with-invisible-motion):
13851 * nxml/nxml-ns.el: Use lexical-binding.
13852 (nxml-ns-save): Use `declare'.
13853 (nxml-ns-prefixes-for): Avoid add-to-list.
13854 * nxml/rng-match.el: Use lexical-binding.
13855 (rng--ipattern): Use cl-defstruct.
13856 (rng-compute-start-tag-open-deriv, rng-compute-start-attribute-deriv)
13857 (rng-cons-group-after, rng-subst-group-after)
13858 (rng-subst-interleave-after, rng-apply-after, rng-compute-data-deriv):
13859 Use closures instead of `(lambda...).
13861 2013-10-07 Michael Albinus <michael.albinus@gmx.de>
13863 * net/tramp.el (tramp-handle-insert-file-contents): Improve handling
13866 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
13867 Use `tramp-handle-insert-file-contents'.
13868 (tramp-gvfs-handle-insert-file-contents): Remove function.
13870 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
13871 Use `save-restriction' in order to keep markers.
13873 * net/trampver.el: Update release number.
13875 2013-10-07 Stefan Monnier <monnier@iro.umontreal.ca>
13877 * progmodes/compile.el (compilation-parse-errors):
13878 Use compilation--put-prop.
13879 (compilation--ensure-parse): Check compilation-multiline.
13881 * emacs-lisp/easymenu.el (easy-menu-create-menu): Use closures.
13883 * emacs-lisp/lisp-mode.el (eval-defun-2): Simplify, using
13886 * emacs-lisp/tq.el (tq-create): Use a closure instead of `(lambda...).
13888 * progmodes/ruby-mode.el: Fix recently added tests.
13889 (ruby-smie-grammar): Add - and +.
13890 (ruby-smie--redundant-do-p, ruby-smie--forward-id)
13891 (ruby-smie--backward-id): New functions.
13892 (ruby-smie--forward-token, ruby-smie--backward-token): Use them.
13893 (ruby-smie-rules): Handle hanging do. Get rid of hack, not needed
13896 2013-10-07 Leo Liu <sdl.web@gmail.com>
13898 * register.el (register-preview-delay)
13899 (register-preview-functions): New variables.
13900 (register-read-with-preview, register-preview)
13901 (register-describe-oneline): New functions.
13902 (point-to-register, window-configuration-to-register)
13903 (frame-configuration-to-register, jump-to-register)
13904 (number-to-register, view-register, insert-register)
13905 (copy-to-register, append-to-register, prepend-to-register)
13906 (copy-rectangle-to-register): Use register-read-with-preview to
13907 read register. (Bug#15525)
13909 2013-10-06 Dato Simó <dato@net.com.org.es> (tiny change)
13911 * net/network-stream.el (network-stream-open-starttls): Don't add
13912 --insecure if it's already present, because that gnutls-cli
13913 rejects getting that parameter twice.
13915 2013-10-06 Dmitry Gutov <dgutov@yandex.ru>
13917 * progmodes/ruby-mode.el (ruby-smie-rules): Dedent `ensure'
13920 2013-10-05 Dmitry Gutov <dgutov@yandex.ru>
13922 * newcomment.el (comment-use-global-state): Change default value
13923 to t, mark obsolete (Bug#15251).
13924 (comment-beginning): In addition to `comment-to-syntax', check the
13925 value of `comment-use-global-state'.
13927 2013-10-05 Stefan Monnier <monnier@iro.umontreal.ca>
13929 * progmodes/ruby-mode.el (ruby-use-smie): Change default.
13930 (ruby-comment-column): Follow the global default, by default.
13931 (ruby-smie-grammar): Add assignment syntax.
13932 (ruby-smie--implicit-semi-p): No implicit semi-colon after an
13933 open-paren, a comma, or a \.
13934 (ruby-smie--forward-token, ruby-smie--backward-token): Handle heredocs,
13935 and line continuations.
13936 (ruby-smie-rules): Adjust handling of open-paren, now that it's never
13937 followed by implicit semi-colons. Add rule for string concatenation
13938 and for indentation at BOB.
13939 (ruby-forward-sexp, ruby-backward-sexp): Adjust for when SMIE is in use.
13941 * emacs-lisp/smie.el (smie-next-sexp): Don't go back to pos before
13942 calling next-sexp, since next-token may have skipped chars which
13943 next-sexp doesn't know should be skipped!
13945 2013-10-05 Leo Liu <sdl.web@gmail.com>
13947 * progmodes/octave.el (octave-send-region):
13948 Call compilation-forget-errors.
13950 2013-10-04 Xue Fuqiao <xfq.free@gmail.com>
13952 * vc/vc-svn.el (vc-svn-find-admin-dir):
13953 * vc/vc-rcs.el (vc-rcs-find-admin-dir):
13954 * vc/vc-mtn.el (vc-mtn-find-admin-dir):
13955 * vc/vc-cvs.el (vc-cvs-find-admin-dir):
13956 * vc/vc-arch.el (vc-arch-find-admin-dir): New functions.
13958 2013-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
13960 * textmodes/css-mode.el (css-smie-rules): Toplevel's a list (bug#15467).
13962 2013-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
13964 * subr.el (read-passwd): Hide chars even when called within a context
13965 where after-change-functions is disabled (bug#15501).
13966 (set-temporary-overlay-map): Don't remove oneself from pre-command-hook
13967 until we removed ourself from overriding-terminal-local-map.
13969 2013-10-04 Leo Liu <sdl.web@gmail.com>
13971 * progmodes/octave.el (inferior-octave-mode):
13972 Call compilation-forget-errors.
13974 2013-10-04 Xue Fuqiao <xfq.free@gmail.com>
13976 * emacs-lisp/syntax.el (syntax-ppss): Doc fix.
13978 2013-10-04 Michael Albinus <michael.albinus@gmx.de>
13980 * net/secrets.el (secrets-create-collection): Add optional
13981 argument ALIAS. Use proper Label keyword. Append ALIAS as
13982 dbus-call-method argument. (Bug#15516)
13984 2013-10-04 Leo Liu <sdl.web@gmail.com>
13986 * progmodes/octave.el (inferior-octave-error-regexp-alist)
13987 (inferior-octave-compilation-font-lock-keywords): New variables.
13988 (compilation-error-regexp-alist)
13989 (compilation-mode-font-lock-keywords): Defvar to pacify compiler.
13990 (inferior-octave-mode): Use compilation-shell-minor-mode.
13992 2013-10-04 Jorgen Schaefer <forcer@forcix.cx>
13994 * minibuffer.el (completion--replace): Be careful that `end' might be
13997 2013-10-03 Daiki Ueno <ueno@gnu.org>
13999 Add support for package signature checking.
14000 * emacs-lisp/package.el (url-http-file-exists-p)
14001 (epg-make-context, epg-context-set-home-directory)
14002 (epg-verify-string, epg-context-result-for)
14003 (epg-signature-status, epg-signature-to-string)
14004 (epg-check-configuration, epg-configuration)
14005 (epg-import-keys-from-file): Declare.
14006 (package-check-signature): New user option.
14007 (package-unsigned-archives): New user option.
14008 (package-desc): Add `signed' field.
14009 (package-load-descriptor): Set `signed' field if .signed file exists.
14010 (package--archive-file-exists-p): New function.
14011 (package--check-signature): New function.
14012 (package-install-from-archive): Check package signature.
14013 (package--download-one-archive): Check archive signature.
14014 (package-delete): Remove .signed file.
14015 (package-import-keyring): New command.
14016 (package-refresh-contents): Import default keyring.
14017 (package-desc-status): Add "unsigned" status.
14018 (describe-package-1, package-menu--print-info)
14019 (package-menu-mark-delete, package-menu--find-upgrades)
14020 (package-menu--status-predicate): Support "unsigned" status.
14022 2013-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
14024 * emacs-lisp/cconv.el (cconv-convert, cconv-analyse-form): Adjust for
14025 the new compilation scheme using the new byte-codes.
14027 * emacs-lisp/bytecomp.el (byte-pushcatch, byte-pushconditioncase)
14028 (byte-pophandler): New byte codes.
14029 (byte-goto-ops): Adjust accordingly.
14030 (byte-compile--use-old-handlers): New var.
14031 (byte-compile-catch): Use new byte codes depending on
14032 byte-compile--use-old-handlers.
14033 (byte-compile-condition-case--old): Rename from
14034 byte-compile-condition-case.
14035 (byte-compile-condition-case--new): New function.
14036 (byte-compile-condition-case): New function that dispatches depending
14037 on byte-compile--use-old-handlers.
14038 (byte-compile-unwind-protect): Pass a function to byte-unwind-protect
14041 * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker):
14042 Optimize under `condition-case' and `catch' if
14043 byte-compile--use-old-handlers is nil.
14044 (disassemble-offset): Handle new bytecodes.
14046 2013-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
14048 * subr.el (error): Use `declare'.
14049 (decode-char, encode-char): Use advertised-calling-convention instead
14050 of the docstring to discourage use of the `restriction' arg.
14052 2013-10-03 Daiki Ueno <ueno@gnu.org>
14054 * epg.el (epg-verify-file): Add a comment saying that it does not
14055 notify verification error as a return value nor a signal.
14056 (epg-verify-string): Ditto.
14058 2013-10-02 Kevin Rodgers <kevin.d.rodgers@gmail.com>
14060 * progmodes/compile.el (compilation-start): Try globbing the arg to
14063 2013-10-02 Michael Albinus <michael.albinus@gmx.de>
14065 Sync with Tramp 2.2.8.
14067 * net/tramp-cmds.el (tramp-bug, tramp-append-tramp-buffers):
14068 * net/tramp-cache.el (tramp-cache-print): Use `tramp-compat-funcall'.
14069 * net/trampver.el: Update release number.
14071 2013-10-01 Jan Djärv <jan.h.d@swipnet.se>
14073 * term/ns-win.el (ns-initialize-window-system): Set locale-coding-system
14074 and default-process-coding-system for darwin only.
14076 2013-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
14078 * emacs-lisp/package.el (package-desc): Simplify (bug#15495).
14080 2013-10-01 Mitchel Humpherys <mitch.special@gmail.com> (tiny change)
14082 * vc/vc-git.el (vc-git-grep): Disable pager.
14084 2013-10-01 Dmitry Gutov <dgutov@yandex.ru>
14086 * emacs-lisp/package.el (package-buffer-info, describe-package-1):
14087 Use :url instead of :homepage, as per
14088 http://lists.gnu.org/archive/html/emacs-devel/2013-09/msg00622.html
14090 * newcomment.el (comment-beginning): When `comment-use-syntax' is
14091 non-nil, use `syntax-ppss' (Bug#15251).
14093 2013-09-30 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
14095 * progmodes/octave.el (inferior-octave-startup-file):
14096 Prefer ~/.emacs.d/init_octave.m.
14098 2013-09-29 Dmitry Gutov <dgutov@yandex.ru>
14100 * emacs-lisp/package.el (package-desc-from-define):
14101 Accept additional arguments as plist, convert them to an alist and store
14102 them in the `extras' slot.
14103 (package-generate-description-file): Convert extras alist back to
14104 plist and append to the `define-package' form arguments.
14105 (package--alist-to-plist): New function.
14106 (package--ac-desc): Add `extras' slot.
14107 (package--add-to-archive-contents): Check if the archive-contents
14108 vector is long enough, and if it is, pass its `extras' slot value
14109 to `package-desc-create'.
14110 (package-buffer-info): Call `lm-homepage', pass the returned value
14111 to `package-desc-from-define'.
14112 (describe-package-1): Render the homepage button (Bug#13291).
14114 * emacs-lisp/package-x.el (package-upload-buffer-internal):
14115 Pass `extras' slot from `package-desc' to `package-make-ac-desc'.
14117 2013-09-29 Jan Djärv <jan.h.d@swipnet.se>
14119 * term/ns-win.el (ns-initialize-window-system): Set locale-coding-system
14120 and default-process-coding-system to utf-8-unix (Bug#15402).
14122 2013-09-29 Xue Fuqiao <xfq.free@gmail.com>
14124 * subr.el (looking-back): Do not recommend using looking-back.
14126 2013-09-28 Alan Mackenzie <acm@muc.de>
14128 Fix indentation/fontification of Java enum with "implements".
14130 * progmodes/cc-langs.el (c-postfix-decl-spec-key): New variable, a
14131 regexp which matches "implements", etc., in Java.
14132 * progmodes/cc-engine.el (c-inside-bracelist-p): Check for extra
14133 specifier clauses coming after "enum".
14134 * progmodes/cc-fonts.el (c-font-lock-declarations)
14135 (c-font-lock-enum-tail): Check for extra specifier clauses coming
14138 2013-09-28 Jan Djärv <jan.h.d@swipnet.se>
14140 * faces.el (region): Change ns_selection_color to
14141 ns_selection_fg_color, add ns_selection_bg_color.
14143 2013-09-28 Leo Liu <sdl.web@gmail.com>
14145 * progmodes/octave.el (inferior-octave-completion-table)
14146 (inferior-octave-completion-at-point): Minor tweaks.
14148 * textmodes/ispell.el (ispell-lookup-words): Rename from
14149 lookup-words. (Bug#15460)
14150 (lookup-words): Obsolete.
14151 (ispell-complete-word, ispell-command-loop): All uses changed.
14153 2013-09-28 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
14155 * progmodes/octave.el (octave-mode-map): Bind octave-send-buffer.
14156 (octave-mode-menu): Add octave-send-buffer.
14157 (octave-send-buffer): New function.
14159 2013-09-28 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
14161 * progmodes/octave.el (octave-mode-map): Add key binding for
14163 (octave-mode-menu): Add octave-lookfor.
14164 (inferior-octave-mode-map, octave-help-mode-map): Bind C-ha to
14166 (octave-lookfor): New function.
14168 2013-09-28 Stefan Monnier <monnier@iro.umontreal.ca>
14170 * emacs-lisp/cl-macs.el (cl--loop-destr-temps): Remove.
14171 (cl--loop-iterator-function): Rename from cl--loop-map-form and change
14173 (cl--loop-set-iterator-function): New function.
14174 (cl-loop): Adjust accordingly, so as not to use cl-subst.
14175 (cl--parse-loop-clause): Adjust all uses of cl--loop-map-form.
14176 Bind `it' with `let' instead of substituting it with `cl-subst'.
14177 (cl--unused-var-p): New function.
14178 (cl--loop-let): Don't use the cl--loop-destr-temps hack any more.
14179 Eliminate some unused variable warnings (bug#15326).
14181 2013-09-27 Tassilo Horn <tsdh@gnu.org>
14183 * doc-view.el (doc-view-scale-reset): Rename from
14184 `doc-view-reset-zoom-level'.
14185 (doc-view-scale-adjust): New command.
14186 (doc-view-mode-map): Remap `text-scale-adjust' bindings to
14187 `doc-view-scale-adjust'.
14189 2013-09-26 Tassilo Horn <tsdh@gnu.org>
14191 * doc-view.el (doc-view-reset-zoom-level): New command.
14192 (doc-view-mode-map): Remap text-scale-adjust bindings to doc-view
14193 zoom commands (bug#15466).
14195 2013-09-26 Kenichi Handa <handa@gnu.org>
14197 * international/quail.el (quail-help): Make it not a command.
14199 2013-09-26 Leo Liu <sdl.web@gmail.com>
14201 * minibuffer.el (completion-all-sorted-completions): Make args
14202 optional as they are.
14204 2013-09-25 Daniel Colascione <dancol@dancol.org>
14206 * emacs-lisp/cl-macs.el (cl-type-spec): Tell edebug what type
14207 specs are and that they're not evaluated.
14209 2013-09-24 Sam Steingold <sds@gnu.org>
14211 * midnight.el (clean-buffer-list-kill-regexps)
14212 (clean-buffer-list-kill-buffer-names): Update for the new Man
14213 buffer naming which includes the object name.
14215 2013-09-23 Stefan Monnier <monnier@iro.umontreal.ca>
14217 * eshell/esh-cmd.el (eshell--sep-terms): New var.
14218 (eshell-parse-command, eshell-parse-pipeline): Use it since
14219 eshell-separate-commands requires a dynamic scoped var.
14220 Reported by Jan Moringen <jmoringe@techfak.uni-bielefeld.de>.
14222 2013-09-23 Leo Liu <sdl.web@gmail.com>
14224 * autoinsert.el (auto-insert-alist): Make the value of
14225 lexical-binding match its file setting.
14227 2013-09-23 Juanma Barranquero <lekktu@gmail.com>
14229 * vc/vc-sccs.el (vc-sccs-search-project-dir): Mark unused argument.
14231 * autoarg.el (autoarg-kp-digit-argument):
14232 * electric.el (Electric-command-loop):
14233 * kmacro.el (kmacro-step-edit-insert):
14234 Do not set universal-argument-num-events.
14236 2013-09-22 Leo Liu <sdl.web@gmail.com>
14238 * files.el (interpreter-mode-alist): Add octave.
14240 2013-09-21 Alan Mackenzie <acm@muc.de>
14242 C++: fontify identifier in declaration following "public:" correctly.
14243 * progmodes/cc-langs.el (c-decl-start-colon-kwd-re): New lang var
14244 to match "public", etc.
14245 (c-decl-prefix-re): Add ":" into the C++ value.
14246 * progmodes/cc-engine.el (c-find-decl-prefix-search): Refactor a
14247 bit. Add a check for a ":" preceded by "public", etc.
14249 2013-09-21 Eli Zaretskii <eliz@gnu.org>
14251 * files.el (auto-mode-alist): Support OBJFILE-gdb.gdb script files
14252 recognized by GDB 7.5 and later.
14254 2013-09-21 Xue Fuqiao <xfq.free@gmail.com>
14256 * vc/vc-dir.el (vc-dir-mode-map): Add keybinding for vc-log-incoming.
14258 2013-09-20 Stefan Monnier <monnier@iro.umontreal.ca>
14260 * subr.el (internal--call-interactively): New const.
14261 (called-interactively-p): Use it (bug#3984).
14263 2013-09-20 Xue Fuqiao <xfq.free@gmail.com>
14265 * vc/pcvs.el (cvs-mode-ignore):
14266 * vc/vc-cvs.el (vc-cvs-ignore, vc-cvs-append-to-ignore):
14267 Rename cvs-append-to-ignore to vc-cvs-append-to-ignore.
14269 2013-09-19 Stefan Monnier <monnier@iro.umontreal.ca>
14271 * eshell/em-ls.el: Use advice. Remove redundant :group keywords.
14272 (eshell-ls-orig-insert-directory): Remove.
14273 (eshell-ls-unload-hook): Not a defcustom any more. Use advice-remove.
14274 (eshell-ls-use-in-dired): Use advice-add/remove.
14275 (eshell-ls--insert-directory): Rename from eshell-ls-insert-directory.
14276 Add `orig-fun' arg for use in :around advice.
14277 Make it check (redundantly) eshell-ls-use-in-dired.
14279 2013-09-19 Glenn Morris <rgm@gnu.org>
14281 * emacs-lisp/cl-macs.el (cl-defsubst): Remove unused local `pbody'.
14283 * simple.el (x-selection-owner-p, x-selection-exists-p): Declare.
14285 * emacs-lisp/eieio.el (class-parent): Undo previous change.
14287 2013-09-19 Michael Albinus <michael.albinus@gmx.de>
14289 * net/tramp-sh.el (tramp-get-remote-id): Do not raise an error.
14290 (tramp-get-remote-uid-with-id, tramp-get-remote-gid-with-id)
14291 (tramp-get-remote-python): New defuns.
14292 (tramp-get-remote-uid-with-perl)
14293 (tramp-get-remote-gid-with-perl): New defuns. Perl code
14294 contributed by yary <not.com@gmail.com> (tiny change).
14295 (tramp-get-remote-uid-with-python)
14296 (tramp-get-remote-gid-with-python): New defuns. Python code
14297 contributed by Andrey Tykhonov <atykhonov@gmail.com> (tiny change).
14298 (tramp-get-remote-uid, tramp-get-remote-gid): Use new defuns.
14300 2013-09-19 Glenn Morris <rgm@gnu.org>
14302 * emacs-lisp/eieio.el (class-parent): Don't use defalias with macros.
14304 * eshell/em-unix.el (eshell-remove-entries):
14305 Rename argument to avoid name-clash with global `top-level'.
14307 * eshell/esh-proc.el (eshell-kill-process-function):
14308 Remove eshell-reset-after-proc from eshell-kill-hook if present.
14309 (eshell-reset-after-proc): Remove unused arg `proc'.
14311 * eshell/esh-util.el (eshell-read-hosts-file): Use `filename' arg.
14312 (directory-files-and-attributes): Mark unused arg.
14314 * eshell/em-unix.el (eshell-remove-entries):
14315 Remove unused arg `path'. Update callers.
14317 * eshell/em-hist.el (eshell-hist-parse-arguments):
14318 Remove unused arg `silent'. Update callers.
14320 * eshell/em-ls.el (eshell-ls-use-in-dired): Use `symbol' arg.
14321 Fix (f)boundp mix-up.
14323 * eshell/em-smart.el (eshell-smart-scroll-window)
14324 (eshell-disable-after-change):
14325 * eshell/em-term.el (eshell-term-sentinel): Mark unused arg.
14327 2013-09-18 Alan Mackenzie <acm@muc.de>
14329 Fix fontification of type when followed by "const".
14330 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): Don't exclude
14331 "known" types from fontification.
14333 2013-09-18 Glenn Morris <rgm@gnu.org>
14335 * emacs-lisp/chart.el (x-display-color-cells): Declare.
14336 (chart-face-list): Drop Emacsen without display-color-p.
14338 * net/eww.el (libxml-parse-html-region): Declare.
14339 (eww-display-html): Explicit error if no libxml2 support.
14341 * doc-view.el (doc-view-mode): Silence --without-x compilation.
14343 * image.el (image-type-from-buffer, image-multi-frame-p):
14344 Remove --without-x warning/error.
14346 * mouse.el (mouse-yank-primary):
14347 * term.el (term-mouse-paste):
14348 Reorder to silence --without-x compilation.
14350 * mpc.el (doc-view-mode): Silence --without-x compilation.
14352 * mail/rmailmm.el (rmail-mime-set-bulk-data):
14353 Silence --without-x compilation.
14355 * progmodes/gud.el (gud-find-file, gud-mode):
14356 Silence --without-x compilation.
14357 (tooltip-mode): Declare.
14359 * wdired.el (dired-backup-overwrite): Remove declaration.
14360 (wdired-mode-map): Add doc string.
14362 * custom.el (x-get-resource): Declare.
14364 * eshell/em-glob.el (ange-cache):
14365 * eshell/em-unix.el (ange-cache): Declare.
14367 * faces.el (x-display-list, x-open-connection, x-get-resource):
14370 * follow.el (scroll-bar-toolkit-scroll, scroll-bar-drag)
14371 (scroll-bar-scroll-up, scroll-bar-scroll-down, mwheel-scroll):
14374 * frame.el (x-display-grayscale-p, x-display-name): Declare.
14376 * net/gnutls.el (gnutls-log-level): Declare.
14378 * net/shr.el (image-size, image-animate): Declare.
14380 * simple.el (font-info): Declare.
14382 * subr.el (x-popup-dialog): Declare.
14384 * term/common-win.el (x-select-enable-primary)
14385 (x-last-selected-text-primary, x-last-selected-text-clipboard):
14388 * term/ns-win.el (x-handle-args): Declare.
14390 * term/x-win.el (x-select-enable-clipboard): Declare.
14392 * term/w32-win.el (create-default-fontset): Declare.
14394 * w32-common-fns.el (x-server-version, x-select-enable-clipboard):
14397 * window.el (x-display-pixel-height, tool-bar-lines-needed): Declare.
14398 (fit-frame-to-buffer): Explicit error if --without-x.
14399 (mouse-autoselect-window-select): Silence compiler.
14401 * dframe.el (x-sensitive-text-pointer-shape, x-pointer-shape): Declare.
14403 * eshell/em-cmpl.el (eshell-complete-parse-arguments):
14404 * eshell/em-hist.el (eshell/history, eshell-isearch-backward):
14405 * eshell/em-pred.el (eshell-parse-modifiers, eshell-pred-file-time):
14406 * eshell/esh-util.el (eshell-sublist):
14407 Remove unused local variables.
14409 * eshell/esh-io.el (x-select-enable-clipboard): Declare.
14411 * textmodes/two-column.el: Make 2C-split work for --without-x.
14412 (scroll-bar-columns): Autoload.
14413 (top-level): Require fringe when compiling.
14415 2013-09-18 Leo Liu <sdl.web@gmail.com>
14417 * subr.el (add-hook): Robustify to handle closure as well.
14419 2013-09-17 Glenn Morris <rgm@gnu.org>
14421 * simple.el (messages-buffer-mode-map): Unbind "g".
14423 2013-09-17 Stefan Monnier <monnier@iro.umontreal.ca>
14425 * help-mode.el (help-mode-finish): Use derived-mode-p.
14426 Remove obsolete highlighting.
14428 * play/life.el (life-mode): Use define-derived-mode. Derive from
14430 (life): Let-bind inhibit-read-only.
14431 (life-setup): Avoid `setq'. Use `life-mode'.
14433 * emacs-lisp/package.el (package-generate-autoloads): Remove `require'
14434 which should not be needed any more.
14435 (package-menu-refresh, package-menu-describe-package): Use user-error.
14437 * eshell/esh-cmd.el (eshell-post-rewrite-command-function): New var.
14438 (eshell-post-rewrite-command-hook): Make obsolete.
14439 (eshell-parse-command): Simplify.
14440 (eshell-structure-basic-command): Remove unused arg `vocal-test'.
14441 (eshell--cmd): Declare.
14442 (eshell-parse-pipeline): Remove unused var `final-p'.
14443 Pass a dynvar to eshell-post-rewrite-command-hook.
14444 Implement the new eshell-post-rewrite-command-function.
14445 (eshell-invoke-directly): Remove unused arg `input'.
14446 * eshell/esh-io.el (eshell-io-initialize):
14447 Use eshell-post-rewrite-command-function (bug#15399).
14448 (eshell--apply-redirections): Rename from eshell-apply-redirections;
14449 adjust to new calling convention.
14450 (eshell-create-handles): Rename args to avoid clashing with dynvar
14453 2013-09-17 Glenn Morris <rgm@gnu.org>
14455 * simple.el (messages-buffer-mode): New major mode.
14456 (messages-buffer): New function.
14457 * startup.el (normal-top-level): Switch mode of *Messages* buffer.
14458 * emacs-lisp/ert.el (ert--force-message-log-buffer-truncation)
14459 (ert-run-test): Use `messages-buffer' function.
14460 (ert--force-message-log-buffer-truncation): Ignore read-only.
14461 * help.el (view-echo-area-messages): Use `messages-buffer' function.
14462 * mail/emacsbug.el (report-emacs-bug): Use `messages-buffer' function.
14464 2013-09-17 Stefan Monnier <monnier@iro.umontreal.ca>
14466 * subr.el (eval-after-load): Preserve evaluation order (bug#15389).
14468 * abbrev.el (abbrev--check-chars): Fix thinko (bug#15360).
14470 2013-09-16 Stefan Monnier <monnier@iro.umontreal.ca>
14472 * icomplete.el (icomplete-in-buffer): New var.
14473 (icomplete-pre-command-hook, icomplete-post-command-hook): Remove those
14474 vars and replace them with functions.
14475 (icomplete-minibuffer-setup): Adjust accordingly.
14476 (icomplete--completion-table, icomplete--completion-predicate)
14477 (icomplete--field-string, icomplete--field-beg, icomplete--field-end):
14479 (icomplete-forward-completions, icomplete-backward-completions)
14480 (icomplete-simple-completing-p, icomplete-exhibit)
14481 (icomplete-completions): Use them.
14482 (icomplete--in-region-buffer): New var.
14483 (icomplete--in-region-setup): New function.
14484 (icomplete-mode): Use it.
14486 * eshell/esh-opt.el: Fix last change to set lexical-vars properly
14488 (eshell--do-opts): Rename from eshell-do-opt, remove arg `body-fun',
14489 return args and options.
14490 (eshell-eval-using-options): Use the new return value of
14491 eshell--do-opts to set the options's vars in their scope.
14492 (eshell--set-option): Rename from eshell-set-option.
14493 Add arg `opt-vals'.
14494 (eshell--process-option): Rename from eshell-process-option.
14495 Add arg `opt-vals'.
14496 (eshell--process-args): Use an `opt-vals' alist to store the options's
14497 values during their processing and return them additionally to the
14500 2013-09-15 Dmitry Gutov <dgutov@yandex.ru>
14502 * progmodes/ruby-mode.el (ruby-operator-re): Consider line
14503 continuation character an operator, as far as indentation is
14504 concerned (Bug#15369).
14506 2013-09-15 Martin Rudalics <rudalics@gmx.at>
14508 * window.el (window--state-put-2): Don't process buffer state
14509 when buffer doesn't exist any more (Bug#15382).
14511 2013-09-15 Glenn Morris <rgm@gnu.org>
14513 * eshell/em-unix.el (eshell/rm):
14514 Make -f ignore missing files. (Bug#15373)
14516 * eshell/esh-cmd.el (eshell--local-vars): New variable. (Bug#15372)
14517 (eshell-rewrite-for-command): Add for loop vars to eshell--local-vars.
14518 * eshell/esh-var.el (eshell-get-variable): Respect eshell--local-vars.
14520 2013-09-14 Glenn Morris <rgm@gnu.org>
14522 * eshell/esh-var.el (eshell-variable-aliases-list): Fix doc typo.
14524 2013-09-13 Glenn Morris <rgm@gnu.org>
14526 * dired-x.el (dired-guess-shell-alist-user): Doc fix.
14527 (dired-guess-default): Make `file' available in the env. (Bug#15363)
14529 2013-09-13 Dmitry Antipov <dmantipov@yandex.ru>
14531 * frame.el (x-focus-frame): Mark as declared in frame.c.
14533 2013-09-13 Stefan Monnier <monnier@iro.umontreal.ca>
14535 * ls-lisp.el: Use advice-add.
14536 (original-insert-directory): Remove.
14537 (ls-lisp--insert-directory): Rename from insert-directory; add
14538 `orig-fun' argument.
14539 (insert-directory): Advise.
14541 2013-09-13 Eli Zaretskii <eliz@gnu.org>
14543 * term.el (term-emulate-terminal): Decode the command string
14544 before passing it to term-command-hook. (Bug#15337)
14546 2013-09-13 Glenn Morris <rgm@gnu.org>
14548 * eshell/esh-util.el (ange-cache): Move declaration earlier.
14550 * eshell/esh-ext.el (eshell-search-path): Declare.
14552 * eshell/em-prompt.el (eshell/pwd): Autoload it.
14553 Otherwise an error occurs if eshell-dirs module not loaded.
14555 * progmodes/gdb-mi.el (gud-cont, gud-step): Declare.
14557 2013-09-13 Michael Albinus <michael.albinus@gmx.de>
14559 * net/tramp.el (tramp-check-proper-method-and-host): Rename it from
14560 `tramp-check-proper-host'. Check for a valid method name.
14562 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
14563 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
14564 * net/tramp-sh.el (tramp-maybe-open-connection):
14565 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Call it.
14567 * net/tramp-cache.el (tramp-cache-print): Don't print text properties
14568 also for hash values.
14570 2013-09-12 Stefan Monnier <monnier@iro.umontreal.ca>
14572 * term/ns-win.el (parameters): Don't declare as dynamic.
14573 (before-make-frame-hook): Don't add ineffective function.
14575 * eshell/*.el: Use lexical-binding (bug#15231).
14577 2013-09-12 Kenichi Handa <handa@gnu.org>
14579 * composite.el (compose-gstring-for-graphic): Handle enclosing mark.
14581 2013-09-12 Glenn Morris <rgm@gnu.org>
14583 * vc/vc-svn.el (vc-svn-dir-status-files, vc-svn-dir-extra-headers)
14584 (vc-svn-ignore, vc-svn-retrieve-tag): Mark unused arguments.
14586 * subr.el (do-after-load-evaluation): Also give compiler warnings
14587 when obsolete files are used (except by obsolete files).
14589 * vc/vc-svn.el (vc-svn-parse-status): If there are multiple files
14590 in the status output, assume `filename' is the first. (Bug#15322)
14592 * vc/vc.el (vc-deduce-fileset): Doc fix.
14594 * calc/calc-help.el (Info-goto-node):
14595 * progmodes/cperl-mode.el (Info-find-node):
14596 * vc/ediff.el (Info-goto-node): Update declarations.
14598 * vc/vc-dispatcher.el (vc-dir-refresh): Declare.
14600 * vc/vc-bzr.el (vc-compilation-mode): Declare.
14601 (vc-bzr-pull): Require vc-dispatcher.
14602 * vc/vc-git.el (vc-compilation-mode): Declare.
14603 (vc-git-pull): Require vc-dispatcher.
14605 * progmodes/ruby-mode.el (ruby-syntax-propertize-function): Declare.
14607 * progmodes/octave.el (help-button-action): Declare.
14609 * shell.el (shell-directory-tracker): Output error as a message
14610 rather than just returning it as a string.
14611 (shell-process-pushd): Remove useless use of message.
14613 * dframe.el (dframe-timer-fn):
14614 * files.el (dir-locals-read-from-file):
14615 * mpc.el (mpc--status-timer-run, mpc--status-idle-timer-run)
14617 * reveal.el (reveal-post-command):
14618 * saveplace.el (load-save-place-alist-from-file):
14619 * shell.el (shell-resync-dirs):
14620 * w32-common-fns.el (x-get-selection-value):
14621 * emacs-lisp/copyright.el (copyright-find-copyright):
14622 * emacs-lisp/eldoc.el (eldoc-print-current-symbol-info):
14623 * emulation/tpu-edt.el (tpu-copy-keyfile):
14624 * play/bubbles.el (bubbles--mark-neighbourhood):
14625 * progmodes/executable.el
14626 (executable-make-buffer-file-executable-if-script-p):
14627 * term/pc-win.el (x-get-selection-value): Use with-demoted-errors.
14629 2013-09-12 Stefan Monnier <monnier@iro.umontreal.ca>
14631 Cleanup Eshell to rely less on dynamic scoping.
14632 * eshell/esh-opt.el (eshell-eval-using-options): Don't bind usage-msg,
14633 last-value, and ext-command here. Bind `args' closer to `body'.
14634 (temp-args, last-value, usage-msg, ext-command, args): Don't defvar.
14635 (eshell--args): Declare new dynamic var.
14636 (eshell-do-opt): Add argument `args'. Bind our own usage-msg,
14637 last-value, and ext-command. Pass `args' to `body'.
14638 (eshell-process-args): Bind eshell--args.
14639 (eshell-set-option): Use eshell--args.
14640 * eshell/eshell.el (eshell): Use derived-mode-p.
14641 * eshell/esh-var.el (eshell-parse-variable): Use backquote.
14642 (eshell-parse-variable-ref): Remove unused vars `end' and `err'.
14643 (eshell-glob-function): Declare.
14644 * eshell/esh-util.el: Require cl-lib.
14645 (eshell-read-hosts-file): Avoid add-to-list.
14646 * eshell/esh-cmd.el (eshell-parse-lisp-argument): Remove unused var
14648 * eshell/em-unix.el (compilation-scroll-output, locate-history-list):
14650 (eshell/diff): Remove unused var `err'.
14651 * eshell/em-rebind.el (eshell-delete-backward-char): Remove unused arg
14653 * eshell/em-pred.el (eshell-parse-modifiers): Remove unused var `err'.
14654 * eshell/em-ls.el (eshell-ls-highlight-alist): Move defvars before
14656 * eshell/em-glob.el (eshell-glob-matches, message-shown):
14657 Move declaration before first use.
14658 * eshell/em-alias.el (eshell-maybe-replace-by-alias): Use backquotes.
14659 * autorevert.el (auto-revert-notify-handler): Use `cl-dolist' since we
14662 2013-09-12 Glenn Morris <rgm@gnu.org>
14664 * term/ns-win.el (global-map): Remove binding for ispell-next,
14665 deleted 1999-05-29. (Bug#15357)
14667 2013-09-11 Glenn Morris <rgm@gnu.org>
14669 * echistory.el (electric-command-history): Remove call to deleted func.
14671 * play/landmark.el (landmark-mode): Fix typos.
14673 * vc/vc-cvs.el (cvs-append-to-ignore): Fix arg spec.
14674 Check cvs-sort-ignore-file is bound.
14676 * savehist.el: No need for cl when compiling on Emacs.
14678 2013-09-11 Stefan Monnier <monnier@iro.umontreal.ca>
14680 * eshell/esh-mode.el (eshell-mode-syntax-table): Fix up initialization
14682 (eshell-self-insert-command, eshell-send-invisible):
14683 Remove unused argument.
14684 (eshell-handle-control-codes): Remove unused var `orig'.
14685 Avoid delete-backward-char.
14687 * files.el (set-auto-mode): Simplify a bit further.
14689 2013-09-11 Glenn Morris <rgm@gnu.org>
14691 * files.el (interpreter-mode-alist): Remove \\` \\' parts.
14692 (set-auto-mode): Don't regexp-quote elements.
14693 * progmodes/python.el (interpreter-mode-alist): Remove \\` \\'.
14694 * progmodes/cc-mode.el (interpreter-mode-alist):
14695 * progmodes/ruby-mode.el (interpreter-mode-alist):
14696 Revert previous change.
14698 2013-09-11 Stefan Monnier <monnier@iro.umontreal.ca>
14700 * play/snake.el (snake-mode):
14701 * play/mpuz.el (mpuz-mode):
14702 * play/landmark.el (lm-mode):
14703 * play/blackbox.el (blackbox-mode):
14704 * play/5x5.el (5x5-mode):
14705 * obsolete/options.el (Edit-options-mode):
14706 * net/quickurl.el (quickurl-list-mode):
14707 * net/newst-treeview.el (newsticker-treeview-mode):
14708 * mail/rmailsum.el (rmail-summary-mode):
14709 * mail/mspools.el (mspools-mode):
14710 * locate.el (locate-mode):
14711 * ibuffer.el (ibuffer-mode):
14712 * emulation/ws-mode.el (wordstar-mode):
14713 * emacs-lisp/debug.el (debugger-mode):
14714 * array.el (array-mode):
14715 * net/eudc.el (eudc-mode): Use define-derived-mode.
14716 * net/mairix.el (mairix-searches-mode-font-lock-keywords):
14717 Move initialization into declaration.
14718 (mairix-searches-mode): Use define-derived-mode.
14719 * net/eudc-hotlist.el (eudc-hotlist-mode): Use define-derived-mode.
14720 (eudc-edit-hotlist): Use dolist.
14721 * man.el (Man-mode-syntax-table): Rename from man-mode-syntax-table.
14722 (Man-mode): Use define-derived-mode.
14723 * info.el (Info-edit-mode-map): Rename from Info-edit-map.
14724 (Info-edit-mode): Use define-derived-mode.
14725 (Info-cease-edit): Use Info-mode.
14726 * eshell/esh-mode.el (eshell-mode-syntax-table): Move initialization
14728 (eshell-mode): Use define-derived-mode.
14729 * chistory.el (command-history-mode-map): Rename from
14730 command-history-map.
14731 (command-history-mode): Use define-derived-mode.
14732 (Command-history-setup): Remove function.
14733 * calc/calc.el (calc-trail-mode-map): New var.
14734 (calc-trail-mode): Use define-derived-mode.
14735 (calc-trail-buffer): Set calc-main-buffer manually.
14736 * bookmark.el (bookmark-insert-annotation): New function.
14737 (bookmark-edit-annotation): Use it.
14738 (bookmark-edit-annotation-mode): Make it a proper major mode.
14739 (bookmark-send-edited-annotation): Use derived-mode-p.
14740 * arc-mode.el (archive-mode): Move kill-all-local-variables a tiny bit
14741 closer to its ideal place. Use \' to match EOS.
14743 * profiler.el (profiler-calltree-find): Use function-equal.
14745 2013-09-10 Glenn Morris <rgm@gnu.org>
14747 * files.el (interpreter-mode-alist): Convert to regexps.
14748 (set-auto-mode): Adapt for this. (Bug#15306)
14749 * progmodes/cperl-mode.el (cperl-clobber-mode-lists):
14750 Comment out unused variable.
14751 * progmodes/cc-mode.el (interpreter-mode-alist):
14752 * progmodes/python.el (interpreter-mode-alist):
14753 * progmodes/ruby-mode.el (interpreter-mode-alist): Convert to regexps.
14754 * progmodes/sh-script.el (sh-set-shell):
14755 No longer use interpreter-mode-alist to get list of shells.
14757 * progmodes/cc-mode.el (awk-mode): Remove duplicate autoload.
14759 2013-09-10 Stefan Monnier <monnier@iro.umontreal.ca>
14761 * simple.el: Use set-temporary-overlay-map for universal-argument.
14762 (universal-argument-map): Don't use default-bindings (bug#15317).
14763 Bind switch-frame explicitly. Replace universal-argument-minus with
14764 a conditional binding.
14765 (universal-argument-num-events, saved-overriding-map): Remove.
14766 (restore-overriding-map): Remove.
14767 (universal-argument--mode): Rename from save&set-overriding-map,
14769 (universal-argument, universal-argument-more, negative-argument)
14770 (digit-argument): Adjust accordingly.
14771 (universal-argument-minus): Remove.
14772 (universal-argument-other-key): Remove.
14774 * subr.el (with-demoted-errors): Add `format' argument.
14776 2013-09-10 Michael Albinus <michael.albinus@gmx.de>
14778 * net/tramp.el (tramp-cleanup): Remove. Functionality added to
14779 `tramp-cleanup-connection'.
14781 * net/tramp-cmds.el (tramp-cleanup-connection): Add optional
14782 parameters KEEP-DEBUG and KEEP-PASSWORD.
14784 * net/tramp.el (tramp-file-name-handler):
14785 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
14786 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell)
14787 (tramp-maybe-open-connection):
14788 * net/tramp-smb.el (tramp-smb-maybe-open-connection):
14789 Use `tramp-cleanup-connection'.
14791 * net/tramp-sh.el (tramp-maybe-open-connection):
14792 Catch 'uname-changed inside the progress reporter.
14794 2013-09-10 Glenn Morris <rgm@gnu.org>
14796 * simple.el (read-minibuffer): Unbreak it. (Bug#15318)
14798 * dired-x.el (dired-mark-sexp): Unbreak for systems where ls
14799 returns "alternate access method" in mode (eg "-rw-r--r--.").
14801 2013-09-08 Glenn Morris <rgm@gnu.org>
14803 * saveplace.el (load-save-place-alist-from-file):
14804 Demote errors. (Bug#15305)
14806 2013-09-08 Michael Albinus <michael.albinus@gmx.de>
14808 Improve compatibility with older Emacsen, and XEmacs.
14810 * net/tramp.el (tramp-find-method, tramp-find-user): Call `propertize'
14811 only if it is bound. It isn't for XEmacs.
14812 (with-tramp-progress-reporter): Do not let-bind `result'.
14813 This yields to scoping errors in XEmacs.
14814 (tramp-handle-make-auto-save-file-name): New function, moved from
14817 * net/tramp-adb.el (tramp-adb-file-name-handler-alist): Add handler
14818 for `make-auto-save-file-name'.
14819 (tramp-adb--gnu-switches-to-ash):
14820 Use `tramp-compat-replace-regexp-in-string'.
14822 * net/tramp-cache.el (tramp-cache-print): Call
14823 `substring-no-properties' only if it is bound. It isn't for XEmacs.
14825 * net/tramp-cmds.el (tramp-bug): Call `propertize' only if it is
14826 bound. It isn't for XEmacs.
14828 * net/tramp-compat.el (tramp-compat-copy-file):
14829 Catch `wrong-number-of-arguments' error.
14830 (tramp-compat-replace-regexp-in-string): New defun.
14832 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist): Add handler
14833 for `make-auto-save-file-name'.
14834 (tramp-gvfs-handle-copy-file): Use `tramp-compat-funcall' for
14836 (tramp-gvfs-file-gvfs-monitor-file-process-filter)
14837 (tramp-gvfs-file-name): Use `tramp-compat-replace-regexp-in-string'.
14838 (tramp-synce-list-devices): Use `push' instead of `pushnew'.
14840 * net/tramp-gw.el (tramp-gw-open-network-stream):
14841 Use `tramp-compat-replace-regexp-in-string'.
14843 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
14844 Call `tramp-handle-make-auto-save-file-name'.
14845 (tramp-sh-handle-make-auto-save-file-name): Move to tramp.el.
14846 (tramp-sh-file-gvfs-monitor-dir-process-filter)
14847 (tramp-sh-file-inotifywait-process-filter):
14848 Use `tramp-compat-replace-regexp-in-string'.
14849 (tramp-compute-multi-hops): Use `push' instead of `pushnew'.
14851 * net/tramp-smb.el (tramp-smb-file-name-handler-alist): Add handler
14852 for `make-auto-save-file-name'.
14853 (tramp-smb-handle-copy-directory):
14854 Call `tramp-compat-replace-regexp-in-string'.
14855 (tramp-smb-get-file-entries): Use `push' instead of `pushnew'.
14856 (tramp-smb-handle-copy-file): Improve error message.
14857 (tramp-smb-handle-rename-file): Rename directly only in case
14858 `newname' does not exist yet. This is a restriction of smbclient.
14859 (tramp-smb-maybe-open-connection): Rerun the function only when
14860 `auth-sources' is non-nil.
14862 2013-09-08 Kenichi Handa <handa@gnu.org>
14864 * international/characters.el: Set category "^" (Combining) for
14867 2013-09-07 Alan Mackenzie <acm@muc.de>
14869 Correctly fontify Java class constructors.
14870 * progmodes/cc-langs.el (c-type-decl-suffix-key): Now matches ")"
14872 (c-recognize-typeless-decls): Set the Java value to t.
14873 * progmodes/cc-engine.el (c-forward-decl-or-cast-1):
14874 While handling a "(", add a check for, effectively, Java, and handle a
14875 "typeless" declaration there.
14877 2013-09-07 Roland Winkler <winkler@gnu.org>
14879 * textmodes/bibtex.el (bibtex-biblatex-entry-alist): Add optional
14880 field subtitle for entry type book.
14882 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
14884 * minibuffer.el: Make minibuffer-complete call completion-in-region
14885 rather than other way around.
14886 (completion--some, completion-pcm--find-all-completions):
14887 Don't delay signals when debugging.
14888 (minibuffer-completion-contents): Beware fields within the
14889 minibuffer contents.
14890 (completion-all-sorted-completions): Use defvar-local.
14891 (completion--do-completion, completion--cache-all-sorted-completions)
14892 (completion-all-sorted-completions, minibuffer-force-complete):
14893 Add args `beg' and `end'.
14894 (completion--in-region-1): New fun, extracted from minibuffer-complete.
14895 (minibuffer-complete): Use completion-in-region.
14896 (completion-complete-and-exit): New fun, extracted from
14897 minibuffer-complete-and-exit.
14898 (minibuffer-complete-and-exit): Use it.
14899 (completion--complete-and-exit): Rename from
14900 minibuffer--complete-and-exit.
14901 (completion-in-region--single-word): New function, extracted from
14902 minibuffer-complete-word.
14903 (minibuffer-complete-word): Use it.
14904 (display-completion-list): Make `common-substring' argument obsolete.
14905 (completion--in-region): Call completion--in-region-1 instead of
14906 minibuffer-complete.
14907 (completion-help-at-point): Pass boundaries to
14908 minibuffer-completion-help as args rather than via an overlay.
14909 (completion-pcm--string->pattern): Use `any-delim'.
14910 (completion-pcm--optimize-pattern): New function.
14911 (completion-pcm--pattern->regex): Handle `any-delim'.
14912 * icomplete.el (icomplete-forward-completions)
14913 (icomplete-backward-completions, icomplete-completions):
14914 Adjust calls to completion-all-sorted-completions and
14915 completion--cache-all-sorted-completions.
14916 (icomplete-with-completion-tables): Default to t.
14917 * emacs-lisp/crm.el (crm--current-element): Rename from
14918 crm--select-current-element. Don't put an overlay but return the
14919 boundaries instead.
14920 (crm--completion-command): Take two new args to bind to the boundaries.
14921 (crm-completion-help): Adjust accordingly.
14922 (crm-complete): Use completion-in-region.
14923 (crm-complete-word): Use completion-in-region--single-word.
14924 (crm-complete-and-exit): Use completion-complete-and-exit.
14926 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
14928 * dired-x.el (dired-mark-sexp): Bind the vars lexically rather
14931 2013-09-06 Juri Linkov <juri@jurta.org>
14933 * info.el (Info-display-images-node): When image file doesn't exist
14934 display text version of the image if it's provided in the Info file.
14935 Otherwise, display the location of missing image from SRC attribute.
14936 Add help-echo text property from ALT attribute. (Bug#15279)
14938 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
14940 * abbrev.el (edit-abbrevs-mode-map): Rename from edit-abbrevs-map.
14941 (edit-abbrevs-mode): Use define-derived-mode.
14943 * epa.el (epa--encode-coding-string, epa--decode-coding-string)
14944 (epa--select-safe-coding-system, epa--derived-mode-p): Make it obvious
14946 (epa-key-list-mode, epa-key-mode, epa-info-mode):
14947 Use define-derived-mode.
14949 * epg.el (epg-start-encrypt): Minor CSE simplification.
14951 2013-09-06 William Xu <william.xwl@gmail.com>
14953 * arc-mode.el: Add support for 7za (bug#15264).
14954 (archive-7z-program): New var.
14955 (archive-zip-extract, archive-zip-expunge, archive-zip-update)
14956 (archive-zip-update-case, archive-7z-extract, archive-7z-expunge)
14957 (archive-7z-update, archive-zip-extract, archive-7z-summarize): Use it.
14959 2013-09-06 Michael Albinus <michael.albinus@gmx.de>
14963 * net/tramp.el (tramp-syntax, tramp-prefix-format)
14964 (tramp-postfix-method-format, tramp-prefix-ipv6-format)
14965 (tramp-postfix-ipv6-format, tramp-prefix-port-format)
14966 (tramp-postfix-host-format, tramp-file-name-regexp)
14967 (tramp-completion-file-name-regexp)
14968 (tramp-completion-dissect-file-name)
14969 (tramp-handle-substitute-in-file-name): Remove 'url case.
14970 (tramp-file-name-regexp-url)
14971 (tramp-completion-file-name-regexp-url): Remove constants.
14973 2013-09-06 Glenn Morris <rgm@gnu.org>
14975 * replace.el (replace-string): Doc fix re start/end. (Bug#15275)
14977 2013-09-05 Dmitry Gutov <dgutov@yandex.ru>
14979 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Move "Perl-ish
14980 keywords" below "here-doc beginnings" (Bug#15270).
14982 2013-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
14984 * subr.el (pop): Use `car-safe'.
14985 * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker): Remove hack
14986 to detect unused `pop' return value.
14988 * progmodes/python.el (python-nav-beginning-of-block): Remove unused
14989 var `block-regexp'.
14990 (python-nav--forward-sexp): Remove unused var `re-search-fn'.
14991 (python-fill-string): Remove unused var `marker'.
14992 (python-skeleton-add-menu-items): Remove unused var `items'.
14994 * international/mule-cmds.el: Require CL.
14995 (find-coding-systems-for-charsets): Avoid add-to-list.
14996 (sanitize-coding-system-list): New function, extracted from
14997 select-safe-coding-system-interactively.
14998 (select-safe-coding-system-interactively): Use it.
14999 (read-input-method-name): Accept symbols for `default'.
15001 * emacs-lisp/advice.el (defadvice): Add indent rule.
15003 2013-09-05 Daniel Hackney <dan@haxney.org>
15007 * net/browse-url.el:
15010 * net/eudcb-ldap.el:
15014 * vc/ediff-diff.el:
15015 * vc/ediff-init.el:
15016 * vc/ediff-merg.el:
15017 * vc/ediff-mult.el:
15018 * vc/ediff-util.el:
15019 * vc/ediff-wind.el:
15023 * vc/vc-annotate.el: Prefix unused arguments with `_' to silence
15024 byte compiler. Remove some unused let-bound variables.
15026 2013-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
15028 * emacs-lisp/cconv.el: Use `car-safe' rather than `car' to access
15029 a "ref-cell", since it gets better optimized (bug#14883).
15031 2013-09-05 Glenn Morris <rgm@gnu.org>
15033 * progmodes/cc-awk.el (c-forward-sws): Declare.
15035 2013-09-04 Glenn Morris <rgm@gnu.org>
15037 * generic-x.el [rul-generic-mode]: Require cc-mode.
15038 (c++-mode-syntax-table): Declare.
15039 (rul-generic-mode-syntax-table): Init in the defvar.
15041 2013-09-04 Stefan Monnier <monnier@iro.umontreal.ca>
15043 * vc/vc-dispatcher.el (vc-run-delayed): New macro.
15044 (vc-do-command, vc-set-async-update):
15045 * vc/vc-mtn.el (vc-mtn-dir-status):
15046 * vc/vc-hg.el (vc-hg-dir-status, vc-hg-dir-status-files)
15047 (vc-hg-pull, vc-hg-merge-branch):
15048 * vc/vc-git.el (vc-git-dir-status-goto-stage, vc-git-pull)
15049 (vc-git-merge-branch):
15050 * vc/vc-cvs.el (vc-cvs-print-log, vc-cvs-dir-status)
15051 (vc-cvs-dir-status-files):
15052 * vc/vc-bzr.el (vc-bzr-pull, vc-bzr-merge-branch, vc-bzr-dir-status)
15053 (vc-bzr-dir-status-files):
15054 * vc/vc-arch.el (vc-arch-dir-status): Use vc-run-delayed.
15055 * vc/vc-annotate.el: Use lexical-binding.
15056 (vc-annotate-display-select, vc-annotate): Use vc-run-delayed.
15057 (vc-sentinel-movepoint): Declare.
15058 (vc-annotate): Don't use `goto-line'.
15059 * vc/vc.el (vc-diff-internal): Prefer a closure to `(lambda...).
15060 (vc-diff-internal, vc-log-internal-common): Use vc-run-delayed.
15061 (vc-sentinel-movepoint): Declare.
15062 * vc/vc-svn.el: Use lexical-binding.
15063 (vc-svn-dir-status, vc-svn-dir-status-files): Use vc-run-delayed.
15065 * vc/vc-rcs.el: Use lexical-binding.
15067 * autorevert.el (auto-revert-notify-handler): Explicitly ignore
15068 `deleted'. Don't drop errors silently.
15070 * emacs-lisp/gv.el (gv-get): Warn about CL-compiled places.
15072 2013-09-04 Xue Fuqiao <xfq.free@gmail.com>
15074 * vc/vc.el (vc-ignore): Rewrite.
15075 (vc-default-ignore): New function.
15076 (vc-default-ignore-completion-table): Use find-ignore-file.
15078 * vc/vc-bzr.el (vc-bzr-ignore, vc-bzr-ignore-completion-table):
15079 * vc/vc-git.el (vc-git-ignore, vc-git-ignore-completion-table):
15080 * vc/vc-hg.el (vc-hg-ignore, vc-hg-ignore-completion-table):
15081 Remove. Most code moved to vc.el.
15083 2013-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
15085 * net/tramp-gvfs.el (tramp-gvfs-mount-spec, tramp-synce-list-devices):
15086 * net/tramp-smb.el (tramp-smb-get-file-entries):
15087 * net/tramp-sh.el (tramp-sh-handle-insert-directory)
15088 (tramp-compute-multi-hops): Fix misuses of `add-to-list'.
15090 * net/eww.el (eww-display-raw): Remove unused argument `charset'.
15092 (eww-change-select): Remove unused var `properties'.
15093 (eww-make-unique-file-name): Remove unused var `base'.
15095 * finder.el (finder-compile-keywords): Don't mess with windows.
15097 * calculator.el (calculator-funcall): Fix typo in last change.
15099 * vc/vc-git.el (vc-git-checkin): Make it possible to commit a merge.
15101 * emacs-lisp/package.el (package-activate-1): Don't let a missing
15102 <pkg>-autoloads.el file stop us.
15104 * net/tramp.el (with-parsed-tramp-file-name): Silence compiler
15105 warnings, and factor out common code.
15107 2013-09-03 Dmitry Gutov <dgutov@yandex.ru>
15109 * progmodes/ruby-mode.el (ruby-calculate-indent): Consider
15110 two-character operators and whether the character preceding them
15111 changes their meaning (Bug#15208).
15113 2013-09-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
15115 Format code sent to Python shell for robustness.
15116 * progmodes/python.el (python-shell-buffer-substring):
15118 (python-shell-send-region, python-shell-send-buffer): Use it.
15120 2013-09-02 Michael Albinus <michael.albinus@gmx.de>
15122 * net/tramp-compat.el (tramp-compat-user-error): Move it ...
15123 * net/tramp.el (tramp-user-error): ... here.
15124 (tramp-find-method, tramp-check-proper-host)
15125 (tramp-dissect-file-name, tramp-debug-message)
15126 (tramp-handle-shell-command):
15127 * net/tramp-adb.el (tramp-adb-handle-shell-command):
15128 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler): Adapt callees.
15130 * net/tramp-cache.el (tramp-cache-print): Don't print text properties.
15132 2013-09-02 Martin Rudalics <rudalics@gmx.at>
15134 * avoid.el (mouse-avoidance-point-position)
15135 (mouse-avoidance-too-close-p): Handle case where posn-at-point
15138 2013-09-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
15140 * progmodes/python.el (python-shell-completion-get-completions):
15141 Drop use of deleted `comint-last-prompt-overlay'.
15142 (python-nav-if-name-main): New command.
15144 2013-09-01 Glenn Morris <rgm@gnu.org>
15146 * Makefile.in (setwins, setwins_almost, setwins_for_subdirs):
15147 Avoid leading space in $wins. Otherwise the sed command used by
15148 eg compile-main ends up containing "/*.el". (Bug#15170)
15150 * frame.el (frame-background-mode): Doc fix. (Bug#15226)
15152 2013-08-30 Glenn Morris <rgm@gnu.org>
15154 * emacs-lisp/bytecomp.el (byte-recompile-directory):
15155 Fix is-this-a-directory logic. (Bug#15220)
15157 2013-08-29 Stefan Monnier <monnier@iro.umontreal.ca>
15159 * textmodes/css-mode.el: Use SMIE.
15160 (css-smie-grammar): New var.
15161 (css-smie--forward-token, css-smie--backward-token)
15162 (css-smie-rules): New functions.
15163 (css-mode): Use them.
15164 (css-navigation-syntax-table): Remove var.
15165 (css-backward-sexp, css-forward-sexp, css-indent-calculate-virtual)
15166 (css-indent-calculate, css-indent-line): Remove functions.
15168 Misc changes to reduce use of `(lambda...); and other cleanups.
15169 * cus-edit.el: Use lexical-binding.
15170 (customize-push-and-save, customize-apropos)
15171 (custom-buffer-create-internal): Use closures.
15172 * progmodes/bat-mode.el (bat-mode-syntax-table): "..." are strings.
15173 * progmodes/ada-xref.el: Use setq.
15174 * net/tramp.el (with-tramp-progress-reporter): Avoid setq.
15175 * dframe.el: Use lexical-binding.
15176 (dframe-frame-mode): Fix calling convention for hooks. Use a closure.
15177 * speedbar.el (speedbar-frame-mode): Adjust call accordingly.
15178 * descr-text.el: Use lexical-binding.
15179 (describe-text-widget, describe-text-sexp, describe-property-list):
15181 * comint.el (comint-history-isearch-push-state): Use a closure.
15182 * calculator.el: Use lexical-binding.
15183 (calculator-number-to-string): Make it work with lexical-binding.
15184 (calculator-funcall): Same and use cl-letf.
15186 * emacs-lisp/lisp.el (lisp--company-doc-buffer)
15187 (lisp--company-doc-string, lisp--company-location): New functions.
15188 (lisp-completion-at-point): Use them to improve Company support.
15190 * progmodes/ruby-mode.el (ruby-smie-grammar): Add rule for formal
15191 params of lambda expressions.
15192 (ruby-smie--implicit-semi-p): Refine rule (bug#15208).
15193 (ruby-smie--opening-pipe-p): New function.
15194 (ruby-smie--forward-token, ruby-smie--backward-token): Handle Ruby
15195 symbols and matched |...| for formal params.
15196 (ruby-smie-rules): Don't let the formal params of a "do" prevent it
15197 from being treated as hanging. Handle "rescue".
15199 2013-08-29 Glenn Morris <rgm@gnu.org>
15201 * progmodes/cc-engine.el (c-pull-open-brace):
15202 Move definition before use.
15204 2013-08-29 Stefan Monnier <monnier@iro.umontreal.ca>
15206 * emacs-lisp/cl-macs.el (cl-defsubst): Make it clear that args
15207 are immutable. Don't use `unsafe' any more.
15208 (cl--defsubst-expand): Don't substitute at the same time as keeping
15209 a residual unused let-binding. Don't use `unsafe' any more.
15211 2013-08-29 Glenn Morris <rgm@gnu.org>
15213 * calendar/cal-china.el (calendar-chinese-year-cache):
15216 * nxml/nxml-util.el (nxml-debug-clear-inside):
15217 Use cl-loop rather than loop.
15219 * net/eww.el (eww-mode-map): Lower-case menu bar entries look bad.
15221 * progmodes/sh-script.el (sh-builtins) <bash>: Add some bash4-isms.
15223 2013-08-28 Glenn Morris <rgm@gnu.org>
15225 * progmodes/antlr-mode.el: No need to require cc-mode twice.
15227 * progmodes/cc-bytecomp.el (cc-require): Handle uncompiled case.
15229 * progmodes/cc-mode.el (c-define-abbrev-table): Handle NAME unbound.
15231 2013-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
15233 * simple.el (repeat-complex-command--called-interactively-skip):
15235 (repeat-complex-command): Use it (bug#14136).
15237 * progmodes/cc-mode.el: Minor cleanup of var declarations.
15238 (c-define-abbrev-table): Add `doc' argument.
15239 (c-mode-abbrev-table, c++-mode-abbrev-table)
15240 (objc-mode-abbrev-table, java-mode-abbrev-table)
15241 (idl-mode-abbrev-table, pike-mode-abbrev-table)
15242 (awk-mode-abbrev-table): Use it.
15243 (c-mode-syntax-table, c-mode-map, c++-mode-syntax-table)
15244 (c++-mode-map, objc-mode-syntax-table, objc-mode-map)
15245 (java-mode-syntax-table, java-mode-map, idl-mode-syntax-table)
15246 (idl-mode-map, pike-mode-syntax-table, pike-mode-map, awk-mode-map):
15247 Move initialization into the declaration; and remove any
15250 * epg.el (epg--process-filter): Use with-current-buffer, save-excursion
15251 and dynamic let binding.
15253 * vc/smerge-mode.el: Remove redundant :group args.
15255 * emacs-lisp/package.el (package-activate-1): Don't add unnecessarily
15258 2013-08-28 Juri Linkov <juri@jurta.org>
15260 * isearch.el (isearch-reread-key-sequence-naturally): Use non-nil
15261 arg DONT-DOWNCASE-LAST of `read-key-sequence'.
15262 (isearch-other-meta-char): Handle an undefined shifted printing
15263 character by downshifting it. (Bug#15200)
15265 2013-08-28 Juri Linkov <juri@jurta.org>
15267 * isearch.el (isearch-search): Change regexp error message for
15268 non-regexp searches. (Bug#15166)
15270 2013-08-28 Paul Eggert <eggert@cs.ucla.edu>
15272 * Makefile.in (SHELL): Now @SHELL@, not /bin/sh,
15273 for portability to hosts where /bin/sh has problems.
15275 2013-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
15277 * emacs-lisp/cconv.el (cconv--analyse-function): Improve warning.
15279 2013-08-27 Juri Linkov <juri@jurta.org>
15281 * isearch.el (isearch-other-meta-char): Don't store kmacro commands
15282 in the keyboard macro. (Bug#15126)
15284 2013-08-27 Juri Linkov <juri@jurta.org>
15286 * isearch.el (isearch-quote-char): Comment out converting unibyte
15287 to multibyte, thus syncing with its `quoted-insert' counterpart.
15290 2013-08-27 Martin Rudalics <rudalics@gmx.at>
15292 * window.el (display-buffer-use-some-window): Add missing
15293 argument in call of get-largest-window (Bug#15185).
15294 Reported by Stephen Leake.
15296 2013-08-27 Glenn Morris <rgm@gnu.org>
15298 * emacs-lisp/package.el (package-buffer-info): Fix message typo.
15300 2013-08-27 Stefan Monnier <monnier@iro.umontreal.ca>
15302 * progmodes/python.el (python-font-lock-keywords): Don't return nil
15303 from a matcher-function unless there's no more matches (bug#15161).
15305 2013-08-26 Michael Albinus <michael.albinus@gmx.de>
15307 * minibuffer.el: Revert change from 2013-08-20.
15309 * net/tramp.el (tramp-find-method, tramp-find-user): Mark result
15310 with text property `tramp-default', if appropriate.
15311 (tramp-check-proper-host): New defun.
15312 (tramp-dissect-file-name): Do not check hostname. Revert change
15314 (tramp-backtrace): Make VEC-OR-PROC optional.
15316 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
15317 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
15318 * net/tramp-sh.el (tramp-maybe-open-connection):
15319 * net/tramp-smb.el (tramp-smb-maybe-open-connection):
15320 Apply `tramp-check-proper-host'.
15322 2013-08-26 Tassilo Horn <tsdh@gnu.org>
15324 * epa-hook.el (epa-file-encrypt-to): Quote `safe-local-variable'
15325 lambda expression in order to have `describe-variable' display it.
15327 2013-08-26 Michael Albinus <michael.albinus@gmx.de>
15329 * net/tramp-sh.el (tramp-sh-handle-verify-visited-file-modtime):
15330 BUF can be optional. (Bug#15186)
15332 2013-08-25 Xue Fuqiao <xfq.free@gmail.com>
15334 * progmodes/flymake.el (flymake-get-real-file-name-function):
15335 Fix broken customization. (Bug#15184)
15337 2013-08-25 Alan Mackenzie <acm@muc.de>
15339 Improve indentation of bracelists defined by macros (without "=").
15341 * progmodes/cc-engine.el (c-inside-bracelist-p): When a macro
15342 expansion begins with "{", regard it as bracelist when it doesn't
15345 Parse C++ inher-intro when there's a template split over 2 lines.
15347 * progmodes/cc-engine.el (c-guess-basic-syntax CASE 5C): Code more
15348 rigorously the search for "class" etc. followed by ":".
15350 * progmodes/cc-langs.el (c-opt-<>-sexp-key): Make the value for
15351 random languages a regexp which never matches rather than nil.
15353 Handle "/"s more accurately in test for virtual semicolons (AWK Mode).
15355 * progmodes/cc-awk.el (c-awk-one-line-possibly-open-string-re)
15356 (c-awk-regexp-one-line-possibly-open-char-list-re)
15357 (c-awk-one-line-possibly-open-regexp-re)
15358 (c-awk-one-line-non-syn-ws*-re): Remove.
15359 (c-awk-possibly-open-string-re, c-awk-non-/-syn-ws*-re)
15360 (c-awk-space*-/-re, c-awk-space*-regexp-/-re)
15361 (c-awk-space*-unclosed-regexp-/-re): New constants.
15362 (c-awk-at-vsemi-p): Reformulate better to recognize "/"s which
15363 aren't regexp delimiters.
15365 * progmodes/cc-engine.el (c-crosses-statement-barrier-p): Add in
15366 handling for a rare situation in AWK Mode involving unterminated
15369 2013-08-23 Glenn Morris <rgm@gnu.org>
15371 * files.el (auto-mode-alist): Use sh-mode for .bash_history.
15373 * files.el (interpreter-mode-alist): Use tcl-mode for expect scripts.
15375 * files.el (create-file-buffer): If the result would begin with
15376 spaces, prepend a "|" instead of removing them. (Bug#15162)
15378 2013-08-23 Stefan Monnier <monnier@iro.umontreal.ca>
15380 * textmodes/fill.el (fill-match-adaptive-prefix): Don't throw away
15381 text-properties (bug#15155).
15383 * calc/calc-keypd.el (calc-keypad-execute): `x-flush-mouse-queue' doesn't
15385 (calc-keypad-redraw): Remove unused var `pad'.
15386 (calc-keypad-press): Remove unused var `menu'.
15388 2013-08-23 Martin Rudalics <rudalics@gmx.at>
15390 * window.el (display-buffer-pop-up-frame):
15391 Call pop-up-frame-function with BUFFER current so `make-frame' will
15392 use it as the new frame's buffer (Bug#15133).
15394 2013-08-22 Stefan Monnier <monnier@iro.umontreal.ca>
15396 * calendar/timeclock.el: Minor cleanups.
15397 (timeclock-ask-before-exiting, timeclock-use-display-time):
15399 (timeclock-modeline-display): Define as alias before the
15401 (timeclock-mode-line-display): Use define-minor-mode.
15402 (timeclock-day-list-template): Make it a function, add an argument.
15403 (timeclock-day-list-required, timeclock-day-list-length)
15404 (timeclock-day-list-debt, timeclock-day-list-span)
15405 (timeclock-day-list-break): Adjust calls accordingly.
15407 2013-08-21 Stefan Monnier <monnier@iro.umontreal.ca>
15409 * emacs-lisp/pp.el (pp-eval-expression, pp-macroexpand-expression):
15410 Use read--expression so that completion works again.
15412 2013-08-21 Sam Steingold <sds@gnu.org>
15414 Add rudimentary inferior shell interaction
15415 * progmodes/sh-script.el (sh-shell-process): New buffer-local variable.
15416 (sh-set-shell): Reset it.
15417 (sh-show-shell, sh-cd-here, sh-send-line-or-region-and-step):
15418 New commands (bound to C-c C-z, C-c C-d, and C-c C-n).
15420 2013-08-20 Stefan Monnier <monnier@iro.umontreal.ca>
15422 * align.el: Use lexical-binding.
15423 (align-region): Simplify accordingly.
15425 2013-08-20 Michael Albinus <michael.albinus@gmx.de>
15427 * minibuffer.el (completion--sifn-requote): Bind `non-essential'.
15429 * rfn-eshadow.el (rfn-eshadow-update-overlay): Move binding of
15430 `non-essential' up.
15432 2013-08-17 Michael Albinus <michael.albinus@gmx.de>
15435 * net/tramp-adb.el:
15436 * net/tramp-cmds.el:
15437 * net/tramp-ftp.el:
15438 * net/tramp-gvfs.el:
15440 * net/tramp-sh.el: Don't wrap external variable declarations by
15441 `eval-when-compile'.
15443 2013-08-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
15445 * net/shr.el (shr-rescale-image): Use ImageMagick even for GIFs
15446 now that Emacs supports ImageMagick animations.
15448 2013-08-16 Michael Albinus <michael.albinus@gmx.de>
15450 * net/tramp-cmds.el (top): Don't declare `buffer-name'.
15451 (tramp-append-tramp-buffers): Rewrite buffer local variables part.
15453 2013-08-16 Martin Rudalics <rudalics@gmx.at>
15455 * window.el (mouse-autoselect-window-select): Do autoselect when
15456 mouse pointer is on margin.
15458 2013-08-16 William Parsons <wbparsons@alum.mit.edu> (tiny change)
15460 * net/ange-ftp.el (ange-ftp-skip-msgs): Add 500 EPSV. (Bug#1972)
15462 2013-08-16 Glenn Morris <rgm@gnu.org>
15464 * net/ange-ftp.el (ange-ftp-good-msgs, ange-ftp-get-pwd):
15465 Handle "Remote Directory" response of some clients. (Bug#15058)
15467 * emacs-lisp/bytecomp.el (byte-compile-make-variable-buffer-local):
15468 Tweak warning. (Bug#14926)
15470 * menu-bar.el (send-mail-item-name, read-mail-item-name): Remove.
15471 (menu-bar-tools-menu): Simplify news and mail items. (Bug#15095)
15473 * image-mode.el (image-mode-map): Add menu items to reverse,
15474 increase, decrease, reset animation speed.
15475 (image--set-speed, image-increase-speed, image-decrease-speed)
15476 (image-reverse-speed, image-reset-speed): New functions.
15477 (image-mode-map): Add bindings for speed commands.
15479 * image.el (image-animate-get-speed, image-animate-set-speed):
15481 (image-animate-timeout): Respect image :speed property.
15483 2013-08-15 Stefan Monnier <monnier@iro.umontreal.ca>
15485 * emacs-lisp/debug.el (debugger-setup-buffer): Put point on the
15486 previous line (bug#15101).
15487 (debugger-eval-expression, debugger-record-expression):
15488 Use read--expression (bug#15102).
15490 2013-08-15 Michael Albinus <michael.albinus@gmx.de>
15492 Remove byte compiler warnings, visible when compiling with
15493 `byte-compile-force-lexical-warnings' set to t.
15495 * net/tramp.el (tramp-debug-message, tramp-message, tramp-error)
15496 (tramp-error-with-buffer): Rename ARGS to ARGUMENTS and BUFFER to BUF.
15497 (tramp-handle-unhandled-file-name-directory)
15498 (tramp-handle-file-notify-add-watch, tramp-action-login)
15499 (tramp-action-succeed, tramp-action-permission-denied)
15500 (tramp-action-terminal, tramp-action-process-alive): Prefix unused
15501 arguments with "_".
15503 * net/tramp-adb.el (tramp-adb-parse-device-names)
15504 (tramp-adb-handle-insert-directory, tramp-adb-handle-delete-file)
15505 (tramp-adb-handle-copy-file): Prefix unused arguments with "_".
15506 (tramp-adb-handle-file-truename): Remove unused arguments.
15508 * net/tramp-cache.el (tramp-flush-directory-property)
15509 (tramp-flush-connection-property, tramp-list-connections)
15510 (tramp-parse-connection-properties): Prefix unused arguments with "_".
15512 * net/tramp-compat.el (tramp-compat-make-temp-file):
15513 Rename FILENAME to F.
15515 * net/tramp-gvfs.el (tramp-gvfs-handle-file-notify-add-watch)
15516 (tramp-gvfs-handle-write-region, tramp-bluez-parse-device-names)
15517 (tramp-zeroconf-parse-workstation-device-names)
15518 (tramp-zeroconf-parse-webdav-device-names)
15519 (tramp-synce-parse-device-names): Prefix unused arguments with "_".
15521 * net/tramp-gw.el (tramp-gw-gw-proc-sentinel)
15522 (tramp-gw-aux-proc-sentinel): Prefix unused arguments with "_".
15524 * net/tramp-sh.el (tramp-sh-handle-file-truename): Remove unused
15526 (tramp-sh-handle-copy-file, tramp-sh-handle-dired-compress-file)
15527 (tramp-sh-handle-insert-file-contents-literally)
15528 (tramp-sh-handle-file-notify-add-watch): Prefix unused arguments
15530 (tramp-do-copy-or-rename-file, tramp-barf-if-no-shell-prompt):
15531 Remove unused variables.
15533 * net/tramp-smb.el (tramp-smb-handle-copy-directory)
15534 (tramp-smb-handle-copy-file, tramp-smb-handle-delete-file)
15535 (tramp-smb-read-file-entry): Prefix unused arguments with "_".
15537 * net/tramp-uu.el (tramp-uu-b64-alphabet, tramp-uu-b64-char-to-byte):
15538 Make them a defconst.
15539 (tramp-uuencode-region): Remove unused variable.
15541 2013-08-14 Juanma Barranquero <lekktu@gmail.com>
15543 * frameset.el (frameset--prop-setter): New function.
15544 (frameset-prop): Add gv-setter declaration.
15545 (frameset-filter-minibuffer): Deal with the case that the minibuffer
15546 parameter was already set in FILTERED. Doc fix.
15547 (frameset--record-minibuffer-relationships): Allow saving a
15548 minibufferless frame without its corresponding minibuffer frame.
15549 (frameset--reuse-frame): Accept a match from an orphaned minibufferless
15550 frame, if the frame id matches.
15551 (frameset--minibufferless-last-p): Sort non-orphaned minibufferless
15552 frames before orphaned ones.
15553 (frameset-restore): Warn about orphaned windows, instead of error out.
15555 2013-08-14 Martin Rudalics <rudalics@gmx.at>
15557 * window.el (window-make-atom): Don't overwrite parameter
15559 (display-buffer-in-atom-window): Handle special case where we
15560 split an already atomic window.
15561 (window--major-non-side-window, display-buffer-in-side-window)
15562 (window--side-check): Ignore minibuffer window when walking
15564 (window-deletable-p): Return 'frame only if no other frame uses
15565 our minibuffer window.
15566 (record-window-buffer): Run buffer-list-update-hook.
15567 (split-window): Make sure window--check-frame won't destroy an
15568 existing atomic window in case the new window gets nested
15570 (display-buffer-at-bottom): Ignore minibuffer window when
15571 walking window tree. Don't split a side window.
15572 (pop-to-buffer): Don't set-buffer here, the select-window call
15574 (mouse-autoselect-window-select): Autoselect only if we are in the
15575 text portion of the window.
15577 2013-08-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
15579 * net/shr.el (shr-parse-image-data): New function to grab both the
15580 data itself and the Content-Type.
15581 (shr-put-image): Use it.
15583 * net/eww.el (eww-display-image): Ditto.
15585 * image.el (image-content-type-suffixes): New variable.
15587 2013-08-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
15589 * progmodes/python.el (python-imenu--build-tree)
15590 (python-imenu--put-parent): Simplify and Fix (GH bug 146).
15592 2013-08-13 Xue Fuqiao <xfq.free@gmail.com>
15594 * simple.el (backward-word): Mention the optional argument.
15596 2013-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
15598 * frameset.el (frameset--make): Rename constructor from make-frameset.
15599 (frameset-p, frameset-valid-p): Don't autoload.
15600 (frameset-valid-p): Use normal accessors.
15602 2013-08-13 Glenn Morris <rgm@gnu.org>
15604 * progmodes/compile.el (compile-command): Tweak example in doc.
15605 * obsolete/scribe.el (scribe-mode):
15606 * progmodes/mixal-mode.el (mixal-mode): Quote buffer name. (Bug#15053)
15608 * mail/feedmail.el (feedmail-confirm-outgoing)
15609 (feedmail-display-full-frame, feedmail-deduce-bcc-where): Fix types.
15611 * cus-start.el (truncate-partial-width-windows): Fix type.
15613 * emulation/viper-init.el (viper-search-scroll-threshold): Fix type.
15615 * net/shr.el (shr-table-horizontal-line): Fix custom type.
15617 2013-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
15619 * emacs-lisp/timer.el (timer--time-setter): New function.
15620 (timer--time): Use it as gv-setter.
15622 * emacs-lisp/gv.el (gv-define-simple-setter): Output warning when
15623 setter is not a symbol.
15625 2013-08-12 Grégoire Jadi <daimrod@gmail.com>
15627 * mail/sendmail.el (sendmail-send-it): Don't kill the error buffer
15628 if sending fails. This makes debugging easier.
15630 2013-08-12 Juanma Barranquero <lekktu@gmail.com>
15632 * xml.el (xml-parse-tag-1): Use looking-at (this reverts change in
15633 2013-08-11T00:07:48Z!lekktu@gmail.com, which breaks the test suite).
15634 https://lists.gnu.org/archive/html/emacs-devel/2013-08/msg00263.html
15636 2013-08-12 Eli Zaretskii <eliz@gnu.org>
15638 * term/w32-win.el (dynamic-library-alist): Add DLLs for zlib.
15640 2013-08-12 Glenn Morris <rgm@gnu.org>
15642 * format.el (format-annotate-function):
15643 Handle read-only text properties in the source. (Bug#14887)
15645 2013-08-11 Lars Magne Ingebrigtsen <larsi@gnus.org>
15647 * net/eww.el (eww-display-html): Ignore coding system errors.
15648 One web site uses "utf-8lias" as the coding system.
15650 2013-08-11 Juanma Barranquero <lekktu@gmail.com>
15652 * frameset.el (frameset-valid-p): Fix check; STATES can indeed be nil.
15654 2013-08-10 Juanma Barranquero <lekktu@gmail.com>
15656 * tutorial.el (tutorial--describe-nonstandard-key): Use string-match-p.
15657 (tutorial--detailed-help): Remove unused local variables.
15658 (tutorial--save-tutorial-to): Use ignore-errors.
15659 (help-with-tutorial): Use looking-at-p.
15661 * view.el (view-buffer-other-window, view-buffer-other-frame):
15662 Mark unused arguments.
15664 * woman.el (woman-parse-colon-path, woman-parse-colon-path)
15665 (woman-select-symbol-fonts, woman, woman-find-file)
15666 (woman-insert-file-contents, woman-non-underline-faces):
15667 Use string-match-p.
15668 (woman1-unquote): Move declaration.
15670 * xml.el (xml-parse-tag-1, xml-parse-string): Use looking-at-p.
15671 (xml-parse-dtd): Use looking-at-p, string-match-p. Mark unused
15672 argument. Remove unused local variable.
15673 (xml-parse-elem-type): Use string-match-p.
15674 (xml-substitute-numeric-entities): Use ignore-errors.
15676 * calculator.el (calculator): Mark unused argument.
15677 (calculator-paste, calculator-quit, calculator-integer-p):
15679 (calculator-string-to-number, calculator-decimal, calculator-exp)
15680 (calculator-op-or-exp): Use string-match-p.
15682 * dired.el (dired-buffer-more-recently-used-p): Declare.
15683 (dired-insert-set-properties, dired-insert-old-subdirs):
15686 * dired-aux.el (dired-compress): Use ignore-errors.
15687 (dired-do-chxxx, dired-do-chmod, dired-trample-file-versions)
15688 (dired-do-async-shell-command, dired-do-shell-command)
15689 (dired-shell-stuff-it, dired-compress-file, dired-insert-subdir)
15690 (dired-insert-subdir-validate): Use string-match-p.
15691 (dired-map-dired-file-lines, dired-subdir-hidden-p): Use looking-at-p.
15692 (dired-add-entry): Use string-match-p, looking-at-p.
15693 (dired-insert-subdir-newpos): Remove unused local variable.
15695 * filenotify.el (file-notify-callback): Remove unused local variable.
15697 * filesets.el (filesets-error): Mark unused argument.
15698 (filesets-which-command-p, filesets-filter-dir-names)
15699 (filesets-directory-files, filesets-get-external-viewer)
15700 (filesets-ingroup-get-data): Use string-match-p.
15702 * find-file.el (ff-other-file-name, ff-other-file-name)
15703 (ff-find-the-other-file, ff-cc-hh-converter):
15704 Remove unused local variables.
15705 (ff-get-file-name): Use string-match-p.
15706 (ff-all-dirs-under): Use ignore-errors.
15708 * follow.el (follow-comint-scroll-to-bottom): Mark unused argument.
15709 (follow-select-if-visible): Remove unused local variable.
15711 * forms.el (read-file-filter): Move declaration.
15712 (forms--make-format, forms--make-parser, forms-insert-record):
15713 Quote function with #'.
15714 (forms--update): Use string-match-p. Quote function with #'.
15716 * help-mode.el (help-dir-local-var-def): Mark unused argument.
15717 (help-make-xrefs): Use looking-at-p.
15718 (help-xref-on-pp): Use looking-at-p, ignore-errors.
15720 * ibuffer.el (ibuffer-ext-visible-p): Declare.
15721 (ibuffer-confirm-operation-on): Use string-match-p.
15723 * msb.el (msb-item-handler, msb-dired-item-handler):
15724 Mark unused arguments.
15726 * ses.el (ses-decode-cell-symbol)
15727 (ses-kill-override): Remove unused local variable.
15728 (ses-create-cell-variable, ses-relocate-formula): Use string-match-p.
15729 (ses-load): Use ignore-errors, looking-at-p.
15730 (ses-jump-safe): Use ignore-errors.
15731 (ses-export-tsv, ses-export-tsf, ses-unsafe): Mark unused arguments.
15733 * tabify.el (untabify, tabify): Mark unused arguments.
15735 * thingatpt.el (thing-at-point--bounds-of-well-formed-url):
15736 Mark unused argument.
15737 (bounds-of-thing-at-point, thing-at-point-bounds-of-list-at-point)
15738 (thing-at-point-newsgroup-p, form-at-point): Use ignore-errors.
15740 * emacs-lisp/timer.el (timer--time): Define setter with
15741 gv-define-setter to avoid deprecation warning.
15743 * completion.el: Remove stuff unused since revno:3176 (1993-05-27).
15744 (*record-cmpl-statistics-p*): Remove (was commented out).
15745 (cmpl-statistics-block): Remove (body was commented out).
15746 All callers changed.
15747 (add-completions-from-buffer, load-completions-from-file):
15748 Remove unused variables.
15750 2013-08-09 Juanma Barranquero <lekktu@gmail.com>
15752 * filecache.el (file-cache-delete-file-list):
15753 Print message only when told so.
15754 (file-cache-files-matching): Use #' in mapconcat argument.
15756 * ffap.el (ffap-url-at-point): Fix reference to variable
15757 thing-at-point-default-mail-uri-scheme.
15759 2013-08-09 Stefan Monnier <monnier@iro.umontreal.ca>
15761 * subr.el (define-error): New function.
15762 * progmodes/ada-xref.el (ada-error-file-not-found): Rename from
15763 error-file-not-found and define with define-error.
15764 * emacs-lisp/cl-lib.el (cl-assertion-failed): Move here from subr.el
15765 and define with define-error.
15766 * userlock.el (file-locked, file-supersession):
15767 * simple.el (mark-inactive):
15768 * progmodes/js.el (js-moz-bad-rpc, js-js-error):
15769 * progmodes/ada-mode.el (ada-mode-errors):
15770 * play/life.el (life-extinct):
15771 * nxml/xsd-regexp.el (xsdre-invalid-regexp, xsdre-parse-error):
15772 * nxml/xmltok.el (xmltok-markup-declaration-parse-error):
15773 * nxml/rng-util.el (rng-error):
15774 * nxml/rng-uri.el (rng-uri-error):
15775 * nxml/rng-match.el (rng-compile-error):
15776 * nxml/rng-cmpct.el (rng-c-incorrect-schema):
15777 * nxml/nxml-util.el (nxml-error, nxml-file-parse-error):
15778 * nxml/nxml-rap.el (nxml-scan-error):
15779 * nxml/nxml-outln.el (nxml-outline-error):
15780 * net/soap-client.el (soap-error):
15781 * net/gnutls.el (gnutls-error):
15782 * net/ange-ftp.el (ftp-error):
15783 * mpc.el (mpc-proc-error):
15784 * json.el (json-error, json-readtable-error, json-unknown-keyword)
15785 (json-number-format, json-string-escape, json-string-format)
15786 (json-key-format, json-object-format):
15787 * jka-compr.el (compression-error):
15788 * international/quail.el (quail-error):
15789 * international/kkc.el (kkc-error):
15790 * emacs-lisp/ert.el (ert-test-failed):
15791 * calc/calc.el (calc-error, inexact-result, math-overflow)
15793 * bookmark.el (bookmark-error-no-filename):
15794 * epg.el (epg-error): Define with define-error.
15796 * time.el (display-time-event-handler)
15797 (display-time-next-load-average): Don't call sit-for since it seems
15798 unnecessary (bug#15045).
15800 * emacs-lisp/checkdoc.el: Remove redundant :group keywords.
15801 Use #' instead of ' to quote functions.
15802 (checkdoc-output-mode): Use setq-local.
15803 (checkdoc-spellcheck-documentation-flag, checkdoc-ispell-lisp-words)
15804 (checkdoc-verb-check-experimental-flag, checkdoc-proper-noun-regexp)
15805 (checkdoc-common-verbs-regexp): Mark safe-local-variable (bug#15010).
15806 (checkdoc-ispell, checkdoc-ispell-current-buffer)
15807 (checkdoc-ispell-interactive, checkdoc-ispell-message-interactive)
15808 (checkdoc-ispell-message-text, checkdoc-ispell-start)
15809 (checkdoc-ispell-continue, checkdoc-ispell-comments)
15810 (checkdoc-ispell-defun): Remove unused arg `take-notes'.
15812 * ido.el (ido-completion-help): Fix up compiler warning.
15814 2013-08-09 Juanma Barranquero <lekktu@gmail.com>
15816 * frameset.el (frameset-p): Add autoload cookie.
15817 (frameset--jump-to-register): New function, based on code moved from
15819 (frameset-to-register): Move from register.el. Adapt to `registerv'.
15821 * register.el (frameset-frame-id, frameset-frame-with-id, frameset-p)
15822 (frameset-restore, frameset-save, frameset-session-filter-alist):
15823 Remove declarations.
15824 (register-alist): Doc fix.
15825 (frameset-to-register): Move to frameset.el.
15826 (jump-to-register, describe-register-1): Remove frameset-specific code.
15828 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
15830 * allout-widgets.el (allout-widgets-pre-command-business)
15831 (allout-widgets-post-command-business)
15832 (allout-widgets-after-change-handler)
15833 (allout-decorate-item-and-context, allout-set-boundary-marker)
15834 (allout-body-modification-handler)
15835 (allout-graphics-modification-handler): Mark ignored arguments.
15836 (allout-widgets-post-command-business)
15837 (allout-widgets-exposure-change-processor)
15838 (allout-widgets-exposure-undo-processor)
15839 (allout-decorate-item-and-context, allout-redecorate-visible-subtree)
15840 (allout-parse-item-at-point, allout-decorate-item-guides)
15841 (allout-decorate-item-cue, allout-item-span): Remove unused variables.
15842 * allout.el (epa-passphrase-callback-function): Declare.
15843 (allout-overlay-insert-in-front-handler)
15844 (allout-overlay-interior-modification-handler)
15845 (allout-isearch-end-handler, allout-chart-siblings)
15846 (allout-up-current-level, allout-end-of-level, allout-reindent-body)
15847 (allout-yank-processing, allout-process-exposed)
15848 (allout-latex-verb-quote, allout-latexify-one-item, outlineify-sticky)
15849 (allout-latex-verbatim-quote-curr-line): Remove unused variables.
15850 * emacs-lisp/lisp-mode.el (lisp-eval-defun, last-sexp-toggle-display)
15851 (lisp-indent-defform): Mark ignored arguments.
15852 (lisp-indent-line): Mark ignored arguments. Remove unused variables.
15853 (calculate-lisp-indent): Remove unused variables.
15854 * international/characters.el (indian-2-column, arabic-2-column)
15855 (tibetan): Mark ignored arguments.
15856 (use-cjk-char-width-table): Mark ignored arguments.
15857 Remove unused variables.
15858 * international/fontset.el (build-default-fontset-data)
15859 (x-compose-font-name, create-fontset-from-fontset-spec):
15860 Mark ignored arguments.
15861 (fontset-plain-name): Remove unused variables.
15862 * international/mule.el (charset-id, charset-bytes, generic-char-p)
15863 (keyboard-coding-system): Mark ignored arguments.
15864 (find-auto-coding): Remove unused variables. Use `ignore-errors'.
15865 * help.el (resize-temp-buffer-window):
15866 * window.el (display-buffer-in-major-side-window)
15867 (display-buffer-in-side-window, display-buffer-in-previous-window):
15868 Remove unused variables.
15869 * isearch.el (isearch-forward-symbol):
15870 * version.el (emacs-bzr-version-bzr):
15871 * international/mule-cmds.el (current-language-environment):
15872 * term/common-win.el (x-handle-iconic, x-handle-geometry)
15873 (x-handle-display):
15874 * term/pc-win.el (x-list-fonts, x-display-planes)
15875 (x-display-color-cells, x-server-max-request-size, x-server-vendor)
15876 (x-server-version, x-display-screens, x-display-mm-height)
15877 (x-display-mm-width, x-display-backing-store, x-display-visual-class)
15878 (x-selection-owner-p, x-own-selection-internal)
15879 (x-disown-selection-internal, x-get-selection-internal)
15880 (msdos-initialize-window-system):
15881 * term/tty-colors.el (tty-color-alist, tty-color-clear):
15882 * term/x-win.el (x-handle-no-bitmap-icon):
15883 * vc/vc-hooks.el (vc-mode, vc-default-make-version-backups-p)
15884 (vc-default-find-file-hook, vc-default-extra-menu):
15885 Mark ignored arguments.
15887 2013-08-08 Stefan Monnier <monnier@iro.umontreal.ca>
15889 * emacs-lisp/edebug.el (edebug-debugger): Use edebug-eval to run the
15890 break-condition in the context of the debugged code (bug#12685).
15892 2013-08-08 Christopher Schmidt <christopher@ch.ristopher.com>
15895 Do not use an overlay to highlight the last prompt. (Bug#14744)
15896 (comint-mode): Make comint-last-prompt buffer local.
15897 (comint-last-prompt): New variable.
15898 (comint-last-prompt-overlay): Remove. Superseded by
15899 comint-last-prompt.
15900 (comint-snapshot-last-prompt, comint-output-filter):
15901 Use comint-last-prompt.
15903 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
15905 * frameset.el (frameset-valid-p): Check vector length. Doc fix.
15906 (frameset-save): Check validity of the resulting frameset.
15908 2013-08-08 Xue Fuqiao <xfq.free@gmail.com>
15910 * ido.el (ido-record-command): Add doc string.
15912 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
15914 * frameset.el (frameset): Do not disable creation of the default
15915 frameset-p predicate. Doc fix.
15916 (frameset-valid-p): New function, copied from the old predicate-p.
15917 Add additional checks.
15918 (frameset-restore): Check with frameset-valid-p.
15919 (frameset-p, frameset-version, frameset-timestamp, frameset-app)
15920 (frameset-name, frameset-description, frameset-properties)
15921 (frameset-states): Add docstring.
15922 (frameset-session-filter-alist, frameset-persistent-filter-alist)
15923 (frameset-filter-alist): Doc fixes.
15925 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
15927 * frameset.el (frameset-p, frameset-prop): Doc fixes.
15929 2013-08-08 Stefan Monnier <monnier@iro.umontreal.ca>
15931 * emacs-lisp/bytecomp.el (byte-compile-function-warn): New function,
15932 extracted from byte-compile-callargs-warn and byte-compile-normal-call.
15933 (byte-compile-callargs-warn, byte-compile-function-form): Use it.
15934 (byte-compile-normal-call): Remove obsolescence check.
15936 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
15938 * frameset.el (frameset-restore): Doc fix.
15940 * register.el (frameset-frame-id, frameset-frame-with-id)
15941 (frameset-p, frameset-restore, frameset-save): Declare.
15942 (register-alist): Document framesets.
15943 (frameset-session-filter-alist): Declare.
15944 (frameset-to-register): New function.
15945 (jump-to-register): Implement jumping to framesets. Doc fix.
15946 (describe-register-1): Describe framesets.
15948 * bindings.el (ctl-x-r-map): Bind ?f to frameset-to-register.
15950 2013-08-07 Juanma Barranquero <lekktu@gmail.com>
15952 * desktop.el (desktop-save-frameset): Use new frameset-save args.
15953 Use lexical-binding.
15955 * frameset.el (frameset): Use type vector, not list (incompatible
15956 change). Do not declare a new constructor, use the default one.
15957 Upgrade suggested properties `app', `name' and `desc' to slots `app',
15958 `name' and `description', respectively, and add read-only slot
15959 `timestamp'. Doc fixes.
15960 (frameset-copy, frameset-persistent-filter-alist)
15961 (frameset-filter-alist, frameset-switch-to-gui-p)
15962 (frameset-switch-to-tty-p, frameset-filter-tty-to-GUI)
15963 (frameset-filter-sanitize-color, frameset-filter-minibuffer)
15964 (frameset-filter-iconified, frameset-keep-original-display-p):
15966 (frameset-filter-shelve-param, frameset-filter-unshelve-param):
15967 Rename from frameset-filter-(save|restore)-param. All callers changed.
15969 (frameset-p): Adapt to change to vector and be more thorough.
15970 Change arg name to OBJECT. Doc fix.
15971 (frameset-prop): Rename arg PROP to PROPERTY. Doc fix.
15972 (frameset-session-filter-alist): Rename from frameset-live-filter-alist.
15973 All callers changed.
15974 (frameset-frame-with-id): Rename from frameset-locate-frame-id.
15975 All callers changed.
15976 (frameset--record-minibuffer-relationships): Rename from
15977 frameset--process-minibuffer-frames. All callers changed.
15978 (frameset-save): Add new keyword arguments APP, NAME and DESCRIPTION.
15979 Use new default constructor (again). Doc fix.
15980 (frameset--find-frame-if): Rename from `frameset--find-frame'.
15981 All callers changed.
15982 (frameset--reuse-frame): Rename arg FRAME-CFG to PARAMETERS.
15983 (frameset--initial-params): Rename arg FRAME-CFG to PARAMETERS.
15985 (frameset--restore-frame): Rename args FRAME-CFG and WINDOW-CFG to
15986 PARAMETERS and WINDOW-STATE, respectively.
15987 (frameset-restore): Add new keyword argument PREDICATE.
15988 Reset frameset--target-display to nil. Doc fix.
15990 2013-08-07 Stefan Monnier <monnier@iro.umontreal.ca>
15992 * progmodes/bat-mode.el (bat--syntax-propertize): New var.
15993 (bat-mode): Use it.
15994 (bat-mode-syntax-table): Mark \n as end-of-comment.
15995 (bat-font-lock-keywords): Remove comment rule.
15997 * progmodes/bat-mode.el: Rename from dos.el. Use "bat-" prefix.
15998 (dos-mode-help): Remove. Use describe-mode (C-h m) instead.
16000 * emacs-lisp/bytecomp.el: Check existence of f in #'f.
16001 (byte-compile-callargs-warn): Use `push'.
16002 (byte-compile-arglist-warn): Ignore higher-order "calls".
16003 (byte-compile-file-form-autoload): Use `pcase'.
16004 (byte-compile-function-form): If quoting a symbol, check that it exists.
16006 2013-08-07 Eli Zaretskii <eliz@gnu.org>
16008 * progmodes/dos.el (dos-font-lock-keywords): Rename LINUX to UNIX
16009 and add a few popular commands found in batch files.
16010 (dos, dos-label-face, dos-cmd-help, dos-run, dos-run-args)
16011 (dos-mode): Doc fixes.
16013 2013-08-07 Stefan Monnier <monnier@iro.umontreal.ca>
16015 * progmodes/dos.el (auto-mode-alist): Add entries for dos-mode.
16016 (dos-mode): Use setq-local. Add space after "rem".
16017 (dos-mode-syntax-table): Don't use "w" for symbol chars.
16018 (dos-font-lock-keywords): Try to adjust font-lock rules accordingly.
16020 2013-08-07 Arni Magnusson <arnima@hafro.is>
16022 * progmodes/dos.el: New file.
16023 * generic-x.el (bat-generic-mode): Redefine as an obsolete alias to
16026 2013-08-06 Glenn Morris <rgm@gnu.org>
16028 * calendar/calendar.el: Add new faces, and day-header-array.
16029 (calendar-weekday-header, calendar-weekend-header)
16030 (calendar-month-header): New faces.
16031 (calendar-day-header-construct): New function.
16032 (calendar-day-header-width): Also :set calendar-day-header-array.
16033 (calendar-american-month-header, calendar-european-month-header)
16034 (calendar-iso-month-header): Use calendar- faces.
16035 (calendar-generate-month):
16036 Use calendar-day-header-array for day headers; apply faces to them.
16037 (calendar-mode): Check calendar-font-lock-keywords non-nil.
16038 (calendar-abbrev-construct): Add optional maxlen argument.
16039 (calendar-day-name-array): Doc fix.
16040 (calendar-day-name-array, calendar-abbrev-length)
16041 (calendar-day-abbrev-array):
16042 Also :set calendar-day-header-array, and maybe redraw.
16043 (calendar-day-header-array): New option. (Bug#15007)
16044 (calendar-font-lock-keywords): Set to nil and make obsolete.
16045 (calendar-day-name): Add option to use header array.
16047 2013-08-06 Lars Magne Ingebrigtsen <larsi@gnus.org>
16049 * net/shr.el (shr-render-td): Remove debugging.
16050 (shr-render-td): Make width computation consistent by defaulting
16051 all zero-width columns to 10 characters. This may not be optimal,
16052 but it's at least consistent.
16053 (shr-make-table-1): Redo last change to fix the real problem in
16056 2013-08-06 Dmitry Antipov <dmantipov@yandex.ru>
16058 * files.el (cache-long-line-scans):
16059 Make obsolete alias to `cache-long-scans'.
16061 2013-08-06 Juanma Barranquero <lekktu@gmail.com>
16063 * frameset.el (frameset, frameset-filter-alist)
16064 (frameset-filter-params, frameset-save, frameset--reuse-frame)
16065 (frameset--minibufferless-last-p, frameset-restore): Doc fixes.
16066 (frameset-compute-pos): Rename from frameset--compute-pos,
16068 (frameset-move-onscreen): Use frameset-compute-pos.
16069 Most changes suggested by Drew Adams <drew.adams@oracle.com>.
16071 * find-lisp.el (find-lisp-line-indent, find-lisp-find-dired-filter):
16072 Fix typos in docstrings.
16074 2013-08-06 Dmitry Antipov <dmantipov@yandex.ru>
16076 * frame.el (get-other-frame): Tiny cleanup.
16078 2013-08-06 Juanma Barranquero <lekktu@gmail.com>
16080 * vc/vc.el (vc-default-ignore-completion-table):
16081 Silence byte-compiler warning.
16083 * frameset.el (frameset-p): Don't check non-nullness of the `properties'
16084 slot, which can indeed be nil.
16085 (frameset-live-filter-alist, frameset-persistent-filter-alist):
16086 Move entry for `left' from persistent to live filter alist.
16087 (frameset-filter-alist, frameset--minibufferless-last-p, frameset-save):
16089 (frameset-filter-params): When restoring a frame, copy items added to
16090 `filtered', to avoid unwittingly modifying the original parameters.
16091 (frameset-move-onscreen): Rename from frameset--move-onscreen. Doc fix.
16092 (frameset--restore-frame): Fix reference to frameset-move-onscreen.
16094 * dired.el (dired-insert-directory): Revert change in 2013-06-21T12:24:37Z!lekktu@gmail.com
16095 to use looking-at-p instead of looking-at. (Bug#15028)
16097 2013-08-05 Stefan Monnier <monnier@iro.umontreal.ca>
16099 Revert introduction of isearch-filter-predicates (bug#14714).
16100 Rely on add-function instead.
16101 * isearch.el (isearch-filter-predicates): Rename it back to
16102 isearch-filter-predicate.
16103 (isearch-message-prefix): Use advice-function-mapc and advice
16104 properties to get the isearch-message-prefix.
16105 (isearch-search, isearch-lazy-highlight-search): Revert to funcall
16106 instead of run-hook-with-args-until-failure.
16107 (isearch-filter-visible): Not obsolete any more.
16108 * loadup.el: Preload nadvice.
16109 * replace.el (perform-replace): Revert to funcall
16110 instead of run-hook-with-args-until-failure.
16111 * wdired.el (wdired-change-to-wdired-mode): Use add-function.
16112 * dired-aux.el (dired-isearch-filenames-mode): Rename from
16113 dired-isearch-filenames-toggle; make it into a proper minor mode.
16114 Use add/remove-function.
16115 (dired-isearch-filenames-setup, dired-isearch-filenames-end):
16116 Call the minor-mode rather than add/remove-hook.
16117 (dired-isearch-filter-filenames):
16118 Remove isearch-message-prefix property.
16119 * info.el (Info--search-loop): New function, extracted from Info-search.
16120 Funcall isearch-filter-predicate instead of
16121 run-hook-with-args-until-failure isearch-filter-predicates.
16122 (Info-search): Use it.
16123 (Info-mode): Use isearch-filter-predicate instead of
16124 isearch-filter-predicates.
16126 2013-08-05 Dmitry Antipov <dmantipov@yandex.ru>
16128 Do not call to `selected-window' where it is assumed by default.
16129 Affected functions are `window-minibuffer-p', `window-dedicated-p',
16130 `window-hscroll', `window-width', `window-height', `window-buffer',
16131 `window-frame', `window-start', `window-point', `next-window'
16132 and `window-display-table'.
16133 * abbrev.el (abbrev--default-expand):
16134 * bs.el (bs--show-with-configuration):
16135 * buff-menu.el (Buffer-menu-mouse-select):
16136 * calc/calc.el (calc):
16137 * calendar/calendar.el (calendar-generate-window):
16138 * calendar/diary-lib.el (diary-simple-display, diary-show-all-entries)
16139 (diary-make-entry):
16140 * comint.el (send-invisible, comint-dynamic-complete-filename)
16141 (comint-dynamic-simple-complete, comint-dynamic-list-completions):
16142 * completion.el (complete):
16143 * dabbrev.el (dabbrev-expand, dabbrev--make-friend-buffer-list):
16144 * disp-table.el (describe-current-display-table):
16145 * doc-view.el (doc-view-insert-image):
16146 * ebuff-menu.el (Electric-buffer-menu-mouse-select):
16147 * ehelp.el (with-electric-help):
16148 * emacs-lisp/easy-mmode.el (easy-mmode-define-navigation):
16149 * emacs-lisp/edebug.el (edebug-two-window-p, edebug-pop-to-buffer):
16150 * emacs-lisp/helper.el (Helper-help-scroller):
16151 * emulation/cua-base.el (cua--post-command-handler-1):
16152 * eshell/esh-mode.el (eshell-output-filter):
16153 * ffap.el (ffap-gnus-wrapper):
16154 * help-macro.el (make-help-screen):
16155 * hilit-chg.el (highlight-compare-buffers):
16156 * hippie-exp.el (hippie-expand, try-expand-dabbrev-visible):
16157 * hl-line.el (global-hl-line-highlight):
16158 * icomplete.el (icomplete-simple-completing-p):
16159 * isearch.el (isearch-done):
16160 * jit-lock.el (jit-lock-stealth-fontify):
16161 * mail/rmailsum.el (rmail-summary-scroll-msg-up):
16162 * mouse-drag.el (mouse-drag-should-do-col-scrolling):
16163 * mpc.el (mpc-tagbrowser, mpc):
16164 * net/rcirc.el (rcirc-any-buffer):
16165 * play/gomoku.el (gomoku-max-width, gomoku-max-height):
16166 * play/landmark.el (landmark-max-width, landmark-max-height):
16167 * play/zone.el (zone):
16168 * progmodes/compile.el (compilation-goto-locus):
16169 * progmodes/ebrowse.el (ebrowse-view/find-file-and-search-pattern):
16170 * progmodes/etags.el (find-tag-other-window):
16171 * progmodes/fortran.el (fortran-column-ruler):
16172 * progmodes/gdb-mi.el (gdb-mouse-toggle-breakpoint-fringe):
16173 * progmodes/verilog-mode.el (verilog-point-text):
16174 * reposition.el (reposition-window):
16175 * rot13.el (toggle-rot13-mode):
16176 * server.el (server-switch-buffer):
16177 * shell.el (shell-dynamic-complete-command)
16178 (shell-dynamic-complete-environment-variable):
16179 * simple.el (insert-buffer, set-selective-display)
16180 (delete-completion-window):
16181 * speedbar.el (speedbar-timer-fn, speedbar-center-buffer-smartly)
16182 (speedbar-recenter):
16183 * startup.el (fancy-splash-head):
16184 * textmodes/ispell.el (ispell-command-loop):
16185 * textmodes/makeinfo.el (makeinfo-compilation-sentinel-region):
16186 * tutorial.el (help-with-tutorial):
16187 * vc/add-log.el (add-change-log-entry):
16188 * vc/compare-w.el (compare-windows):
16189 * vc/ediff-help.el (ediff-indent-help-message):
16190 * vc/ediff-util.el (ediff-setup-control-buffer, ediff-position-region):
16191 * vc/ediff-wind.el (ediff-skip-unsuitable-frames)
16192 (ediff-setup-control-frame):
16193 * vc/emerge.el (emerge-position-region):
16194 * vc/pcvs-util.el (cvs-bury-buffer):
16195 * window.el (walk-windows, mouse-autoselect-window-select):
16196 * winner.el (winner-set-conf, winner-undo): Related users changed.
16198 2013-08-05 Juanma Barranquero <lekktu@gmail.com>
16200 * frameset.el (frameset--set-id): Doc fix.
16201 (frameset-frame-id, frameset-frame-id-equal-p)
16202 (frameset-locate-frame-id): New functions.
16203 (frameset--process-minibuffer-frames, frameset--reuse-frame)
16204 (frameset-restore): Use them.
16206 2013-08-05 Dmitry Antipov <dmantipov@yandex.ru>
16208 Do not call to `selected-frame' where it is assumed by default.
16209 Affected functions are `raise-frame', `redraw-frame',
16210 `frame-first-window', `frame-terminal' and `delete-frame'.
16211 * calendar/appt.el (appt-disp-window):
16212 * epg.el (epg-wait-for-completion):
16213 * follow.el (follow-delete-other-windows-and-split)
16214 (follow-avoid-tail-recenter):
16215 * international/mule.el (set-terminal-coding-system):
16216 * mail/rmail.el (rmail-mail-return):
16217 * net/newst-plainview.el (newsticker--buffer-set-uptodate):
16218 * progmodes/f90.el (f90-add-imenu-menu):
16219 * progmodes/idlw-toolbar.el (idlwave-toolbar-toggle):
16220 * server.el (server-switch-buffer):
16221 * simple.el (delete-completion-window):
16223 * term/xterm.el (terminal-init-xterm-modify-other-keys)
16224 (xterm-turn-on-modify-other-keys, xterm-remove-modify-other-keys):
16225 * vc/ediff-util.el (ediff-status-info, ediff-show-diff-output):
16226 * vc/ediff.el (ediff-documentation): Related users changed.
16227 * frame.el (selected-terminal): Remove the leftover.
16229 2013-08-05 Glenn Morris <rgm@gnu.org>
16231 * calendar/calendar.el (calendar-generate-month):
16232 Fix for calendar-column-width != 1 + calendar-day-digit-width.
16233 (calendar-generate-month, calendar-font-lock-keywords):
16234 Fix for calendar-day-header-width > length of any day name.
16236 2013-08-05 Juanma Barranquero <lekktu@gmail.com>
16238 * desktop.el (desktop-clear): Use new name of sort predicate.
16240 * frameset.el (frameset): Add docstring. Move :version property to its
16241 own `version' slot.
16242 (frameset-copy): Rename from copy-frameset.
16243 (frameset-p): Check more thoroughly.
16244 (frameset-prop): Do not check for :version, which is no longer a prop.
16245 (frameset-live-filter-alist, frameset-persistent-filter-alist):
16246 Use new :never value instead of t.
16247 (frameset-filter-alist): Expand and clarify docstring.
16248 (frameset-filter-tty-to-GUI, frameset-filter-sanitize-color)
16249 (frameset-filter-minibuffer, frameset-filter-save-param)
16250 (frameset-filter-restore-param, frameset-filter-iconified):
16251 Add pointer to docstring of frameset-filter-alist.
16252 (frameset-filter-params): Rename filter values to be more meaningful:
16253 :never instead of t, and reverse the meanings of :save and :restore.
16254 (frameset--process-minibuffer-frames): Clarify error message.
16255 (frameset-save): Avoid unnecessary and confusing call to framep.
16256 Use new BOA constructor for framesets.
16257 (frameset--reuse-list): Doc fix.
16258 (frameset--restore-frame): Rename from frameset--get-frame. Doc fix.
16259 (frameset--minibufferless-last-p): Rename from frameset--sort-states.
16260 (frameset-minibufferless-first-p): Doc fix.
16261 Rename from frameset-sort-frames-for-deletion.
16262 (frameset-restore): Doc fixes. Use new function names.
16263 Most changes suggested by Drew Adams <drew.adams@oracle.com>.
16265 2013-08-04 Juanma Barranquero <lekktu@gmail.com>
16267 * desktop.el (desktop-restore-forces-onscreen)
16268 (desktop-restore-reuses-frames): Document :keyword constant values.
16269 (desktop-filter-parameters-alist): Remove, now identical to
16270 frameset-filter-alist.
16271 (desktop--filter-tty*): Remove, moved to frameset.el.
16272 (desktop-save-frameset, desktop-restore-frameset):
16273 Do not pass :filters argument.
16275 * frameset.el (frameset-live-filter-alist)
16276 (frameset-persistent-filter-alist): New variables.
16277 (frameset-filter-alist): Use them. Add autoload cookie.
16278 (frameset-filter-tty-to-GUI): Move from desktop.el and rename.
16279 (frameset--set-id, frameset--reuse-frame): Rename `frame-id' to
16280 `frameset--id' (it's supposed to be internal to frameset.el).
16281 (frameset--process-minibuffer-frames): Ditto. Doc fix.
16282 (frameset--initial-params): New function.
16283 (frameset--get-frame): Use it. Doc fix.
16284 (frameset--move-onscreen): Accept new PRED value for FORCE-ONSCREEN.
16285 Accept :all, not 'all.
16286 (frameset-restore): Add new predicate values for FORCE-ONSCREEN and
16287 FORCE-DISPLAY. Use :keywords for constant arguments to avoid collision
16288 with fbound symbols. Fix frame id matching, and remove matching ids if
16289 the frame being restored is deleted. Obey :delete.
16291 2013-08-04 Stefan Monnier <monnier@iro.umontreal.ca>
16293 * subr.el (macrop): New function.
16294 (text-clone--maintaining): New var.
16295 (text-clone--maintain): Rename from text-clone-maintain. Use it
16296 instead of inhibit-modification-hooks.
16298 * emacs-lisp/nadvice.el (advice--normalize): For aliases to macros, use
16299 a proxy, so as handle autoloads and redefinitions of the target.
16300 (advice--defalias-fset, advice-remove): Use advice--symbol-function.
16302 * emacs-lisp/pcase.el (pcase-mutually-exclusive-predicates):
16303 Remove bogus (arrayp . stringp) pair. Add entries for `vectorp'.
16304 (pcase--mutually-exclusive-p): New function.
16305 (pcase--split-consp): Use it.
16306 (pcase--split-pred): Use it. Optimize the case where `pat' is a qpat
16307 mutually exclusive with the current predicate.
16309 * emacs-lisp/edebug.el (edebug-lookup-function): Remove function.
16310 (edebug-macrop): Remove. Use `macrop' instead.
16311 * emacs-lisp/advice.el (ad-subr-p): Remove. Use `subrp' instead.
16313 * eshell/esh-cmd.el (eshell-macrop):
16314 * apropos.el (apropos-macrop): Remove. Use `macrop' instead.
16316 2013-08-04 Stefan Monnier <monnier@iro.umontreal.ca>
16318 * emacs-lisp/nadvice.el (advice-function-mapc): Rename from advice-mapc.
16319 (advice-mapc): New function, using it.
16320 (advice-function-member-p): New function.
16321 (advice--normalize): Store the cdr in advice--saved-rewrite since
16322 that's the part that will be changed.
16323 (advice--symbol-function): New function.
16324 (advice-remove): Handle removal before the function is defined.
16325 Adjust to new advice--saved-rewrite.
16326 (advice-member-p): Use advice-function-member-p and
16327 advice--symbol-function.
16329 2013-08-04 Juanma Barranquero <lekktu@gmail.com>
16331 * frameset.el (frameset-p, frameset-save): Fix autoload cookies.
16332 (frameset-filter-minibuffer): Doc fix.
16333 (frameset-restore): Fix autoload cookie. Fix typo in docstring.
16334 (frameset--set-id, frameset--process-minibuffer-frames)
16335 (frameset-restore): Rename parameter `frameset-id' to `frame-id'.
16336 (frameset--reuse-frame): Pass correct frame-id to frameset--find-frame.
16338 * desktop.el (desktop-clear): Only delete frames when called
16339 interactively and desktop-restore-frames is non-nil. Doc fix.
16340 (desktop-read): Set desktop-saved-frameset to nil.
16342 2013-08-04 Xue Fuqiao <xfq.free@gmail.com>
16344 * vc/vc.el (vc-ignore): Rewrite.
16345 (vc-default-ignore-completion-table, vc--read-lines)
16346 (vc--add-line, vc--remove-regexp): New functions.
16348 * vc/vc-svn.el (vc-svn-ignore): Doc fix.
16349 (vc-svn-ignore-completion-table): New function.
16351 * vc/vc-hg.el (vc-hg-ignore): Rewrite.
16352 (vc-hg-ignore-completion-table)
16353 (vc-hg-find-ignore-file): New functions.
16355 * vc/vc-git.el (vc-git-ignore): Rewrite.
16356 (vc-git-ignore-completion-table)
16357 (vc-git-find-ignore-file): New functions.
16359 * vc/vc-dir.el (vc-dir-menu-map): Add menu for vc-dir-ignore.
16361 * vc/vc-bzr.el (vc-bzr-ignore): Rewrite.
16362 (vc-bzr-ignore-completion-table)
16363 (vc-bzr-find-ignore-file): New functions.
16365 2013-08-03 Juanma Barranquero <lekktu@gmail.com>
16367 * frameset.el (frameset-prop): New function and setter.
16368 (frameset-save): Do not modify frame list passed by the caller.
16370 2013-08-03 Stefan Monnier <monnier@iro.umontreal.ca>
16372 * emacs-lisp/package.el (package-desc-from-define): Ignore unknown keys.
16374 2013-08-02 Stefan Monnier <monnier@iro.umontreal.ca>
16376 * emacs-lisp/easy-mmode.el (define-globalized-minor-mode)
16377 (easy-mmode-define-navigation): Avoid ((lambda (..) ..) ...).
16379 * custom.el (custom-initialize-default, custom-initialize-set)
16380 (custom-initialize-reset, custom-initialize-changed): Affect the
16381 toplevel-default-value (bug#6275, bug#14586).
16382 * emacs-lisp/advice.el (ad-compile-function): Undo previous workaround
16385 2013-08-02 Juanma Barranquero <lekktu@gmail.com>
16387 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
16388 Add cl-def* expressions.
16390 * frameset.el (frameset-filter-params): Fix order of arguments.
16392 2013-08-02 Juanma Barranquero <lekktu@gmail.com>
16394 Move code related to saving frames to frameset.el.
16395 * desktop.el: Require frameset.
16396 (desktop-restore-frames): Doc fix.
16397 (desktop-restore-reuses-frames): Rename from
16398 desktop-restoring-reuses-frames.
16399 (desktop-saved-frameset): Rename from desktop-saved-frame-states.
16400 (desktop-clear): Clear frames too.
16401 (desktop-filter-parameters-alist): Set from frameset-filter-alist.
16402 (desktop--filter-tty*, desktop-save, desktop-read):
16403 Use frameset functions.
16404 (desktop-before-saving-frames-functions, desktop--filter-*-color)
16405 (desktop--filter-minibuffer, desktop--filter-restore-desktop-parm)
16406 (desktop--filter-save-desktop-parm, desktop--filter-iconified-position)
16407 (desktop-restore-in-original-display-p, desktop--filter-frame-parms)
16408 (desktop--process-minibuffer-frames, desktop-save-frames)
16409 (desktop--reuse-list, desktop--compute-pos, desktop--move-onscreen)
16410 (desktop--find-frame, desktop--select-frame, desktop--make-frame)
16411 (desktop--sort-states, desktop-restoring-frames-p)
16412 (desktop-restore-frames): Remove. Most code moved to frameset.el.
16413 (desktop-restoring-frameset-p, desktop-restore-frameset)
16414 (desktop--check-dont-save, desktop-save-frameset): New functions.
16415 (desktop--app-id): New constant.
16416 (desktop-first-buffer, desktop-buffer-ok-count)
16417 (desktop-buffer-fail-count): Move before first use.
16418 * frameset.el: New file.
16420 2013-08-01 Stefan Monnier <monnier@iro.umontreal.ca>
16422 * files.el: Use lexical-binding.
16423 (dir-locals-read-from-file): Remove unused `err' variable.
16424 (hack-dir-local-variables--warned-coding): New var.
16425 (hack-dir-local-variables): Use it to avoid repeated warnings.
16426 (make-backup-file-name--default-function): New function.
16427 (make-backup-file-name-function): Use it as default.
16428 (buffer-stale--default-function): New function.
16429 (buffer-stale-function): Use it as default.
16430 (revert-buffer-insert-file-contents--default-function): New function.
16431 (revert-buffer-insert-file-contents-function): Use it as default.
16432 (insert-directory): Avoid add-to-list.
16434 * autorevert.el (auto-revert-handler): Simplify.
16435 Use buffer-stale--default-function.
16437 2013-08-01 Tassilo Horn <tsdh@gnu.org>
16439 * speedbar.el (speedbar-query-confirmation-method): Doc fix.
16441 * whitespace.el (whitespace-ensure-local-variables): New function.
16442 (whitespace-cleanup-region): Call it.
16443 (whitespace-turn-on): Call it.
16445 2013-08-01 Michael Albinus <michael.albinus@gmx.de>
16447 Complete file name handlers.
16449 * net/tramp.el (tramp-handle-set-visited-file-modtime)
16450 (tramp-handle-verify-visited-file-modtime)
16451 (tramp-handle-file-notify-rm-watch): New functions.
16452 (tramp-call-process): Do not bind `default-directory'.
16454 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
16455 Order alphabetically.
16456 <access-file, add-name-to-file, dired-call-process>:
16457 <dired-compress-file, file-acl, file-notify-rm-watch>:
16458 <file-ownership-preserved-p, file-selinux-context>:
16459 <make-directory-internal, make-symbolic-link, set-file-acl>:
16460 <set-file-selinux-context, set-visited-file-modtime>:
16461 <verify-visited-file-modtime>: Add handler.
16462 (tramp-adb-handle-write-region): Apply `set-visited-file-modtime'.
16464 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
16465 <file-notify-add-watch, file-notify-rm-watch>:
16466 <set-file-times, set-visited-file-modtime>:
16467 <verify-visited-file-modtime>: Add handler.
16468 (with-tramp-gvfs-error-message)
16469 (tramp-gvfs-handle-set-visited-file-modtime)
16470 (tramp-gvfs-fuse-file-name): Remove.
16471 (tramp-gvfs-handle-file-notify-add-watch)
16472 (tramp-gvfs-file-gvfs-monitor-file-process-filter): New defuns.
16473 (tramp-gvfs-handle-write-region): Fix error in moving tmpfile.
16475 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
16476 Order alphabetically.
16477 <file-notify-rm-watch>: Use default Tramp handler.
16478 <executable-find>: Remove private handler.
16479 (tramp-do-copy-or-rename-file-out-of-band): Do not bind
16480 `default-directory'.
16481 (tramp-sh-handle-executable-find)
16482 (tramp-sh-handle-file-notify-rm-watch): Remove functions.
16483 (tramp-sh-file-gvfs-monitor-dir-process-filter)
16484 (tramp-sh-file-inotifywait-process-filter, tramp-set-remote-path):
16485 Do not use `format' in `tramp-message'.
16487 * net/tramp-smb.el (tramp-smb-file-name-handler-alist)
16488 <file-notify-rm-watch, set-visited-file-modtime>:
16489 <verify-visited-file-modtime>: Add handler.
16490 (tramp-smb-call-winexe): Do not bind `default-directory'.
16492 2013-08-01 Xue Fuqiao <xfq.free@gmail.com>
16494 * vc/vc-hooks.el (vc-menu-map): Fix menu entry for vc-ignore.
16496 2013-07-31 Dmitry Gutov <dgutov@yandex.ru>
16498 * vc/log-view.el (log-view-diff): Extract `log-view-diff-common',
16500 (log-view-diff-changeset): Same.
16501 (log-view-diff-common): Call backend command `previous-revision'
16502 to find out the previous revision, in both cases. Swap the
16503 variables `to' and `fr', so that `fr' usually refers to the
16504 earlier revision (Bug#14989).
16506 2013-07-31 Kan-Ru Chen <kanru@kanru.info>
16508 * ibuf-ext.el (ibuffer-filter-by-filename):
16509 Make it work with dired buffers too.
16511 2013-07-31 Dmitry Antipov <dmantipov@yandex.ru>
16513 * emacs-lisp/re-builder.el (reb-color-display-p):
16514 * files.el (save-buffers-kill-terminal):
16515 * net/browse-url.el (browse-url):
16516 * server.el (server-save-buffers-kill-terminal):
16517 * textmodes/reftex-toc.el (reftex-toc, reftex-toc-revert):
16518 Prefer nil to selected-frame for the first arg of frame-parameter.
16520 2013-07-31 Xue Fuqiao <xfq.free@gmail.com>
16522 * vc/vc-hooks.el (vc-menu-map): Add menu entry for vc-ignore.
16524 2013-07-30 Stephen Berman <stephen.berman@gmx.net>
16526 * minibuffer.el (completion--twq-all): Try and preserve each
16527 completion's case choice (bug#14907).
16529 2013-07-30 Lars Magne Ingebrigtsen <larsi@gnus.org>
16531 * net/network-stream.el (open-network-stream): Mention the new
16532 :nogreeting parameter.
16533 (network-stream-open-starttls): Use the :nogreeting parameter
16536 * net/shr.el (shr-mouse-browse-url): Remove and use `shr-browse-url'.
16538 * net/eww.el (eww-setup-buffer): Switching to the buffer seems
16539 more natural than popping.
16541 * net/shr.el (shr-urlify): Put `follow-link' on URLs (bug#14815).
16542 (shr-urlify): Highlight under mouse.
16544 2013-07-30 Xue Fuqiao <xfq.free@gmail.com>
16546 * vc/vc-hooks.el (vc-prefix-map): Add key binding for vc-ignore.
16548 * vc/vc-dir.el (vc-dir-mode-map): Change key binding for vc-dir-ignore.
16550 * vc/vc-svn.el (vc-svn-ignore): Remove `interactive'. Use `*vc*'
16553 * vc/vc-hg.el (vc-hg-ignore): Remove `interactive'. Do not assume
16554 point-min==1. Fix search string. Fix parentheses missing.
16556 * vc/vc-git.el (vc-git-ignore): Remove `interactive'. Do not
16557 assume point-min==1. Fix search string. Fix parentheses missing.
16559 * vc/vc-cvs.el (vc-cvs-ignore): Remove `interactive'.
16561 * vc/vc-bzr.el (vc-bzr-ignore): Remove `interactive'. Use `*vc*'
16564 2013-07-29 Eli Zaretskii <eliz@gnu.org>
16566 * frame.el (frame-notice-user-settings): Avoid inflooping when the
16567 initial frame is minibuffer-less. (Bug#14841)
16569 2013-07-29 Michael Albinus <michael.albinus@gmx.de>
16571 * net/tramp.el (tramp-use-ssh-controlmaster-options): New customer
16574 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band)
16575 (tramp-maybe-open-connection): Use it.
16577 2013-07-28 Juanma Barranquero <lekktu@gmail.com>
16579 * desktop.el (desktop--make-frame): Include `minibuffer' in the
16580 minimal set of parameters passed when creating a frame, because
16581 the minibuffer status of a frame cannot be changed later.
16583 2013-07-28 Stephen Berman <stephen.berman@gmx.net>
16585 * calendar/todo-mode.el (todo-rename-file): Fix incorrect use of
16586 replace-regexp-in-string and inadvertent omissions in previous change.
16587 (todo-filter-items): Ensure only file names are comma-separated in
16588 name of filtered items buffer.
16590 2013-07-28 Juanma Barranquero <lekktu@gmail.com>
16592 * desktop.el: Optionally force offscreen frames back onscreen.
16593 (desktop-restoring-reuses-frames): New option.
16594 (desktop--compute-pos, desktop--move-onscreen): New functions.
16595 (desktop--make-frame): Use desktop--move-onscreen.
16597 2013-07-27 Alan Mackenzie <acm@muc.de>
16599 Fontify a Java generic method as a function.
16600 * progmodes/cc-langs.el (c-recognize-<>-arglists): Set the Java
16603 2013-07-27 Stephen Berman <stephen.berman@gmx.net>
16605 * calendar/todo-mode.el: Add command to rename todo files.
16606 (todo-rename-file): New command.
16607 (todo-key-bindings-t): Add key binding for it. Change the
16608 bindings of todo-filter-regexp-items(-multifile) to use `x'
16609 instead of `r', since the latter is better suited to the new
16612 2013-07-27 Alan Mackenzie <acm@muc.de>
16614 Make Java try-with-resources statement parse properly.
16615 * progmodes/cc-langs.el (c-block-stmt-1-2-kwds)
16616 (c-block-stmt-1-2-key): New language constants/variables.
16617 * progmodes/cc-engine.el (c-beginning-of-statement-1)
16618 (c-after-conditional): Adapt to deal with c-block-stmt-1-2-key.
16619 * progmodes/cc-fonts.el (c-font-lock-declarations): Adapt to deal
16620 with c-block-stmt-1-2-key.
16622 2013-07-27 Juanma Barranquero <lekktu@gmail.com>
16624 * desktop.el (desktop--make-frame): Apply most frame parameters after
16625 creating the frame to force (partially or totally) offscreen frames to
16626 be restored as such.
16628 2013-07-26 Xue Fuqiao <xfq.free@gmail.com>
16630 * vc/vc-dir.el (vc-dir-mode-map): Add binding for vc-root-diff.
16633 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
16635 * emacs-lisp/nadvice.el (advice--called-interactively-skip): Use the new
16636 `base' arg of backtrace-frame.
16638 2013-07-26 Eli Zaretskii <eliz@gnu.org>
16640 * simple.el (list-processes): Doc fix.
16642 2013-07-26 Juanma Barranquero <lekktu@gmail.com>
16644 * desktop.el (desktop--select-frame):
16645 Try harder to reuse existing frames.
16647 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
16649 * emacs-lisp/edebug.el: Use backtrace-eval to handle lexical variables.
16650 (edebug-eval): Use backtrace-eval.
16651 (edebug--display, edebug--recursive-edit): Don't let-bind the
16652 edebug-outer-* vars that keep track of variables we locally let-bind.
16653 (edebug-outside-excursion): Don't restore outside values of locally
16655 (edebug--display): Use user-error.
16656 (cl-lexical-debug, cl-debug-env): Remove.
16658 2013-07-26 Juanma Barranquero <lekktu@gmail.com>
16660 * desktop.el (desktop-restore-frames): Call `sit-for' once all frames
16661 are restored to be sure that they are visible before deleting any
16664 2013-07-26 Matthias Meulien <orontee@gmail.com>
16666 * vc/vc-dir.el (vc-dir-mode-map): Add binding for
16667 vc-print-root-log. (Bug#14948)
16669 2013-07-26 Richard Stallman <rms@gnu.org>
16671 Add aliases for encrypting mail.
16672 * epa.el (epa-mail-aliases): New option.
16673 * epa-mail.el (epa-mail-encrypt): Rewrite to be callable from programs.
16674 Bind inhibit-read-only so read-only text doesn't ruin everything.
16675 (epa-mail-default-recipients): New subroutine broken out.
16676 Handle epa-mail-aliases.
16678 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
16680 Add support for lexical variables to the debugger's `e' command.
16681 * emacs-lisp/debug.el (debug): Don't let-bind the debugger-outer-*
16682 vars, except for debugger-outer-match-data.
16683 (debugger-frame-number): Move check for "on a function call" from
16684 callers into it. Add `skip-base' argument.
16685 (debugger-frame, debugger-frame-clear): Simplify accordingly.
16686 (debugger-env-macro): Only reset the state stored in non-variables,
16687 i.e. current-buffer and match-data.
16688 (debugger-eval-expression): Rewrite using backtrace-eval.
16689 * subr.el (internal--called-interactively-p--get-frame): Remove.
16690 (called-interactively-p):
16691 * emacs-lisp/edebug.el (edebug--called-interactively-skip): Use the new
16692 `base' arg of backtrace-frame instead.
16694 2013-07-26 Glenn Morris <rgm@gnu.org>
16696 * align.el (align-regexp): Doc fix. (Bug#14857)
16697 (align-region): Explicit error if subexpression missing/does not match.
16699 * simple.el (global-visual-line-mode):
16700 Do not duplicate the mode lighter. (Bug#14858)
16702 2013-07-25 Martin Rudalics <rudalics@gmx.at>
16704 * window.el (display-buffer): In display-buffer bind
16705 split-window-keep-point to t, bug#14829.
16707 2013-07-25 Juanma Barranquero <lekktu@gmail.com>
16709 * desktop.el: Rename internal "desktop-X" frame params to "desktop--X".
16710 (desktop-filter-parameters-alist, desktop--filter-restore-desktop-parm)
16711 (desktop--filter-save-desktop-parm, desktop--process-minibuffer-frames)
16712 (desktop--select-frame, desktop--sort-states, desktop-restore-frames):
16713 Change accordingly.
16714 (desktop--select-frame, desktop--sort-states, desktop-restore-frames):
16715 Use pcase-let, pcase-let* to deobfuscate access to desktop--mini values.
16717 2013-07-25 Glenn Morris <rgm@gnu.org>
16719 * dired-x.el (dired-mark-extension): Convert comment to doc string.
16721 2013-07-25 Juanma Barranquero <lekktu@gmail.com>
16723 * desktop.el (desktop--make-frame): Do not pass the `fullscreen'
16724 parameter to modify-frame-parameters if the value has not changed;
16725 this is a workaround for bug#14949.
16726 (desktop--make-frame): On cl-delete-if call, check parameter name,
16727 not full parameter.
16729 2013-07-30 Xue Fuqiao <xfq.free@gmail.com>
16731 * vc/vc.el (vc-ignore): New function.
16733 * vc/vc-svn.el (vc-svn-ignore): New function.
16735 * vc/vc-hg.el (vc-hg-ignore): New function.
16737 * vc/vc-git.el (vc-git-ignore): New function.
16739 * vc/vc-dir.el (vc-dir-mode-map): Add key binding for vc-dir-ignore
16740 (vc-dir-ignore): New function.
16742 * vc/vc-cvs.el (vc-cvs-ignore): New function.
16743 (cvs-append-to-ignore): Move here from pcvs.el.
16745 * vc/vc-bzr.el (vc-bzr-ignore): New function.
16747 * vc/pcvs.el (vc-cvs): Require 'vc-cvs.
16749 2013-07-24 Juanma Barranquero <lekktu@gmail.com>
16751 * desktop.el (desktop-restoring-frames-p): Return a true boolean.
16752 (desktop-restore-frames): Warn when deleting an existing frame failed.
16754 2013-07-24 Glenn Morris <rgm@gnu.org>
16756 * ffap.el (ffap-machine-p): Handle "not known" response. (Bug#14929)
16758 2013-07-24 Michael Albinus <michael.albinus@gmx.de>
16760 * filenotify.el (file-notify-supported-p):
16761 * net/tramp-sh.el (tramp-sh-handle-file-notify-supported-p):
16764 * autorevert.el (auto-revert-use-notify)
16765 (auto-revert-notify-add-watch):
16766 * net/tramp.el (tramp-file-name-for-operation):
16767 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
16768 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
16769 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
16770 * net/tramp-smb.el (tramp-smb-file-name-handler-alist):
16771 Remove `file-notify-supported-p' entry.
16773 2013-07-24 Glenn Morris <rgm@gnu.org>
16775 * printing.el: Replace all uses of deleted ps-windows-system,
16776 ps-lp-system, ps-flatten-list with lpr- versions.
16778 2013-07-24 Stefan Monnier <monnier@iro.umontreal.ca>
16780 * emacs-lisp/pcase.el (pcase--u1): Verify if self-quoting values can be
16781 checked with memq (bug#14935).
16783 * files.el (revert-buffer-function): Use a non-nil default.
16784 (revert-buffer-preserve-modes): Declare var to
16785 provide access to the `preserve-modes' argument.
16786 (revert-buffer): Let-bind it.
16787 (revert-buffer--default): New function, extracted from revert-buffer.
16789 2013-07-24 Stefan Monnier <monnier@iro.umontreal.ca>
16791 * lpr.el: Signal print errors more prominently.
16792 (print-region-function): Don't default to nil.
16793 (lpr-print-region): New function, extracted from print-region-1.
16794 Check lpr's return value and signal an error in case of problem.
16795 (print-region-1): Use it.
16796 * ps-print.el (ps-windows-system, ps-lp-system): Remove. Use the lpr-*
16798 (ps-printer-name): Default to nil.
16799 (ps-printer-name-option): Default to lpr-printer-switch.
16800 (ps-print-region-function): Don't default to nil.
16801 (ps-postscript-code-directory): Simplify default.
16802 (ps-do-despool): Use lpr-print-region to properly check the outcome.
16803 (ps-string-list, ps-eval-switch, ps-flatten-list)
16804 (ps-flatten-list-1): Remove.
16805 (ps-multibyte-buffer): Avoid setq.
16806 * dos-w32.el (direct-print-region-helper): Use proper regexp operators.
16807 (print-region-function, ps-print-region-function): Don't set them here.
16809 2013-07-24 Xue Fuqiao <xfq.free@gmail.com>
16811 * ido.el (ido-fractionp, ido-cache-ftp-work-directory-time)
16812 (ido-max-prospects, ido-mode, ido-max-file-prompt-width)
16813 (ido-unc-hosts-cache, ido-max-directory-size, ido-max-dir-file-cache)
16814 (ido-decorations): Doc fix.
16816 * ansi-color.el: Fix old URL.
16818 2013-07-23 Michael R. Mauger <michael@mauger.com>
16820 * progmodes/sql.el: Version 3.3
16821 (sql-product-alist): Improve oracle :prompt-cont-regexp.
16822 (sql-starts-with-prompt-re, sql-ends-with-prompt-re): New functions.
16823 (sql-interactive-remove-continuation-prompt): Rewrite, use
16824 functions above. Fix continuation prompt and complete output line
16826 (sql-redirect-one, sql-execute): Use `read-only-mode' on
16827 redirected output buffer.
16828 (sql-mode): Restore deleted code (Bug#13591).
16830 2013-07-23 Juanma Barranquero <lekktu@gmail.com>
16832 * desktop.el (desktop-clear, desktop-list*): Fix previous change.
16834 2013-07-23 Michael Albinus <michael.albinus@gmx.de>
16836 * net/tramp.el (tramp-handle-file-notify-add-watch): New defun.
16838 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
16839 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
16840 * net/tramp-smb.el (tramp-smb-file-name-handler-alist): Use it.
16842 2013-07-23 Juanma Barranquero <lekktu@gmail.com>
16844 * desktop.el (desktop-clear): Simplify; remove useless checks
16845 against invalid buffer names.
16846 (desktop-list*): Use cl-list*.
16847 (desktop-buffer-info, desktop-create-buffer): Simplify.
16849 2013-07-23 Leo Liu <sdl.web@gmail.com>
16851 * bookmark.el (bookmark-make-record): Restore NAME as a default
16854 2013-07-22 Stefan Monnier <monnier@iro.umontreal.ca>
16856 * emacs-lisp/autoload.el (autoload--setup-output): New function,
16857 extracted from autoload--insert-text.
16858 (autoload--insert-text): Remove.
16859 (autoload--print-cookie-text): New function, extracted from
16860 autoload--insert-cookie-text.
16861 (autoload--insert-cookie-text): Remove.
16862 (autoload-generate-file-autoloads): Adjust calls accordingly.
16864 * winner.el (winner-hook-installed-p): Remove.
16865 (winner-mode): Simplify accordingly.
16867 * subr.el (add-to-list): Fix compiler-macro when `append' is
16868 not constant. Don't use `cl-member' for the base case.
16870 * progmodes/subword.el: Fix boundary case (bug#13758).
16871 (subword-forward-regexp): Make it a constant. Wrap optional \\W in its
16873 (subword-backward-regexp): Make it a constant.
16874 (subword-forward-internal): Don't treat a trailing capital as the
16875 beginning of a word.
16877 2013-07-22 Ari Roponen <ari.roponen@gmail.com> (tiny change)
16879 * emacs-lisp/package.el (package-menu-mode): Don't modify the
16880 global value of tabulated-list-revert-hook (bug#14930).
16882 2013-07-22 Juanma Barranquero <lekktu@gmail.com>
16884 * desktop.el: Require 'cl-lib.
16885 (desktop-before-saving-frames-functions): New hook.
16886 (desktop--process-minibuffer-frames): Set desktop-mini parameter only
16887 for frames being saved. Rename from desktop--save-minibuffer-frames.
16888 (desktop-save-frames): Run hook desktop-before-saving-frames-functions.
16889 Do not save frames with non-nil `desktop-dont-save' parameter.
16890 Filter out deleted frames.
16891 (desktop--find-frame): Use cl-find-if.
16892 (desktop--select-frame): Use cl-(first|second|third) to access values
16894 (desktop--make-frame): Use cl-delete-if.
16895 (desktop--sort-states): Fix sorting of minibuffer-owning frames.
16896 (desktop-restore-frames): Use cl-(first|second|third) to access values
16897 of desktop-mini. Look for visible frame at the end, not while
16900 * dired-x.el (dired-mark-unmarked-files, dired-virtual)
16901 (dired-guess-default, dired-mark-sexp, dired-filename-at-point):
16902 Use string-match-p, looking-at-p (bug#14927).
16904 2013-07-21 Juanma Barranquero <lekktu@gmail.com>
16906 * desktop.el (desktop-saved-frame-states):
16907 Rename from desktop--saved-states; all users changed.
16908 (desktop-save-frames): Rename from desktop--save-frames.
16909 Do not save state to desktop file.
16910 (desktop-save): Save desktop-saved-frame-states to desktop file
16912 (desktop-restoring-frames-p): New function.
16913 (desktop-restore-frames): Use it. Rename from desktop--restore-frames.
16914 (desktop-read): Use desktop-restoring-frames-p. Do not try to fix
16915 buffer-lists when restoring frames. Suggested by Martin Rudalics.
16917 * desktop.el: Correctly restore iconified frames.
16918 (desktop--filter-iconified-position): New function.
16919 (desktop-filter-parameters-alist): Add entries for `top' and `left'.
16921 2013-07-20 Glenn Morris <rgm@gnu.org>
16923 * progmodes/gdb-mi.el (gdb-delete-handler, gdb-stopped):
16924 Let `message' do the formatting.
16925 (def-gdb-preempt-display-buffer): Add explicit format.
16927 * image-dired.el (image-dired-track-original-file):
16928 Use with-current-buffer.
16929 (image-dired-track-thumbnail): Use with-current-buffer.
16930 Avoid changing point of wrong window.
16932 * image-dired.el (image-dired-track-original-file):
16933 Avoid changing point of wrong window. (Bug#14909)
16935 2013-07-20 Richard Copley <rcopley@gmail.com> (tiny change)
16937 * progmodes/gdb-mi.el (gdb-done-or-error):
16938 Guard against "%" in gdb output. (Bug#14127)
16940 2013-07-20 Andreas Schwab <schwab@linux-m68k.org>
16942 * progmodes/sh-script.el (sh-read-variable): Remove interactive spec.
16945 * international/mule.el (coding-system-iso-2022-flags): Fix last
16948 2013-07-20 Kenichi Handa <handa@gnu.org>
16950 * international/mule.el (coding-system-iso-2022-flags):
16951 Add `8-bit-level-4'. (Bug#8522)
16953 2013-07-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
16955 * net/shr.el (shr-mouse-browse-url): New command and keystroke
16958 * net/eww.el (eww-process-text-input): Allow inputting when the
16959 point is at the start of the line, as the properties aren't
16962 * net/shr.el (shr-make-table-1): Ensure that we don't infloop on
16965 2013-07-19 Richard Stallman <rms@gnu.org>
16967 * epa.el (epa-popup-info-window): Doc fix.
16969 * subr.el (split-string): New arg TRIM.
16971 2013-07-18 Juanma Barranquero <lekktu@gmail.com>
16973 * frame.el (blink-cursor-timer-function, blink-cursor-suspend):
16974 Add check for W32 (followup to 2013-07-16T11:41:06Z!jan.h.d@swipnet.se).
16976 2013-07-18 Michael Albinus <michael.albinus@gmx.de>
16978 * filenotify.el (file-notify--library): Rename from
16979 `file-notify-support'. Do not autoload. Adapt all uses.
16980 (file-notify-supported-p): New defun.
16982 * autorevert.el (auto-revert-use-notify):
16983 Use `file-notify-supported-p' instead of `file-notify-support'.
16985 (auto-revert-notify-add-watch): Use `file-notify-supported-p'.
16987 * net/tramp.el (tramp-file-name-for-operation):
16988 Add `file-notify-supported-p'.
16990 * net/tramp-sh.el (tramp-sh-handle-file-notify-supported-p):
16992 (tramp-sh-file-name-handler-alist): Add it as handler for
16993 `file-notify-supported-p '.
16995 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
16996 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
16997 * net/tramp-smb.el (tramp-smb-file-name-handler-alist):
16998 Add `ignore' as handler for `file-notify-*' functions.
17000 2013-07-17 Eli Zaretskii <eliz@gnu.org>
17002 * simple.el (line-move-partial, line-move): Don't start vscroll or
17003 scroll-up if the current line is not taller than the window.
17006 2013-07-16 Dmitry Gutov <dgutov@yandex.ru>
17008 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Do not
17009 highlight question marks in the method names as strings.
17010 (ruby-block-beg-keywords): Inline.
17011 (ruby-font-lock-keyword-beg-re): Extract from
17012 `ruby-font-lock-keywords'.
17014 2013-07-16 Jan Djärv <jan.h.d@swipnet.se>
17016 * frame.el (blink-cursor-blinks): New defcustom.
17017 (blink-cursor-blinks-done): New defvar.
17018 (blink-cursor-start): Set blink-cursor-blinks-done to 1.
17019 (blink-cursor-timer-function): Check if number of blinks has been
17021 (blink-cursor-suspend, blink-cursor-check): New defuns.
17023 2013-07-15 Glenn Morris <rgm@gnu.org>
17025 * edmacro.el (edmacro-format-keys): Fix previous change.
17027 2013-07-15 Paul Eggert <eggert@cs.ucla.edu>
17029 * shell.el (explicit-bash-args): Remove obsolete hack for Bash 1.x.
17030 The hack didn't work outside English locales anyway.
17032 2013-07-15 Juanma Barranquero <lekktu@gmail.com>
17034 * simple.el (define-alternatives): Rename from alternatives-define,
17035 per RMS' suggestion.
17037 2013-07-14 Juanma Barranquero <lekktu@gmail.com>
17039 * desktop.el (desktop-restore-frames): Change default to t.
17040 (desktop-restore-in-current-display): Now offer more options.
17041 (desktop-restoring-reuses-frames): New customization option.
17042 (desktop--saved-states): Doc fix.
17043 (desktop-filter-parameters-alist): New variable, renamed and expanded
17044 from desktop--excluded-frame-parameters.
17045 (desktop--target-display): New variable.
17046 (desktop-switch-to-gui-p, desktop-switch-to-tty-p)
17047 (desktop--filter-tty*, desktop--filter-*-color)
17048 (desktop--filter-minibuffer, desktop--filter-restore-desktop-parm)
17049 (desktop--filter-save-desktop-parm)
17050 (desktop-restore-in-original-display-p): New functions.
17051 (desktop--filter-frame-parms): Use new desktop-filter-parameters-alist.
17052 (desktop--save-minibuffer-frames): New function, inspired by a similar
17053 function from Martin Rudalics.
17054 (desktop--save-frames): Call it; play nice with desktop-globals-to-save.
17055 (desktop--restore-in-this-display-p): Remove.
17056 (desktop--find-frame): Rename from desktop--find-frame-in-display
17057 and add predicate argument.
17058 (desktop--make-full-frame): Remove, integrated into desktop--make-frame.
17059 (desktop--reuse-list): New variable.
17060 (desktop--select-frame, desktop--make-frame, desktop--sort-states):
17062 (desktop--restore-frames): Add support for "minibuffer-special" frames.
17064 2013-07-14 Michael Albinus <michael.albinus@gmx.de>
17066 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Use `ignore-error'.
17068 2013-07-13 Dmitry Gutov <dgutov@yandex.ru>
17070 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
17071 Highlight conversion methods on Kernel.
17073 2013-07-13 Alan Mackenzie <acm@muc.de>
17075 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): Label CASE 13
17076 and comment it out. This out-commenting enables certain C++
17077 declarations to be parsed correctly.
17079 2013-07-13 Eli Zaretskii <eliz@gnu.org>
17081 * international/mule.el (define-coding-system): Doc fix.
17083 * simple.el (default-font-height): Don't call font-info if the
17084 frame's default font didn't change since the frame was created.
17087 2013-07-13 Leo Liu <sdl.web@gmail.com>
17089 * ido.el (ido-read-file-name): Guard against non-symbol value.
17091 2013-07-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
17093 * progmodes/python.el (python-imenu--build-tree): Fix corner case
17096 2013-07-13 Leo Liu <sdl.web@gmail.com>
17098 * ido.el (ido-exhibit): Handle ido-enter-matching-directory before
17099 ido-set-matches call. (Bug#6852)
17101 2013-07-12 Dmitry Gutov <dgutov@yandex.ru>
17103 * progmodes/ruby-mode.el (ruby-percent-literals-beg-re)
17104 (ruby-syntax-expansion-allowed-p): Support array of symbols, for
17106 (ruby-font-lock-keywords): Distinguish calls to functions with
17107 module-like names from module references. Highlight character
17110 2013-07-12 Sergio Durigan Junior <sergiodj@riseup.net> (tiny change)
17112 * progmodes/gdb-mi.el (gdb-strip-string-backslash): New function.
17113 (gdb-send): Handle continued commands. (Bug#14847)
17115 2013-07-12 Juanma Barranquero <lekktu@gmail.com>
17117 * desktop.el (desktop--v2s): Remove unused local variable.
17118 (desktop-save-buffer): Make defvar-local; adjust docstring.
17119 (desktop-auto-save-timeout, desktop-owner): Use ignore-errors.
17120 (desktop-clear, desktop-save-buffer-p): Use string-match-p.
17122 2013-07-12 Andreas Schwab <schwab@linux-m68k.org>
17124 * emacs-lisp/map-ynp.el (map-y-or-n-p): Fix last change.
17126 2013-07-12 Eli Zaretskii <eliz@gnu.org>
17128 * simple.el (next-line, previous-line): Document TRY-VSCROLL and ARG.
17131 2013-07-12 Glenn Morris <rgm@gnu.org>
17133 * doc-view.el: Require cl-lib at runtime too.
17134 (doc-view-remove-if): Remove.
17135 (doc-view-search-next-match, doc-view-search-previous-match):
17138 * edmacro.el: Require cl-lib at runtime too.
17139 (edmacro-format-keys, edmacro-parse-keys): Use cl-mismatch, cl-subseq.
17140 (edmacro-mismatch, edmacro-subseq): Remove.
17142 * shadowfile.el: Require cl-lib.
17143 (shadow-remove-if): Remove.
17144 (shadow-set-cluster, shadow-shadows-of-1, shadow-remove-from-todo):
17147 * wid-edit.el: Require cl-lib.
17148 (widget-choose): Use cl-remove-if.
17149 (widget-remove-if): Remove.
17151 * progmodes/ebrowse.el: Require cl-lib at runtime too.
17152 (ebrowse-delete-if-not): Remove.
17153 (ebrowse-browser-buffer-list, ebrowse-member-buffer-list)
17154 (ebrowse-tree-buffer-list, ebrowse-same-tree-member-buffer-list):
17155 Use cl-delete-if-not.
17157 2013-07-12 Juanma Barranquero <lekktu@gmail.com>
17159 * emacs-lisp/cl-macs.el (cl-multiple-value-bind, cl-multiple-value-setq)
17160 (cl-the, cl-declare, cl-defstruct): Fix typos in docstrings.
17162 2013-07-12 Leo Liu <sdl.web@gmail.com>
17164 * ido.el (dired-do-copy, dired): Set 'ido property. (Bug#11954)
17166 2013-07-11 Glenn Morris <rgm@gnu.org>
17168 * emacs-lisp/edebug.el: Require cl-lib at run-time too.
17169 (edebug-gensym-index, edebug-gensym):
17170 Remove reimplementation of cl-gensym.
17171 (edebug-make-enter-wrapper, edebug-make-form-wrapper): Use cl-gensym.
17173 * thumbs.el: Require cl-lib at run-time too.
17174 (thumbs-gensym-counter, thumbs-gensym):
17175 Remove reimplementation of cl-gensym.
17176 (thumbs-temp-file): Use cl-gensym.
17178 * emacs-lisp/ert.el: Require cl-lib at runtime too.
17179 (ert--cl-do-remf, ert--remprop, ert--remove-if-not)
17180 (ert--intersection, ert--set-difference, ert--set-difference-eq)
17181 (ert--union, ert--gensym-counter, ert--gensym-counter)
17182 (ert--coerce-to-vector, ert--remove*, ert--string-position)
17183 (ert--mismatch, ert--subseq): Remove reimplementations of cl funcs.
17184 (ert-make-test-unbound, ert--expand-should-1)
17185 (ert--expand-should, ert--should-error-handle-error)
17186 (should-error, ert--explain-equal-rec)
17187 (ert--plist-difference-explanation, ert-select-tests)
17188 (ert--make-stats, ert--remove-from-list, ert--string-first-line):
17189 Use cl-lib functions rather than reimplementations.
17191 2013-07-11 Michael Albinus <michael.albinus@gmx.de>
17193 * net/tramp.el (tramp-methods): Extend docstring.
17194 (tramp-connection-timeout): New defcustom.
17195 (tramp-error-with-buffer): Reset timestamp only when appropriate.
17196 (with-tramp-progress-reporter): Simplify.
17197 (tramp-process-actions): Improve messages.
17199 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
17200 * net/tramp-sh.el (tramp-maybe-open-connection):
17201 Use `tramp-connection-timeout'.
17202 (tramp-methods) <su, sudo, ksu>: Add method specific timeouts.
17205 2013-07-11 Leo Liu <sdl.web@gmail.com>
17207 * ido.el (ido-read-file-name): Conform to the requirements of
17208 read-file-name. (Bug#11861)
17209 (ido-read-directory-name): Conform to the requirements of
17210 read-directory-name.
17212 2013-07-11 Juanma Barranquero <lekktu@gmail.com>
17214 * subr.el (delay-warning): New function.
17216 2013-07-10 Eli Zaretskii <eliz@gnu.org>
17218 * simple.el (default-line-height): New function.
17219 (line-move-partial, line-move): Use it instead of computing the
17220 line height inline.
17221 (line-move-partial): Always compute ROWH. If the last line is
17222 partially-visible, but its text is completely visible, allow
17223 cursor to enter such a partially-visible line.
17225 2013-07-10 Michael Albinus <michael.albinus@gmx.de>
17227 Improve error messages. (Bug#14808)
17229 * net/tramp.el (tramp-current-connection): New defvar, moved from
17231 (tramp-message-show-progress-reporter-message): Remove, not
17233 (tramp-error-with-buffer): Show message in minibuffer.
17234 Discard input before waiting. Reset connection timestamp.
17235 (with-tramp-progress-reporter): Improve messages.
17236 (tramp-process-actions): Use progress reporter. Delete process in
17237 case of error. Improve messages.
17239 * net/tramp-sh.el (tramp-barf-if-no-shell-prompt): Use condition-case.
17240 Call `tramp-error-with-buffer' with vector and buffer.
17241 (tramp-current-connection): Remove.
17242 (tramp-maybe-open-connection): The car of
17243 `tramp-current-connection' are the first 3 slots of the vector.
17245 2013-07-10 Teodor Zlatanov <tzz@lifelogs.com>
17247 * progmodes/cfengine.el (cfengine3-indent-line): Do not indent
17248 inside continued strings.
17250 2013-07-10 Paul Eggert <eggert@cs.ucla.edu>
17252 Timestamp fixes for undo (Bug#14824).
17253 * files.el (clear-visited-file-modtime): Move here from fileio.c.
17255 2013-07-10 Leo Liu <sdl.web@gmail.com>
17257 * files.el (require-final-newline): Allow safe local value.
17260 2013-07-09 Leo Liu <sdl.web@gmail.com>
17262 * ido.el (ido-read-directory-name): Handle fallback.
17263 (ido-read-file-name): Update DIR to ido-current-directory.
17265 (ido-add-virtual-buffers-to-list): Robustify. (Bug#14552)
17267 2013-07-09 Dmitry Gutov <dgutov@yandex.ru>
17269 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Remove extra
17270 "autoload". Remove "warn lower camel case" section, previously
17271 commented out. Highlight negation char. Do not highlight the
17272 target in singleton method definitions.
17274 2013-07-08 Stefan Monnier <monnier@iro.umontreal.ca>
17276 * faces.el (tty-setup-hook): Declare the hook.
17278 * emacs-lisp/pcase.el (pcase--split-pred): Add `vars' argument to try
17279 and detect when a guard/pred depends on local vars (bug#14773).
17280 (pcase--u1): Adjust caller.
17282 2013-07-08 Eli Zaretskii <eliz@gnu.org>
17284 * simple.el (line-move-partial, line-move): Account for
17286 (line-move-partial): Avoid setting vscroll when the last
17287 partially-visible line in window is of default height.
17289 2013-07-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
17291 * net/shr.el (shr-map): Reinstate the `u' key binding, since it's
17294 2013-07-07 Juanma Barranquero <lekktu@gmail.com>
17296 * subr.el (read-quoted-char): Remove unused local variable `char'.
17298 2013-07-07 Michael Kifer <kifer@cs.stonybrook.edu>
17300 * vc/ediff.el (ediff-version): Version update.
17301 (ediff-files-command, ediff3-files-command, ediff-merge-command)
17302 (ediff-merge-with-ancestor-command, ediff-directories-command)
17303 (ediff-directories3-command, ediff-merge-directories-command)
17304 (ediff-merge-directories-with-ancestor-command): New functions.
17305 All are command-line interfaces to ediff: to facilitate calling
17306 Emacs with the appropriate ediff functions invoked.
17308 * emulation/viper-cmd.el (viper-del-forward-char-in-insert):
17310 (viper-save-kill-buffer): Check if buffer is modified.
17312 * emulation/viper.el (viper-version): Version update.
17313 (viper-emacs-state-mode-list): Add egg-status-buffer-mode.
17315 2013-07-07 Stefan Monnier <monnier@iro.umontreal.ca>
17317 * faces.el (tty-run-terminal-initialization): Run new tty-setup-hook.
17318 * emulation/viper-cmd.el (viper-envelop-ESC-key): Remove function.
17319 (viper-intercept-ESC-key): Simplify.
17320 * emulation/viper-keym.el (viper-ESC-key): Make it a constant,
17322 * emulation/viper.el (viper--tty-ESC-filter, viper--lookup-key)
17323 (viper-catch-tty-ESC, viper-uncatch-tty-ESC)
17324 (viper-setup-ESC-to-escape): New functions.
17325 (viper-go-away, viper-set-hooks): Call viper-setup-ESC-to-escape.
17326 (viper-set-hooks): Do not modify flyspell-mode-hook. (Bug#13793)
17328 2013-07-07 Eli Zaretskii <eliz@gnu.org>
17330 * simple.el (default-font-height, window-screen-lines):
17332 (line-move, line-move-partial): Use them instead of
17333 frame-char-height and window-text-height. This makes scrolling
17334 text smoother when the buffer's default face uses a font that is
17335 different from the frame's default font.
17337 2013-07-06 Jan Djärv <jan.h.d@swipnet.se>
17339 * files.el (write-file): Do not display confirm dialog for NS,
17340 it does its own dialog, which can't be canceled (Bug#14578).
17342 2013-07-06 Eli Zaretskii <eliz@gnu.org>
17344 * simple.el (line-move-partial): Adjust the row returned by
17345 posn-at-point for the current window-vscroll. (Bug#14567)
17347 2013-07-06 Michael Albinus <michael.albinus@gmx.de>
17349 * net/tramp-sh.el (tramp-sh-file-gvfs-monitor-dir-process-filter)
17350 (tramp-sh-file-inotifywait-process-filter): Handle file names with
17353 2013-07-06 Martin Rudalics <rudalics@gmx.at>
17355 * window.el (window-state-put-stale-windows): New variable.
17356 (window--state-put-2): Save list of windows without matching buffer.
17357 (window-state-put): Remove "bufferless" windows if possible.
17359 2013-07-06 Juanma Barranquero <lekktu@gmail.com>
17361 * simple.el (alternatives-define): Remove leftover :group keyword.
17364 2013-07-06 Leo Liu <sdl.web@gmail.com>
17366 * ido.el (ido-use-virtual-buffers): Allow new value 'auto.
17367 (ido-enable-virtual-buffers): New variable.
17368 (ido-buffer-internal, ido-toggle-virtual-buffers)
17369 (ido-make-buffer-list): Use it.
17370 (ido-exhibit): Support turning on and off virtual buffers
17373 2013-07-06 Juanma Barranquero <lekktu@gmail.com>
17375 * simple.el (alternatives-define): New macro.
17377 2013-07-06 Stefan Monnier <monnier@iro.umontreal.ca>
17379 * subr.el (read-quoted-char): Use read-key.
17380 (sit-for): Let read-event decode tty input (bug#14782).
17382 2013-07-05 Stephen Berman <stephen.berman@gmx.net>
17384 * calendar/todo-mode.el: Add handling of file deletion, both by
17385 mode command and externally. Fix various related bugs.
17386 Clarify Commentary and improve some documentation strings and code.
17387 (todo-delete-file): New command.
17388 (todo-check-file): New function.
17389 (todo-show): Handle external deletion of the file we're trying to
17390 show (bug#14688). Replace called-interactively-p by an optional
17391 prefix argument to avoid problematic interaction with catch form
17392 when byte compiled (bug#14702).
17393 (todo-quit): Handle external deletion of the archive's todo file.
17394 Make sure the buffer that was visiting the archive file is still
17395 live before trying to bury it.
17396 (todo-category-completions): Handle external deletion of any
17397 category completion files.
17398 (todo-jump-to-category, todo-basic-insert-item): Recalculate list
17399 of todo files, in case of external deletion.
17400 (todo-add-file): Replace unnecessary setq by let-binding.
17401 (todo-find-archive): Check whether there are any archives.
17402 Replace unnecessary setq by let-binding.
17403 (todo-archive-done-item): Use find-file-noselect to get the
17404 archive buffer whether or not the archive already exists.
17405 Remove superfluous code. Use file size instead of buffer-file-name to
17406 check if the archive is new; if it is, update list of archives.
17407 (todo-default-todo-file): Allow nil to be a valid value for when
17408 there are no todo files.
17409 (todo-reevaluate-default-file-defcustom): Use corrected definition
17410 of todo-default-todo-file.
17411 (todo-key-bindings-t+a+f): Add key binding for todo-delete-file.
17412 (todo-delete-category, todo-show-categories-table)
17413 (todo-category-number): Clarify comment.
17414 (todo-filter-items): Clarify documentation string.
17415 (todo-show-current-file, todo-display-as-todo-file)
17416 (todo-reset-and-enable-done-separator): Tweak documentation string.
17417 (todo-done-separator): Make separator length window-width, since
17418 bug#2749 is now fixed.
17420 2013-07-05 Michael Albinus <michael.albinus@gmx.de>
17422 * net/tramp-sh.el (tramp-sh-handle-file-notify-add-watch):
17423 Support both "gvfs-monitor-dir" and "inotifywait".
17424 (tramp-sh-file-inotifywait-process-filter): Rename from
17425 `tramp-sh-file-notify-process-filter'.
17426 (tramp-sh-file-gvfs-monitor-dir-process-filter)
17427 (tramp-get-remote-gvfs-monitor-dir): New defuns.
17429 2013-07-05 Leo Liu <sdl.web@gmail.com>
17431 * autoinsert.el (auto-insert-alist): Default to lexical-binding.
17433 2013-07-04 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
17435 * frame.el (display-pixel-height, display-pixel-width)
17436 (display-mm-height, display-mm-width): Mention behavior on
17437 multi-monitor setups in docstrings.
17438 (w32-display-monitor-attributes-list): Declare function.
17439 (display-monitor-attributes-list): Use it.
17441 2013-07-04 Michael Albinus <michael.albinus@gmx.de>
17443 * filenotify.el: New package.
17445 * autorevert.el (top): Require filenotify.el.
17446 (auto-revert-notify-enabled): Remove. Use `file-notify-support'
17448 (auto-revert-notify-rm-watch, auto-revert-notify-add-watch)
17449 (auto-revert-notify-handler): Use `file-notify-*' functions.
17451 * subr.el (file-notify-handle-event): Move function to filenotify.el.
17453 * net/tramp.el (tramp-file-name-for-operation):
17454 Handle `file-notify-add-watch' and `file-notify-rm-watch'.
17456 * net/tramp-sh.el (tramp-sh-file-name-handler-alist): Add handler
17457 for `file-notify-add-watch' and `file-notify-rm-watch'.
17458 (tramp-process-sentinel): Improve trace.
17459 (tramp-sh-handle-file-notify-add-watch)
17460 (tramp-sh-file-notify-process-filter)
17461 (tramp-sh-handle-file-notify-rm-watch)
17462 (tramp-get-remote-inotifywait): New defuns.
17464 2013-07-03 Juri Linkov <juri@jurta.org>
17466 * buff-menu.el (Buffer-menu-multi-occur): Add args and move the
17467 call of `occur-read-primary-args' to interactive spec.
17469 * ibuffer.el (ibuffer-mode-map): Bind "M-s a C-o" to
17470 `ibuffer-do-occur' like in buff-menu.el. (Bug#14673)
17472 2013-07-03 Matthias Meulien <orontee@gmail.com>
17474 * buff-menu.el (Buffer-menu-mode-map): Bind "M-s a C-o" to
17475 `Buffer-menu-multi-occur'. Add it to the menu.
17476 (Buffer-menu-mode): Document it in docstring.
17477 (Buffer-menu-multi-occur): New command. (Bug#14673)
17479 2013-07-03 Dmitry Gutov <dgutov@yandex.ru>
17481 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Highlight more
17482 keywords and built-ins.
17484 2013-07-03 Glenn Morris <rgm@gnu.org>
17486 * subr.el (y-or-n-p): Handle empty prompts. (Bug#14770)
17488 Make info-xref checks case-sensitive by default
17489 * info.el (Info-find-node, Info-find-in-tag-table)
17490 (Info-find-node-in-buffer, Info-find-node-2, Info-goto-node):
17491 Add option for exact case matching of nodes.
17492 * info-xref.el (info-xref): New custom group.
17493 (info-xref-case-fold): New option.
17494 (info-xref-goto-node-p): Pass info-xref-case-fold to Info-goto-node.
17496 2013-07-03 Leo Liu <sdl.web@gmail.com>
17498 * ido.el (ido-delete-file-at-head): Respect delete-by-moving-to-trash.
17500 2013-07-03 Dmitry Gutov <dgutov@yandex.ru>
17502 * progmodes/ruby-mode.el (ruby-move-to-block): When we're at a
17503 middle of block statement initially, lower the depth. Remove
17504 FIXME comment, not longer valid. Remove middle of block statement
17505 detection, no need to do that anymore since we've been using
17506 `ruby-parse-region' here.
17508 2013-07-02 Jan Djärv <jan.h.d@swipnet.se>
17510 * term/ns-win.el (display-format-alist): Use .* (Bug#14765).
17512 2013-07-01 Katsumi Yamaoka <yamaoka@jpl.org>
17514 * wid-edit.el (widget-default-get): Don't modify widget (Bug#14738).
17516 2013-07-01 Juanma Barranquero <lekktu@gmail.com>
17518 * desktop.el (desktop-restore-frames): Rename from desktop-save-windows.
17519 (desktop-restore-in-current-display): New customization option.
17520 (desktop--excluded-frame-parameters): Add `font'.
17521 (desktop--save-frames): Rename from desktop--save-windows.
17522 (desktop--restore-in-this-display-p): New function.
17523 (desktop--make-full-frame): Remove unwanted width/height from
17524 full(width|height) frames.
17525 (desktop--restore-frames): Rename from desktop--restore-windows.
17526 Obey desktop-restore-current-display. Do not delete old frames or
17527 select a new frame unless we were able to restore at least one frame.
17529 2013-06-30 Michal Nazarewicz <mina86@mina86.com>
17531 * files.el (find-file-noselect): Simplify conditional expression.
17533 * textmodes/remember.el (remember-append-to-file):
17534 Don't mix `find-buffer-visiting' and `get-file-buffer'.
17536 Add `remember-notes' function to store random notes across Emacs
17538 * textmodes/remember.el (remember-data-file): Add :set callback to
17539 affect notes buffer (if any).
17540 (remember-notes): New command.
17541 (remember-notes-buffer-name, bury-remember-notes-on-kill):
17542 New defcustoms for the `remember-notes' function.
17543 (remember-notes-save-and-bury-buffer): New command.
17544 (remember-notes-mode-map): New variable.
17545 (remember-mode): New minor mode.
17546 (remember-notes--kill-buffer-query): New function.
17547 * startup.el (initial-buffer-choice): Add notes to custom type.
17549 2013-06-30 Eli Zaretskii <eliz@gnu.org>
17551 * bindings.el (right-char, left-char): Don't call sit-for, this is
17552 no longer needed. Use arithmetic comparison only for numerical
17555 * international/mule-cmds.el (select-safe-coding-system):
17556 Handle the case of FROM being a string correctly. (Bug#14755)
17558 2013-06-30 Lars Magne Ingebrigtsen <larsi@gnus.org>
17560 * net/shr.el (shr-make-table-1): Add a sanity check that allows
17561 progression on degenerate tables.
17562 (shr-rescale-image): ImageMagick animated images currently don't work.
17564 2013-06-30 Juanma Barranquero <lekktu@gmail.com>
17566 Some fixes and improvements for desktop frame restoration.
17567 It is still experimental and disabled by default.
17568 * desktop.el (desktop--save-windows): Put the selected frame at
17569 the head of the list.
17570 (desktop--make-full-frame): New function.
17571 (desktop--restore-windows): Try to re-select the frame that was
17572 selected upon saving. Do not abort if some frames fail to restore,
17573 just show an error message and continue. Set up maximized frames
17574 so they have default non-maximized dimensions.
17576 2013-06-30 Dmitry Gutov <dgutov@yandex.ru>
17578 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
17579 Don't start heredoc inside a string or comment.
17581 2013-06-29 Eli Zaretskii <eliz@gnu.org>
17583 * bindings.el (visual-order-cursor-movement): New defcustom.
17584 (right-char, left-char): Provide visual-order cursor motion by
17585 calling move-point-visually. Update the doc strings.
17587 2013-06-28 Kenichi Handa <handa@gnu.org>
17589 * international/mule.el (define-coding-system): New coding system
17590 properties :inhibit-null-byte-detection,
17591 :inhibit-iso-escape-detection, and :prefer-utf-8.
17592 (set-buffer-file-coding-system): If :charset-list property of
17593 CODING-SYSTEM is `emacs', do not check if CODING-SYSTEM is
17594 appropriate for setting.
17596 * international/mule-cmds.el (select-safe-coding-system):
17597 If DEFAULT-CODING-SYSTEM is prefer-utf-8 and the buffer contains
17598 multibyte characters, return utf-8 (or one of its siblings).
17600 * international/mule-conf.el (prefer-utf-8): New coding system.
17601 (file-coding-system-alist): Use prefer-utf-8 as default for Elisp
17604 2013-06-28 Ivan Kanis <ivan@kanis.fr>
17606 * net/shr.el (shr-render-region): New function.
17608 * net/eww.el: Autoload `eww-browse-url'.
17610 2013-06-27 Dmitry Gutov <dgutov@yandex.ru>
17612 * emacs-lisp/package-x.el (package-upload-buffer-internal):
17613 Adapt to `package-desc-version' being a list.
17614 Use `package--ac-desc-version' to retrieve version from a package
17617 2013-06-27 Juanma Barranquero <lekktu@gmail.com>
17619 New experimental feature to save&restore window and frame setup.
17620 * desktop.el (desktop-save-windows): New defcustom.
17621 (desktop--saved-states): New var.
17622 (desktop--excluded-frame-parameters): New defconst.
17623 (desktop--filter-frame-parms, desktop--find-frame-in-display)
17624 (desktop--restore-windows, desktop--save-windows): New functions.
17625 (desktop-save): Call `desktop--save-windows'.
17626 (desktop-read): Call `desktop--restore-windows'.
17628 2013-06-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
17630 * net/shr.el (add-face-text-property): Remove compat definition.
17632 2013-06-27 Stephen Berman <stephen.berman@gmx.net>
17634 * info.el (Info-try-follow-nearest-node): Move search for footnote
17635 above search for node name to prevent missing a footnote (bug#14717).
17637 2013-06-27 Stephen Berman <stephen.berman@gmx.net>
17639 * obsolete/otodo-mode.el: Add obsolescence info to file header.
17641 2013-06-27 Leo Liu <sdl.web@gmail.com>
17643 * net/eww.el (eww-read-bookmarks): Check file size.
17645 2013-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
17647 * emacs-lisp/nadvice.el (advice--defalias-fset): Move advice back to
17648 advice--pending if newdef is nil or an autoload (bug#13820).
17649 (advice-mapc): New function.
17651 2013-06-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
17653 * net/eww.el (eww-mode): Undo isn't necessary in eww buffers,
17655 (eww-mode-map): Add a menu bar.
17656 (eww-add-bookmark): New command.
17657 (eww-bookmark-mode): New mode and commands.
17658 (eww-add-bookmark): Remove newlines from the title.
17659 (eww-bookmark-browse): Don't bug out if it's the only window.
17661 2013-06-26 Glenn Morris <rgm@gnu.org>
17663 * htmlfontify.el (hfy-triplet): Handle unspecified-fg, bg.
17664 (hfy-size): Handle ttys. (Bug#14668)
17666 * info-xref.el: Update for Texinfo 5 change in *note format.
17667 (info-xref-node-re, info-xref-note-re): New constants.
17668 (info-xref-check-buffer): Use info-xref-note-re.
17670 2013-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
17672 * simple.el (set-variable): Use read-from-minibuffer (bug#14710).
17674 * emacs-lisp/package.el (package--add-to-archive-contents): Add missing
17675 nil terminate the loop (bug#14718).
17677 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
17679 * net/eww.el: Rework history traversal. When going forward/back,
17680 put these actions into the history, too, so that they can be
17682 (eww-render): Move the history reset to the correct buffer.
17684 2013-06-25 Juri Linkov <juri@jurta.org>
17686 * files-x.el (modify-dir-local-variable): Change the header comment
17687 in the file with directory local variables. (Bug#14692)
17689 * files-x.el (read-file-local-variable-value): Add `default'.
17692 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
17694 * net/eww.el (eww-make-unique-file-name): Create a unique file
17695 name before saving to entering `y' accidentally asynchronously.
17697 2013-06-25 Ivan Kanis <ivan@kanis.fr>
17699 * net/eww.el (eww-download): New command and keystroke.
17701 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
17703 * net/eww.el (eww-copy-page-url): Change name of command.
17705 * net/shr.el (shr-map): Change `shr-copy-url' from `u' to `w' to
17706 be more consistent with Info and dired.
17708 * net/eww.el (eww-mode-map): Ditto.
17710 2013-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
17712 * emacs-lisp/package.el: Use lexical-binding. Include obsolete
17713 packages from archives.
17714 (package-archive-contents): Change format; include obsolete packages.
17715 (package-desc): Use `dir' to mark builtin packages.
17716 (package--from-builtin): Set the `dir' field to `builtin'.
17717 (generated-autoload-file, version-control): Declare.
17718 (package-compute-transaction): Change first arg and return value to be
17719 lists of package-descs. Adjust to new package-archive-contents format.
17720 (package--add-to-archive-contents): Adjust to new
17721 package-archive-contents format.
17722 (package-download-transaction): Arg is now a list of package-descs.
17723 (package-install): If `pkg' is a package name, pass it as
17724 a requirement, so it is subject to the usual (e.g. disabled) checks.
17725 (describe-package): Accept package-desc as well.
17726 (describe-package-1): Describe a specific package-desc. Add links to
17727 other package-descs for the same package name.
17728 (package-menu-describe-package): Pass the actual package-desc.
17729 (package-menu-mode): Add to tabulated-list-revert-hook so revert-buffer
17731 (package-desc-status): New function.
17732 (package-menu--refresh): New function, extracted
17733 from package-menu--generate.
17734 (package-menu--generate): Use it.
17735 (package-delete): Update package-alist.
17736 (package-menu-execute): Don't call package-initialize.
17738 * progmodes/idlw-toolbar.el, progmodes/idlw-shell.el,
17739 progmodes/idlw-help.el, progmodes/idlw-complete-structtag.el,
17740 progmodes/ebnf-yac.el, progmodes/ebnf-otz.el, progmodes/ebnf-iso.el,
17741 progmodes/ebnf-ebx.el, progmodes/ebnf-dtd.el, progmodes/ebnf-bnf.el,
17742 progmodes/ebnf-abn.el, emacs-lisp/package-x.el, emacs-lisp/cl-seq.el,
17743 emacs-lisp/cl-macs.el: Neuter the "Version:" header.
17745 2013-06-25 Martin Rudalics <rudalics@gmx.at>
17747 * window.el (window--state-get-1): Workaround for bug#14527.
17748 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00941.html
17750 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
17752 * net/eww.el (eww-back-url): Implement the history by stashing all
17753 the data into a list.
17754 (eww-forward-url): Allow going forward in the history, too.
17756 2013-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
17758 * files-x.el (read-file-local-variable-value): Use read-from-minibuffer
17759 for values and use read--expression for expressions (bug#14710).
17760 (read-file-local-variable): Avoid setq.
17761 (read-file-local-variable-mode): Use minor-mode-list.
17763 2013-06-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
17765 * textmodes/bibtex.el (bibtex-generate-url-list): Add support
17768 2013-06-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
17770 * textmodes/bibtex.el (bibtex-mode, bibtex-set-dialect):
17771 Update imenu-support when dialect changes.
17773 2013-06-25 Leo Liu <sdl.web@gmail.com>
17775 * ido.el (ido-read-internal): Allow forward slash on windows.
17777 2013-06-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
17779 * net/eww.el (eww): Start of strings is \\`, not ^.
17781 2013-06-24 Ivan Kanis <ivan@kanis.fr>
17783 * net/shr.el (shr-browse-url): Fix interactive spec.
17785 * net/eww.el (eww): Add a trailing slash to domain names.
17787 2013-06-24 Juanma Barranquero <lekktu@gmail.com>
17789 * faces.el (face-spec-recalc): Revert part of 2013-06-23T20:29:18Z!lekktu@gmail.com (bug#14705).
17791 2013-06-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
17793 * net/shr.el (shr-browse-url): Use an external browser if given a
17796 * net/eww.el (eww-external-browser): Move to shr.
17798 2013-06-24 Ivan Kanis <ivan@kanis.fr>
17800 * net/eww.el (eww): Work more correctly for file: URLs.
17801 (eww-detect-charset): Allow quoted charsets.
17802 (eww-yank-page-url): New command and keystroke.
17804 2013-06-24 Daiki Ueno <ueno@gnu.org>
17806 * epg.el (epg-make-context): Check if PROTOCOL is valid; embed the
17807 file name of gpg executable.
17808 (epg-context-program): New function.
17809 (epg-context-home-directory): New function.
17810 (epg-context-set-program): New function.
17811 (epg-context-set-home-directory): New function.
17812 (epg--start): Use `epg-context-program' instead of
17814 (epg--list-keys-1): Likewise.
17816 2013-06-24 Leo Liu <sdl.web@gmail.com>
17818 * ido.el (ido-read-internal): Fix bug#14620.
17820 2013-06-23 Juanma Barranquero <lekktu@gmail.com>
17822 * faces.el (face-documentation): Simplify.
17823 (read-face-attribute, tty-find-type, x-resolve-font-name):
17824 Use `string-match-p'.
17825 (list-faces-display): Use `string-match-p'. Simplify.
17826 (face-spec-recalc): Check face to avoid face alias loops.
17827 (read-color): Use `string-match-p' and non-capturing parenthesis.
17829 2013-06-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
17831 * net/shr.el (shr-rescale-image): Use the new
17832 :max-width/:max-height functionality.
17834 2013-06-23 Ivan Kanis <ivan@kanis.fr>
17836 * net/eww.el (eww-search-prefix): New variable.
17838 (eww-external-browser): New variable.
17839 (eww-mode-map): New keystroke.
17840 (eww-browse-with-external-browser): New command.
17842 * net/eww.el: Bind `C-c C-c' to "submit" in all form keymaps.
17844 2013-06-23 Juanma Barranquero <lekktu@gmail.com>
17846 * emacs-lisp/tabulated-list.el (tabulated-list-init-header):
17847 Don't skip aligning the next header field when padding is 0;
17848 otherwise, field width is not respected unless the title is as
17851 2013-06-22 Stefan Monnier <monnier@iro.umontreal.ca>
17853 * emacs-lisp/package.el (package-el-version): Remove.
17854 (package-process-define-package): Fix inf-loop.
17855 (package-install): Allow symbols as arguments again.
17857 2013-06-22 Dmitry Gutov <dgutov@yandex.ru>
17859 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Move `catch',
17860 add some more keyword-like methods.
17861 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00911.html
17863 2013-06-22 Juanma Barranquero <lekktu@gmail.com>
17865 * bs.el (bs-buffer-show-mark): Make defvar-local.
17866 (bs-mode): Use setq-local.
17868 * emacs-lock.el (emacs-lock-mode, emacs-lock--old-mode)
17869 (emacs-lock--try-unlocking): Make defvar-local.
17871 2013-06-22 Glenn Morris <rgm@gnu.org>
17873 * play/cookie1.el (cookie-apropos): Minor simplification.
17875 * progmodes/gdb-mi.el (gdb-mapcar*): Remove, replace with cl-mapcar.
17877 2013-06-22 Dmitry Gutov <dgutov@yandex.ru>
17879 * progmodes/ruby-mode.el (auto-mode-alist): Do not use
17880 `regexp-opt', it breaks the build during dumping.
17882 2013-06-21 Dmitry Gutov <dgutov@yandex.ru>
17884 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
17885 Highlight keyword-like methods on Kernel and Module with
17886 font-lock-builtin-face.
17887 (auto-mode-alist): Consolidate different entries into one regexp
17888 and add more *file-s.
17890 2013-06-21 Stephen Berman <stephen.berman@gmx.net>
17892 * obsolete/otodo-mode.el: Move and rename from calendar/todo-mode.el.
17894 * calendar/diary-lib.el (diary-goto-entry-function): New variable.
17895 (diary-entry): Use it in the action of this button type instead of
17898 * calendar/todo-mode.el: New version.
17899 (todo-add-category): Append new category to end of file and give
17900 it the highest number, instead of putting it at the beginning and
17901 giving it 0. Incorporate noninteractive functionality.
17902 (todo-forward-category): Adapt to 1-based category numbering.
17903 Allow skipping over archived categories.
17904 (todo-backward-category): Derive from todo-forward-category.
17905 (todo-backward-item, todo-forward-item): Make noninteractive and
17906 delegate interactive part to new commands. Make sensitive to done items.
17907 (todo-categories): Make value an alist of category names and
17908 vectors of item counts.
17909 (todo-category-beg): Make a defconst.
17910 (todo-category-number): Use 1 instead of 0 as initial value.
17911 (todo-category-select): Make sensitive to overlays, optional item
17912 highlighting and done items.
17913 (todo-delete-item): Make sensitive to overlays and marked and done items.
17914 (todo-edit-item): Make sensitive to overlays and editing of
17915 date/time header optional. Add format checks.
17916 (todo-edit-multiline): Rename to todo-edit-multiline-item. Make a
17917 no-op if point is not on an item. Advertise using todo-edit-quit.
17918 (todo-edit-mode): Make sensitive to new format, font-locking, and
17919 multiple todo files.
17920 (todo-insert-item, todo-insert-item-here): Derive from
17921 todo-basic-insert-item and extend functionality.
17922 (todo-item-end, todo-item-start): Make sensitive to done items.
17923 (todo-item-string): Don't return text properties. Restore point.
17924 (todo-jump-to-category): Make sensitive to multiple todo files and
17925 todo archives. Use extended category completion.
17926 (todo-lower-item, todo-raise-item): Rename to *-priority and
17927 derive from todo-set-item-priority.
17928 (todo-mode): Derive from special-mode. Make sensitive to new
17929 format, font-locking and multiple todo files. Make read-only.
17930 (todo-mode-map): Don't suppress digit keys, so they can supply
17931 prefix arguments. Add many new key bindings.
17932 (todo-prefix): Insert as an overlay instead of file text.
17933 Change semantics from diary date expression to purely visual mark.
17934 (todo-print): Rename to todo-print-buffer. Make buffer display
17935 features printable. Remove option to restrict number of items
17936 printed. Add option to print to file.
17937 (todo-print-function): Rename to todo-print-buffer-function.
17938 (todo-quit): Extend to handle exiting new todo modes.
17939 (todo-remove-item): Make sensitive to overlays.
17940 (todo-save): Extend to buffers of filtered items.
17941 (todo-show): Make sensitive to done items, multiple todo files and
17942 new todo modes. Offer to convert legacy todo file before creating
17943 first new todo file.
17944 (todo-show-priorities): Rename to todo-top-priorities.
17945 Change semantics of value 0.
17946 (todo-top-priorities): Rename to todo-filter-top-priorities,
17947 derive from todo-filter-items and extend functionality.
17948 (todo-save-top-priorities): Rename to todo-save-filtered-items-buffer
17949 and extend functionality to other types of filtered items.
17950 (todo-add-item-non-interactively, todo-ask-p, todo-cat-slct)
17951 (todo-category-end, todo-category-sep, todo-cats, todo-cmd-back)
17952 (todo-cmd-done, todo-cmd-edit, todo-cmd-forw, todo-cmd-inst)
17953 (todo-cmd-kill, todo-cmd-lowr, todo-cmd-next, todo-cmd-prev)
17954 (todo-cmd-rais, todo-cmd-save, todo-completing-read, todo-cp)
17955 (todo-edit-mode-hook, todo-entry-prefix-function)
17956 (todo-entry-timestamp-initials, todo-file-do, todo-file-done)
17957 (todo-file-item, todo-file-top, todo-header, todo-initial-setup)
17958 (todo-initials, todo-insert-threshold, todo-item-string-start)
17959 (todo-line-string, todo-menu, todo-mode-hook)
17960 (todo-more-important-p, todo-previous-answer, todo-previous-line)
17961 (todo-print-priorities, todo-remove-separator)
17962 (todo-save-top-priorities-too, todo-string-count-lines)
17963 (todo-string-multiline-p, todo-time-string-format)
17964 (todo-tmp-buffer-name): Remove.
17965 (todo-add-file, todo-archive-done-item, todo-choose-archive)
17966 (todo-convert-legacy-files, todo-copy-item, todo-delete-category)
17967 (todo-edit-category-diary-inclusion)
17968 (todo-edit-category-diary-nonmarking, todo-edit-done-item-comment)
17969 (todo-edit-file, todo-edit-item-date-day)
17970 (todo-edit-item-date-day-name, todo-edit-item-date-from-calendar)
17971 (todo-edit-item-date-month, todo-edit-item-date-to-today)
17972 (todo-edit-item-date-year, todo-edit-item-diary-inclusion)
17973 (todo-edit-item-diary-nonmarking, todo-edit-item-header)
17974 (todo-edit-item-time, todo-edit-quit, todo-filter-diary-items)
17975 (todo-filter-diary-items-multifile, todo-filter-regexp-items)
17976 (todo-filter-regexp-items-multifile, todo-filter-top-priorities)
17977 (todo-filter-top-priorities-multifile, todo-find-archive)
17978 (todo-find-filtered-items-file, todo-go-to-source-item)
17979 (todo-insert-item-from-calendar, todo-item-done, todo-item-undone)
17980 (todo-jump-to-archive-category, todo-lower-category)
17981 (todo-mark-category, todo-marked-item-p, todo-merge-category)
17982 (todo-move-category, todo-move-item, todo-next-button)
17983 (todo-next-item, todo-padded-string, todo-powerset)
17984 (todo-previous-button, todo-previous-item)
17985 (todo-print-buffer-to-file, todo-raise-category)
17986 (todo-rename-category, todo-repair-categories-sexp, todo-search)
17987 (todo-set-category-number, todo-set-item-priority)
17988 (todo-set-top-priorities-in-category)
17989 (todo-set-top-priorities-in-file, todo-show-categories-table)
17990 (todo-sort-categories-alphabetically-or-numerically)
17991 (todo-sort-categories-by-archived, todo-sort-categories-by-diary)
17992 (todo-sort-categories-by-done, todo-sort-categories-by-todo)
17993 (todo-toggle-item-header, todo-toggle-item-highlighting)
17994 (todo-toggle-mark-item, todo-toggle-prefix-numbers)
17995 (todo-toggle-view-done-items, todo-toggle-view-done-only)
17996 (todo-unarchive-items, todo-unmark-category): New commands.
17997 (todo-absolute-file-name, todo-add-to-buffer-list)
17998 (todo-adjusted-category-label-length, todo-basic-edit-item-header)
17999 (todo-basic-insert-item, todo-category-completions)
18000 (todo-category-number, todo-category-string-matcher-1)
18001 (todo-category-string-matcher-2, todo-check-filtered-items-file)
18002 (todo-check-format, todo-clear-matches)
18003 (todo-comment-string-matcher, todo-convert-legacy-date-time)
18004 (todo-current-category, todo-date-string-matcher)
18005 (todo-define-insertion-command, todo-diary-expired-matcher)
18006 (todo-diary-goto-entry, todo-diary-item-p)
18007 (todo-diary-nonmarking-matcher, todo-display-as-todo-file)
18008 (todo-display-categories, todo-display-sorted, todo-done-item-p)
18009 (todo-done-item-section-p, todo-done-separator)
18010 (todo-done-string-matcher, todo-files, todo-filter-items)
18011 (todo-filter-items-1, todo-filter-items-filename, todo-find-item)
18012 (todo-gen-arglists, todo-get-count, todo-get-overlay, todo-indent)
18013 (todo-insert-category-line, todo-insert-item-from-calendar)
18014 (todo-insert-sort-button, todo-insert-with-overlays)
18015 (todo-insertion-command-name, todo-insertion-key-bindings)
18016 (todo-label-to-key, todo-longest-category-name-length)
18017 (todo-make-categories-list, todo-mode-external-set)
18018 (todo-mode-line-control, todo-modes-set-1, todo-modes-set-2)
18019 (todo-modes-set-3, todo-multiple-filter-files)
18020 (todo-nondiary-marker-matcher, todo-prefix-overlays)
18021 (todo-read-category, todo-read-date, todo-read-dayname)
18022 (todo-read-file-name, todo-read-time)
18023 (todo-reevaluate-category-completions-files-defcustom)
18024 (todo-reevaluate-default-file-defcustom)
18025 (todo-reevaluate-filelist-defcustoms)
18026 (todo-reevaluate-filter-files-defcustom)
18027 (todo-reset-and-enable-done-separator, todo-reset-comment-string)
18028 (todo-reset-done-separator, todo-reset-done-separator-string)
18029 (todo-reset-done-string, todo-reset-global-current-todo-file)
18030 (todo-reset-highlight-item, todo-reset-nondiary-marker)
18031 (todo-reset-prefix, todo-set-categories)
18032 (todo-set-date-from-calendar, todo-set-show-current-file)
18033 (todo-set-top-priorities, todo-short-file-name)
18034 (todo-show-current-file, todo-sort, todo-time-string-matcher)
18035 (todo-total-item-counts, todo-update-buffer-list)
18036 (todo-update-categories-display, todo-update-categories-sexp)
18037 (todo-update-count, todo-validate-name, todo-y-or-n-p):
18039 (todo-archive-mode, todo-categories-mode, todo-filtered-items-mode):
18041 (todo-categories, todo-display, todo-edit, todo-faces)
18042 (todo-filtered): New defgroups.
18043 (todo-archived-only, todo-button, todo-category-string, todo-date)
18044 (todo-diary-expired, todo-done, todo-done-sep, todo-comment)
18045 (todo-mark, todo-nondiary, todo-prefix-string, todo-search)
18046 (todo-sorted-column, todo-time, todo-top-priority): New deffaces.
18047 (todo-add-item-if-new-category, todo-always-add-time-string)
18048 (todo-categories-align, todo-categories-archived-label)
18049 (todo-categories-category-label, todo-categories-diary-label)
18050 (todo-categories-done-label, todo-categories-number-separator)
18051 (todo-categories-todo-label, todo-categories-totals-label)
18052 (todo-category-completions-files, todo-completion-ignore-case)
18053 (todo-default-todo-file, todo-diary-nonmarking, todo-directory)
18054 (todo-done-separator-string, todo-done-string)
18055 (todo-files-function, todo-filter-done-items, todo-filter-files)
18056 (todo-highlight-item, todo-include-in-diary, todo-indent-to-here)
18057 (todo-initial-category, todo-initial-file, todo-item-mark)
18058 (todo-legacy-date-time-regexp, todo-mode-line-function)
18059 (todo-nondiary-marker, todo-number-prefix)
18060 (todo-print-buffer-function, todo-show-current-file)
18061 (todo-show-done-only, todo-show-first, todo-show-with-done)
18062 (todo-skip-archived-categories, todo-top-priorities-overrides)
18063 (todo-undo-item-omit-comment, todo-use-only-highlighted-region)
18064 (todo-visit-files-commands, todo-wrap-lines, todo-y-with-space):
18066 (todo-category-done, todo-date-pattern, todo-date-string-start)
18067 (todo-diary-items-buffer, todo-done-string-start)
18068 (todo-filtered-items-buffer, todo-item-start)
18069 (todo-month-abbrev-array, todo-month-name-array)
18070 (todo-nondiary-end, todo-nondiary-start, todo-regexp-items-buffer)
18071 (todo-top-priorities-buffer): New defconsts.
18072 (todo-archive-mode-map, todo-archives, todo-categories-mode-map)
18073 (todo-categories-with-marks, todo-category-string-face)
18074 (todo-comment-face, todo-comment-string, todo-current-todo-file)
18075 (todo-date-face, todo-date-from-calendar, todo-descending-counts)
18076 (todo-diary-expired-face, todo-done-face, todo-done-sep-face)
18077 (todo-done-separator, todo-edit-buffer, todo-edit-mode-map)
18078 (todo-file-buffers, todo-files, todo-filtered-items-mode-map)
18079 (todo-font-lock-keywords, todo-global-current-todo-file)
18080 (todo-insertion-commands, todo-insertion-commands-arg-key-list)
18081 (todo-insertion-commands-args)
18082 (todo-insertion-commands-args-genlist)
18083 (todo-insertion-commands-names, todo-insertion-map)
18084 (todo-key-bindings-t, todo-key-bindings-t+a)
18085 (todo-key-bindings-t+a+f, todo-key-bindings-t+f, todo-mode-map)
18086 (todo-multiple-filter-files, todo-multiple-filter-files-widget)
18087 (todo-nondiary-face, todo-print-buffer, todo-time-face)
18088 (todo-visited): New variables.
18090 2013-06-21 Glenn Morris <rgm@gnu.org>
18092 * play/cookie1.el (cookie-apropos): Add optional display argument.
18093 * obsolete/yow.el (apropos-zippy): Use cookie-apropos.
18094 (psychoanalyze-pinhead): Use cookie-doctor.
18096 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
18098 * emacs-lisp/package.el (tar-get-file-descriptor)
18099 (tar--extract): Declare.
18101 2013-06-21 Eduard Wiebe <usenet@pusto.de>
18103 Extend flymake's warning predicate to be a function (bug#14217).
18104 * progmodes/flymake.el (flymake-warning-predicate): New.
18105 (flymake-parse-line): Use it.
18106 (flymake-warning-re): Make obsolete alias to
18107 `flymake-warning-predicate'.
18109 2013-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
18111 * emacs-lisp/package.el (package-alist): Include obsolete packages.
18112 (package-obsolete-list): Remove.
18113 (package-activate): Remove min-version argument. Add `force' argument.
18114 Adjust to new package-alist format.
18115 (package-mark-obsolete): Remove.
18116 (package-unpack): Force reload of the package's autoloads.
18117 (package-installed-p): Check builtins if the installed package is not
18119 (package-initialize): Don't reset package-obsolete-list.
18120 Don't specify which package version to activate.
18121 (package-process-define-package, describe-package-1)
18122 (package-menu--generate): Adjust to new package-alist format.
18124 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
18126 * allout-widgets.el (allout-widgets-mode-off)
18127 (allout-widgets-mode-on, allout-widgets-pre-command-business)
18128 (allout-widgets-post-command-business)
18129 (allout-widgets-after-copy-or-kill-function)
18130 (allout-widgets-after-undo-function, allout-test-range-overlaps)
18131 (allout-decorate-item-and-context)
18132 (allout-graphics-modification-handler): Fix typos in docstrings.
18133 (allout-get-or-create-parent-widget): Use `looking-at-p'.
18135 * cmuscheme.el (scheme-start-file): Doc fix.
18136 (inferior-scheme-mode, switch-to-scheme): Fix typos in docstrings.
18137 (scheme-input-filter): Use `string-match-p'.
18139 * composite.el (compose-gstring-for-terminal): Fix typo in docstring.
18141 * dired-x.el: Use Dired consistently in docstrings.
18143 * dired.el: Use Dired consistently in docstrings.
18144 (dired-readin, dired-mode): Use `setq-local'.
18145 (dired-switches-alist): Make defvar-local.
18146 (dired-buffers-for-dir): Use `zerop'.
18147 (dired-safe-switches-p, dired-switches-escape-p)
18148 (dired-insert-old-subdirs, dired-move-to-end-of-filename)
18149 (dired-glob-regexp, dired-in-this-tree, dired-goto-file-1)
18150 (dired-sort-set-mode-line, dired-sort-toggle, dired-sort-R-check)
18151 (dired-goto-next-nontrivial-file): Use `string-match-p'.
18152 (dired-align-file, dired-insert-directory, dired-mark-files-in-region)
18153 (dired-toggle-marks, dired-mark-files-containing-regexp)
18154 (dired-mark-symlinks, dired-mark-directories, dired-mark-executables)
18155 (dired-flag-auto-save-files, dired-flag-backup-files):
18156 Use `looking-at-p'.
18157 (dired-mark-files-regexp, dired-build-subdir-alist):
18158 Use `string-match-p', `looking-at-p'.
18160 * dos-w32.el (untranslated-canonical-name, untranslated-file-p)
18161 (direct-print-region-helper): Use `string-match-p'.
18163 2013-06-21 Leo Liu <sdl.web@gmail.com>
18165 * comint.el (comint-redirect-results-list-from-process):
18168 2013-06-21 Lars Magne Ingebrigtsen <larsi@gnus.org>
18170 * net/eww.el (eww-update-header-line-format): Quote % characters.
18172 2013-06-21 Glenn Morris <rgm@gnu.org>
18174 * play/cookie1.el (cookie): New custom group.
18175 (cookie-file): New option.
18176 (cookie-check-file): New function.
18177 (cookie): Make it interactive. Make start and end messages optional.
18178 Interactively, display the result. Default to cookie-file.
18179 (cookie-insert): Default to cookie-file.
18180 (cookie-snarf): Make start and end messages optional.
18181 Default to cookie-file. Use with-temp-buffer.
18182 (cookie-read): Rename from read-cookie.
18183 Make start and end messages optional. Default to cookie-file.
18184 (cookie-shuffle-vector): Rename from shuffle-vector. Use dotimes.
18185 Do not autoload it.
18186 (cookie-apropos, cookie-doctor): New functions, copied from yow.el
18187 * obsolete/yow.el (read-zippyism): Use new name for read-cookie.
18189 2013-06-21 Leo Liu <sdl.web@gmail.com>
18191 * progmodes/octave.el (octave-mode): Backward compatibility fix.
18193 2013-06-21 Glenn Morris <rgm@gnu.org>
18195 * font-lock.el (lisp-font-lock-keywords-2): Add with-eval-after-load.
18197 2013-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
18198 Daniel Hackney <dan@haxney.org>
18200 * emacs-lisp/package.el: Use tar-mode rather than tar executable.
18201 Consolidate the single-file vs tarball code.
18202 (package-desc-suffix): New function.
18203 (package-desc-full-name): Don't bother inlining it.
18204 (package-load-descriptor): Return the new package-desc.
18205 (package-mark-obsolete): Remove unused arg `package'.
18206 (package-unpack): Make it work for single files as well.
18207 Make it update package-alist.
18208 (package--make-autoloads-and-stuff): Rename from
18209 package--make-autoloads-and-compile. Don't compile any more.
18210 (package--compile): New function.
18211 (package-generate-description-file): New function, extracted from
18212 package-unpack-single.
18213 (package-unpack-single): Remove.
18214 (package--with-work-buffer): Add indentation and debugging info.
18215 (package-download-single): Remove.
18216 (package-install-from-archive): Rename from package-download-tar, make
18217 it take a pkg-desc, and make it work for single files as well.
18218 (package-download-transaction): Simplify.
18219 (package-tar-file-info): Remove `file' arg. Rewrite not to use an
18220 external tar program.
18221 (package-install-from-buffer): Remove `pkg-desc' argument.
18222 Use package-tar-file-info for tar-mode buffers.
18223 (package-install-file): Simplify accordingly.
18224 (package-archive-base): Change to take a pkg-desc.
18225 * tar-mode.el (tar--check-descriptor): New function, extracted from
18226 tar-get-descriptor.
18227 (tar-get-descriptor): Use it.
18228 (tar-get-file-descriptor): New function.
18229 (tar--extract): New function, extracted from tar-extract.
18230 (tar--extract): Use it.
18231 * emacs-lisp/package-x.el (package-upload-file): Decode the file, in
18232 case the summary uses non-ascii. Adjust to new calling convention of
18233 package-tar-file-info.
18235 2013-06-21 Leo Liu <sdl.web@gmail.com>
18237 * comint.el (comint-redirect-results-list-from-process):
18238 Fix random delay. (Bug#14681)
18240 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
18242 * profiler.el (profiler-format-number): Use log, not log10.
18244 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
18246 * term/x-win.el (emacs-session-filename): Use `locate-user-emacs-file'.
18248 2013-06-20 Stefan Monnier <monnier@iro.umontreal.ca>
18250 * emacs-lisp/cl-loaddefs.el: Don't version-control any more.
18251 * emacs-lisp/cl-lib.el: Load cl-macs when cl-loaddefs is not
18253 * Makefile.in (AUTOGEN_VCS): Move cl-loaddefs.el...
18254 (AUTOGENEL): ... here.
18255 * emacs-lisp/cl-macs.el (cl--sublis): New function.
18256 (cl--defsubst-expand): Use it.
18258 2013-06-20 Paul Eggert <eggert@cs.ucla.edu>
18260 * subr.el (log10): Move here from C code, and declare as obsolete.
18261 All uses of (log10 X) replaced with (log X 10).
18263 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
18265 * emacs-lisp/tabulated-list.el (tabulated-list-format): Fix typo.
18266 Declare with `defvar-local'.
18267 (tabulated-list-use-header-line, tabulated-list-entries)
18268 (tabulated-list-padding, tabulated-list-printer)
18269 (tabulated-list-sort-key): Declare with `defvar-local'.
18270 (tabulated-list-init-header, tabulated-list-print-fake-header):
18273 2013-06-20 Michael Albinus <michael.albinus@gmx.de>
18275 * arc-mode.el (archive-mode): Add `archive-write-file' to
18276 `write-contents-functions' also for remote files. (Bug#14652)
18278 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
18280 * cus-edit.el (custom-commands): Fix typos.
18281 (custom-display): Fix tooltip text.
18282 (custom-magic-alist, custom-filter-face-spec, custom-group-members):
18283 Fix typos in docstrings.
18284 (custom--initialize-widget-variables, Custom-mode): Use `setq-local'.
18285 (custom-unlispify-menu-entry, custom-magic-value-create)
18286 (custom-add-see-also, custom-group-value-create): Use ?\s.
18287 (custom-guess-type, customize-apropos, editable-field)
18288 (custom-face-value-create): Use `string-match-p'.
18289 (custom-save-variables, custom-save-faces): Use `looking-at-p'.
18291 * custom.el (custom-load-symbol): Use `string-match-p'.
18293 * ansi-color.el: Convert to lexical binding.
18294 (ansi-colors): Fix URL.
18295 (ansi-color-context, ansi-color-context-region): Use defvar-local.
18296 (ansi-color-apply-sequence, ansi-color-map): Fix typos in docstrings.
18297 (ansi-color-make-color-map): Rename local var ansi-color-map to map.
18299 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
18301 * net/eww.el (eww-process-text-input): Display passwords as asterisks.
18303 * net/shr.el (shr-make-table-1): Protect against invalid column-spans.
18305 2013-06-19 Tom Tromey <tromey@redhat.com>
18307 * net/eww.el (eww-top-url): Remove.
18308 (eww-home-url, eww-start-url, eww-contents-url): New defvars.
18309 (eww-render): Set new variables. Don't set eww-top-url.
18310 (eww-handle-link): Handle "prev", "home", and "contents".
18311 Downcase the rel text.
18312 (eww-top-url): Choose best top URL.
18314 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
18316 * net/eww.el: Rewrite to implement form elements "by hand" instead of
18317 relying in widget.el. Using widget.el leads to too many
18318 user interface inconsistencies.
18319 (eww-self-insert): Implement entering commands in text fields.
18320 (eww-process-text-input): New function to make text input field editing
18322 (eww-submit): Rewrite to use the new-style form methods.
18323 (eww-select-display): Display the correct selected item.
18324 (eww-change-select): Implement changing the select value.
18325 (eww-toggle-checkbox): Implement radio/checkboxes.
18326 (eww-update-field): Fix compilation error.
18327 (eww-tag-textarea): Implement <textarea>.
18329 * net/shr.el (shr-urlify): Use `keymap' instead of `local-map' so that
18330 we don't shadow mode-specific bindings.
18332 * net/eww.el (eww-browse-url): Don't push stuff onto history if there's
18335 * net/shr.el (shr-map): Bind [down-mouse-1] to browse URLs.
18337 2013-06-19 Glenn Morris <rgm@gnu.org>
18339 * emacs-lisp/eieio.el (defclass): Make it eval-and-compile once more.
18341 2013-06-19 Michael Albinus <michael.albinus@gmx.de>
18343 * net/tramp-adb.el (tramp-adb-get-toolbox): Remove function, it is
18346 * net/tramp-sh.el (tramp-find-shell): Don't set "busybox" property.
18348 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
18350 * net/browse-url.el (browse-url-browser-function):
18351 `eww-browse-url' has the right calling signature, `eww' does not.
18353 2013-06-19 Glenn Morris <rgm@gnu.org>
18355 * emacs-lisp/bytecomp.el (byte-compile-file-form-autoload):
18356 Only eval autoloaded macros.
18357 (byte-compile-autoload): Only give the macro warning for macros.
18359 * progmodes/cperl-mode.el (ps-bold-faces, ps-italic-faces)
18360 (ps-underlined-faces): Declare.
18362 * progmodes/idlwave.el (func-menu): Only set it up on XEmacs.
18363 (speedbar-add-supported-extension): Declare.
18365 * international/titdic-cnv.el (tit-process-header, miscdic-convert):
18366 Don't include a date stamp in the header of the generated file;
18367 it leads to needless differences between output files.
18369 2013-06-19 Michael Albinus <michael.albinus@gmx.de>
18371 * net/secrets.el (secrets-struct-secret-content-type):
18372 Replace check of introspection data by a test call of "CreateItem".
18373 Some servers do not offer introspection.
18375 2013-06-19 Stefan Monnier <monnier@iro.umontreal.ca>
18377 * electric.el (electric-pair-mode): Improve interaction with
18378 electric-layout-mode.
18379 (electric-pair-default-inhibit): Don't assume (eq char (char-before)).
18380 (electric-pair-syntax): Use text-mode-syntax-table in comments
18382 (electric-pair--insert): New function.
18383 (electric-pair-post-self-insert-function): Use it and
18384 electric--after-char-pos.
18386 2013-06-19 Leo Liu <sdl.web@gmail.com>
18388 * progmodes/octave.el (octave-help): Fix regexp.
18390 2013-06-18 Lars Magne Ingebrigtsen <larsi@gnus.org>
18392 * net/shr.el (shr-make-table-1): Implement <td rowspan>.
18393 (shr-table-horizontal-line): Allow nil as a value, and change the
18395 (shr-insert-table-ruler): Respect the nil value.
18397 2013-06-18 Tom Tromey <tromey@barimba>
18399 * net/eww.el (eww-next-url, eww-previous-url, eww-up-url, eww-top-url):
18401 (eww-open-file): New defun.
18402 (eww-render): Initialize new variables.
18403 (eww-display-html): Handle "link" and "a".
18404 (eww-handle-link, eww-tag-link, eww-tag-a): New defuns.
18405 (eww-mode-map): Move "p" to "l". Bind "p", "n", "t", and "u".
18406 (eww-back-url): Rename from eww-previous-url.
18407 (eww-next-url, eww-previous-url, eww-up-url, eww-top-url):
18410 2013-06-18 Dmitry Gutov <dgutov@yandex.ru>
18412 * progmodes/ruby-mode.el (ruby-syntax-before-regexp-re):
18413 Distinguish ternary operator tokens from slash symbol and slash
18416 2013-06-18 Juanma Barranquero <lekktu@gmail.com>
18418 Convert symbol prettification into minor mode and global minor mode.
18420 * progmodes/prog-mode.el (prettify-symbols-alist): Rename from
18421 `prog-prettify-symbols', and make a local defvar instead of defcustom.
18422 (prettify-symbols--keywords): Rename from
18423 `prog-prettify-symbols-alist' and make a local defvar.
18424 (prettify-symbols--compose-symbol): Rename from
18425 `prog--prettify-font-lock-compose-symbol'.
18426 (prettify-symbols--make-keywords): Rename from
18427 `prog-prettify-font-lock-symbols-keywords' and simplify.
18428 (prog-prettify-install): Remove.
18429 (prettify-symbols-mode): New minor mode, based on
18430 `prog-prettify-install'.
18431 (turn-on-prettify-symbols-mode): New function.
18432 (global-prettify-symbols-mode): New globalized minor mode.
18434 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
18435 * progmodes/cfengine.el (cfengine3-mode):
18436 * progmodes/perl-mode.el (perl-mode): Don't call
18437 `prog-prettify-install'; set `prettify-symbols-alist' instead.
18439 2013-06-18 Juri Linkov <juri@jurta.org>
18441 * files-x.el (modify-file-local-variable-message): New function.
18442 (modify-file-local-variable)
18443 (modify-file-local-variable-prop-line): Add arg INTERACTIVE
18444 and call `modify-file-local-variable-message' when it's non-nil.
18445 (add-file-local-variable, delete-file-local-variable)
18446 (add-file-local-variable-prop-line)
18447 (delete-file-local-variable-prop-line): Add arg INTERACTIVE
18448 and use it. (Bug#9820)
18450 2013-06-18 Juri Linkov <juri@jurta.org>
18452 * emulation/vi.el (vi-shell-op):
18453 * emulation/vip.el (vip-execute-com, ex-command):
18454 * emulation/viper-cmd.el (viper-exec-bang):
18455 * emulation/viper-ex.el (ex-command): Add non-nil arg REPLACE to
18456 the call of `shell-command-on-region'. (Bug#14637)
18458 * simple.el (shell-command-on-region): Doc fix.
18460 2013-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
18462 * emacs-lisp/eieio-custom.el: Remove misleading Version: header
18465 2013-06-18 Glenn Morris <rgm@gnu.org>
18467 * net/eww.el, net/shr.el, net/shr-color.el: Move here from gnus/.
18469 * newcomment.el (comment-search-forward, comment-search-backward):
18470 Doc fix. (Bug#14376)
18472 2013-06-18 Juanma Barranquero <lekktu@gmail.com>
18474 * face-remap.el (buffer-face-toggle): Fix typo in docstring.
18475 (buffer-face-mode-invoke): Doc fix.
18477 2013-06-18 Matthias Meulien <orontee@gmail.com>
18479 * tabify.el (untabify, tabify): With prefix, apply to entire buffer.
18480 <http://lists.gnu.org/archive/html/emacs-devel/2013-03/msg00545.html>
18482 2013-06-18 Glenn Morris <rgm@gnu.org>
18484 * generic-x.el (bat-generic-mode, rc-generic-mode, rul-generic-mode):
18485 Replace obsolete function generic-make-keywords with its expansion.
18487 * progmodes/python.el (ffap-alist): Declare.
18489 * textmodes/reftex.el (bibtex-mode-map): Declare.
18491 2013-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
18493 * emacs-lisp/package.el: Update package-alist after install (bug#14632).
18494 (package-unpack, package-unpack-single): Return the pkg-dir.
18495 (package-download-transaction): Use it to update package-alist.
18497 2013-06-17 Lars Magne Ingebrigtsen <larsi@gnus.org>
18499 * net/browse-url.el (browse-url-browser-function): Add `eww' as a
18502 2013-06-17 Juri Linkov <juri@jurta.org>
18504 * net/webjump.el (webjump-sample-sites): Add DuckDuckGo.
18506 2013-06-17 Dmitry Gutov <dgutov@yandex.ru>
18508 * emacs-lisp/package.el (package-load-descriptor):
18509 Remove `with-syntax-table' call, `read' doesn't need it.
18510 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00539.html
18512 2013-06-17 Juanma Barranquero <lekktu@gmail.com>
18514 * startup.el (command-line): Expand package name returned by
18515 `package--description-file' (bug#14639).
18517 2013-06-17 Dmitry Gutov <dgutov@yandex.ru>
18519 * emacs-lisp/package.el (package-load-descriptor): Do not call
18520 `emacs-lisp-mode', just use its syntax table.
18522 2013-06-17 Juanma Barranquero <lekktu@gmail.com>
18524 * progmodes/prog-mode.el (prog-prettify-install): Add `composition' to
18525 `font-lock-extra-managed-props' if any prettifying keyword is added.
18526 (prog--prettify-font-lock-compose-symbol): Use ?\s instead of ?\ .
18527 (prog-mode): Use `setq-local'.
18529 2013-06-17 Stefan Monnier <monnier@iro.umontreal.ca>
18531 * international/characters.el (standard-case-table): Set syntax of ?»
18532 and ?« to punctuation.
18534 2013-06-16 Juanma Barranquero <lekktu@gmail.com>
18536 * progmodes/prog-mode.el (prog--prettify-font-lock-compose-symbol):
18537 Save relevant match data before calling `syntax-ppss' (bug#14595).
18539 2013-06-15 Juri Linkov <juri@jurta.org>
18541 * files-x.el (modify-file-local-variable-prop-line): Add local
18542 variables to the end of the existing comment on the first line.
18543 Use `file-auto-mode-skip' to skip interpreter magic line,
18544 and also skip XML declaration.
18546 2013-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
18548 * startup.el (package--builtin-versions): New var.
18549 (package-subdirectory-regexp): Remove.
18550 (package--description-file): Hard code its value instead.
18552 * emacs-lisp/package.el: Don't activate packages older than builtin.
18553 (package-obsolete-list): Rename from package-obsolete-alist, and make
18554 it into a simple list of package-desc.
18555 (package-strip-version): Remove.
18556 (package-built-in-p): Use package--builtin-versions.
18557 (package-mark-obsolete): Simplify.
18558 (package-process-define-package): Mark it obsolete if older than the
18560 (package-handle-response): Use line-end-position.
18561 (package-read-archive-contents, package--download-one-archive):
18563 (package--add-to-archive-contents): Skip if older than the builtin or
18565 (package-menu-describe-package): Fix last change.
18566 (package-list-unversioned): New var.
18567 (package-menu--generate): Use it.
18569 * emacs-lisp/autoload.el: Manage package--builtin-versions.
18570 (autoload--insert-text, autoload--insert-cookie-text): New functions.
18571 (autoload-builtin-package-versions): New variable.
18572 (autoload-generate-file-autoloads): Use them.
18573 Remove the list of autoloaded functions/macros from the
18574 (autoload...) comments.
18576 * Makefile.in (autoloads): Set autoload-builtin-package-versions.
18578 2013-06-15 Eli Zaretskii <eliz@gnu.org>
18580 * simple.el (line-move-partial): Don't jump to the next screen
18581 line as soon as it becomes visible. Instead, continue enlarging
18582 the vscroll until the portion of a tall screen line that's left on
18583 display is about the height of the frame's default font.
18586 2013-06-15 Glenn Morris <rgm@gnu.org>
18588 * vc/vc-dispatcher.el (vc-compilation-mode): Avoid making
18589 compilation-error-regexp-alist void, or local while let-bound.
18591 * progmodes/make-mode.el (makefile-mode-syntax-table):
18592 Treat "=" as punctuation. (Bug#14614)
18594 2013-06-15 Juanma Barranquero <lekktu@gmail.com>
18596 * help-fns.el (describe-variable):
18597 Add extra line for permanent-local variables.
18599 2013-06-15 Simen Heggestøyl <simenheg@ifi.uio.no> (tiny change)
18601 * progmodes/scheme.el (scheme-font-lock-keywords-2):
18602 Add export, import, library. (Bug#9164)
18603 (library): Set indent function.
18605 2013-06-14 Glenn Morris <rgm@gnu.org>
18607 * term/xterm.el (xterm--query):
18608 Stop after first matching handler. (Bug#14615)
18610 2013-06-14 Ivan Kanis <ivan@kanis.fr>
18612 Add support for dired in saveplace.
18613 * dired.el (dired-initial-position-hook): New variable.
18614 (dired-initial-position): Call hook to place cursor position.
18615 * saveplace.el (save-place-to-alist): Add dired position.
18616 (save-place-dired-hook): New function.
18618 2013-06-14 Stefan Monnier <monnier@iro.umontreal.ca>
18620 * subr.el (eval-after-load, set-temporary-overlay-map): Use indirection
18621 through a symbol rather than letrec.
18623 * emacs-lisp/package.el: Don't recompute dir. Use pkg-descs more.
18624 (package-desc): Add `dir' field.
18625 (package-desc-full-name): New function.
18626 (package-load-descriptor): Combine the two arguments. Don't use `load'.
18627 (package-maybe-load-descriptor): Remove.
18628 (package-load-all-descriptors): Just call package-load-descriptor.
18629 (package--disabled-p): New function.
18630 (package-desc-vers, package-desc-doc): Remove aliases.
18631 (package--dir): Remove function.
18632 (package-activate): Check if a package is disabled.
18633 (package-process-define-package): New function, extracted from
18635 (define-package): Turn into a place holder.
18636 (package-unpack-single, package-tar-file-info):
18637 Use package--description-file.
18638 (package-compute-transaction): Use package--disabled-p.
18639 (package-download-transaction): Don't call
18640 package-maybe-load-descriptor since they're all loaded anyway.
18641 (package-install): Change argument to be a pkg-desc.
18642 (package-delete): Use a single pkg-desc argument.
18643 (describe-package-1): Use package-desc-dir instead of package--dir.
18644 Use package-desc property instead of package-symbol.
18645 (package-install-button-action): Adjust accordingly.
18646 (package--push): Rewrite.
18647 (package-menu--print-info): Adjust accordingly. Change the ID format
18649 (package-menu-describe-package, package-menu-get-status)
18650 (package-menu--find-upgrades, package-menu-mark-upgrades)
18651 (package-menu-execute, package-menu--name-predicate):
18652 Adjust accordingly.
18653 * startup.el (package--description-file): New function.
18654 (command-line): Use it.
18655 * emacs-lisp/package-x.el (package-upload-buffer-internal):
18656 Use package-desc-version.
18658 * emacs-lisp/bytecomp.el (byte-compile-force-lexical-warnings): New var.
18659 (byte-compile-preprocess): Use it.
18660 (byte-compile-file-form-defalias): Try a bit harder to use macros we
18661 can't quite recognize.
18662 (byte-compile-add-to-list): Remove.
18663 * emacs-lisp/cconv.el (cconv-warnings-only): New function.
18664 (cconv-closure-convert): Add assertion.
18666 * emacs-lisp/map-ynp.el: Use lexical-binding.
18667 (map-y-or-n-p): Remove unused vars `tail' and `object'.
18668 Factor out some repeated code.
18670 2013-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
18672 * subr.el (with-eval-after-load): New macro.
18673 (eval-after-load): Allow form to be a function.
18674 take advantage of lexical-binding.
18675 (do-after-load-evaluation): Use dolist and adjust to new format.
18676 * simple.el (bad-packages-alist): Use dolist and with-eval-after-load.
18678 2013-06-13 Juri Linkov <juri@jurta.org>
18680 * replace.el (perform-replace): Display "symbol " and other search
18681 modes from `isearch-message-prefix' in the *Help* buffer.
18683 * isearch.el (isearch-query-replace): Add " symbol" and other
18684 possible search modes from `isearch-message-prefix' to the prompt.
18685 (isearch-occur): Use `with-isearch-suspended' to not exit Isearch
18686 when reading a regexp to collect.
18688 2013-06-13 Juri Linkov <juri@jurta.org>
18690 * isearch.el (word-search-regexp): Match whitespace if the search
18691 string begins or ends in whitespace. The LAX arg is applied to
18692 both ends of the search string. Use `regexp-quote' and explicit
18693 \< and \> instead of \b. Use \` and \' instead of ^ and $.
18694 (isearch-symbol-regexp): Sync with `word-search-regexp' where word
18695 boundaries are replaced with symbol boundaries, and characters
18696 between symbols match non-word non-symbol syntax. (Bug#14602)
18698 2013-06-13 Juri Linkov <juri@jurta.org>
18700 * isearch.el (isearch-del-char): Don't exceed the length of
18701 `isearch-string' by the prefix arg. (Bug#14563)
18703 2013-06-13 Juri Linkov <juri@jurta.org>
18705 * isearch.el (isearch-yank-word, isearch-yank-line)
18706 (isearch-char-by-name, isearch-quote-char)
18707 (isearch-printing-char, isearch-process-search-char):
18708 Add optional count prefix arg. (Bug#14563)
18710 * international/isearch-x.el
18711 (isearch-process-search-multibyte-characters):
18712 Add optional count prefix arg.
18714 2013-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
18716 * subr.el (internal-push-keymap, internal-pop-keymap): New functions.
18717 (set-temporary-overlay-map): Use them (bug#14095); and take advantage of
18720 2013-06-13 Vitalie Spinu <spinuvit@gmail.com>
18722 * subr.el (set-temporary-overlay-map): Add on-exit argument.
18724 2013-06-13 Glenn Morris <rgm@gnu.org>
18726 * startup.el (tty-handle-args):
18727 Don't just discard "--" and anything after. (Bug#14608)
18729 * emacs-lisp/lisp.el (forward-sexp, backward-sexp): Doc fixes.
18731 2013-06-13 Michael Albinus <michael.albinus@gmx.de>
18733 Implement changes in Secret Service API. Make it backward compatible.
18734 * net/secrets.el (secrets-struct-secret-content-type): New defonst.
18735 (secrets-create-item): Use it. Prefix properties with interface.
18737 2013-06-13 Michael Hoffman <9qobl2n02@sneakemail.com> (tiny change)
18739 * term.el (term-suppress-hard-newline): New option. (Bug#12017)
18740 (term-emulate-terminal): Respect term-suppress-hard-newline.
18742 2013-06-13 E Sabof <esabof@gmail.com> (tiny change)
18744 * image-dired.el (image-dired-dired-toggle-marked-thumbs):
18745 Only remove a `thumb-file' overlay. (Bug#14548)
18747 2013-06-12 Grégoire Jadi <daimrod@gmail.com>
18749 * mail/reporter.el (reporter-submit-bug-report):
18750 Handle missing package-name. (Bug#14600)
18752 2013-06-12 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
18754 * textmodes/reftex-cite.el (reftex-cite-regexp-hist)
18755 (reftex-citation-prompt, reftex-default-bibliography)
18756 (reftex-bib-or-thebib, reftex-get-bibfile-list)
18757 (reftex-pop-to-bibtex-entry, reftex-extract-bib-entries)
18758 (reftex-bib-sort-author, reftex-bib-sort-year)
18759 (reftex-bib-sort-year-reverse, reftex-get-crossref-alist)
18760 (reftex-extract-bib-entries-from-thebibliography)
18761 (reftex-get-bibkey-default, reftex-get-bib-names)
18762 (reftex-parse-bibtex-entry, reftex-get-bib-field)
18763 (reftex-format-bib-entry, reftex-parse-bibitem)
18764 (reftex-format-bibitem, reftex-do-citation)
18765 (reftex-figure-out-cite-format, reftex-offer-bib-menu)
18766 (reftex-restrict-bib-matches, reftex-extract-bib-file)
18767 (reftex-insert-bib-matches, reftex-format-citation)
18768 (reftex-make-cite-echo-string, reftex-bibtex-selection-callback)
18769 (reftex-create-bibtex-file): Add docstrings, mostly by converting
18770 existing comments into docstrings.
18772 2013-06-12 Xue Fuqiao <xfq.free@gmail.com>
18774 * ibuf-ext.el (ibuffer-mark-help-buffers): Doc fix.
18776 2013-06-12 Andreas Schwab <schwab@suse.de>
18778 * international/mule.el (auto-coding-alist): Use utf-8-emacs-unix
18779 for auto-save files.
18781 2013-06-12 Glenn Morris <rgm@gnu.org>
18783 * ido.el (ido-delete-ignored-files): Remove.
18784 (ido-wide-find-dirs-or-files, ido-make-file-list-1):
18785 Go back to calling ido-ignore-item-p directly.
18787 2013-06-12 Eyal Lotem <eyal.lotem@gmail.com> (tiny change)
18789 * ido.el (ido-wide-find-dirs-or-files): Respect ido-case-fold.
18791 * ido.el (ido-delete-ignored-files): New function,
18792 split from ido-make-file-list-1.
18793 (ido-wide-find-dirs-or-files): Maybe ignore files. (Bug#13003)
18794 (ido-make-file-list-1): Use ido-delete-ignored-files.
18796 2013-06-12 Leo Liu <sdl.web@gmail.com>
18798 * progmodes/octave.el (inferior-octave-startup)
18799 (inferior-octave-completion-table)
18800 (inferior-octave-track-window-width-change)
18801 (octave-eldoc-function-signatures, octave-help)
18802 (octave-find-definition): Use single quoted strings.
18803 (inferior-octave-startup-args): Change default value.
18804 (inferior-octave-startup): Do not hard code "-i" and
18805 "--no-line-editing".
18806 (inferior-octave-resync-dirs): Add optional arg NOERROR.
18807 (inferior-octave-directory-tracker): Use it.
18808 (octave-goto-function-definition): Robustify.
18809 (octave-help): Support highlighting operators in 'See also'.
18810 (octave-find-definition): Find subfunctions only in Octave mode.
18812 2013-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
18814 * help-fns.el (help-fns--compiler-macro): If the handler function is
18815 named, then put a link to it.
18816 * help-mode.el (help-function-cmacro): Adjust regexp for cl-lib names.
18817 * emacs-lisp/cl-macs.el (cl--compiler-macro-typep): New function.
18818 (cl-typep): Use it.
18819 (cl-eval-when): Simplify debug spec.
18820 (cl-define-compiler-macro): Use eval-and-compile. Give a name to the
18821 compiler-macro function instead of setting `compiler-macro-file'.
18823 2013-06-12 Xue Fuqiao <xfq.free@gmail.com>
18825 * vc/vc-cvs.el (vc-cvs-stay-local): Doc fix.
18826 * vc/vc-hooks.el (vc-stay-local): Doc fix.
18828 2013-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
18829 Daniel Hackney <dan@haxney.org>
18831 First part of Daniel Hackney's patch to package.el.
18832 * emacs-lisp/package.el: Use defstruct.
18833 (package-desc): New, main struct.
18834 (package--bi-desc, package--ac-desc): New structs, used to describe the
18835 format in external files.
18836 (package-desc-vers): Replace with package-desc-version accessor.
18837 (package-desc-doc): Replace with package-desc-summary accessor.
18838 (package-activate-1): Remove `package' arg since the pkg-vec now
18840 (define-package): Use package-desc-from-define.
18841 (package-unpack-single): Change file-name arg to be a symbol.
18842 (package--add-to-archive-contents): Use package-desc-create and new
18843 accessor functions to package--ac-desc.
18844 (package-buffer-info, package-tar-file-info): Return a package-desc.
18845 (package-install-from-buffer): Remove `type' argument. Change pkg-info
18846 arg to be a package-desc.
18847 (package-install-file): Adjust accordingly. Use \' to match EOS.
18848 (package--from-builtin): New function.
18849 (describe-package-1, package-menu--generate): Use it.
18850 (package--make-autoloads-and-compile): Change name arg to be a symbol.
18851 (package-generate-autoloads): Idem and return the name of the file.
18852 * emacs-lisp/package-x.el (package-upload-buffer-internal):
18853 Change pkg-info arg to be a package-desc.
18854 Use package-make-ac-desc.
18855 (package-upload-file): Use \' to match EOS.
18856 * finder.el (finder-compile-keywords): Use package-make-builtin.
18858 2013-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
18860 * vc/vc.el (vc-deduce-fileset): Change error message.
18861 (vc-read-backend): New function.
18862 (vc-next-action): Use it.
18864 * subr.el (function-arity): Remove (mistakenly added) (bug#14590).
18866 * progmodes/prolog.el (prolog-make-keywords-regexp): Remove.
18867 (prolog-font-lock-keywords): Use regexp-opt instead.
18868 Don't manually highlight strings.
18869 (prolog-mode-variables): Simplify comment-start-skip.
18870 (prolog-consult-compile): Use display-buffer. Remove unused old-filter.
18872 * emacs-lisp/generic.el (generic--normalise-comments)
18873 (generic-set-comment-syntax, generic-set-comment-vars): New functions.
18874 (generic-mode-set-comments): Use them.
18875 (generic-bracket-support): Use setq-local.
18876 (generic-make-keywords-list): Declare obsolete.
18878 2013-06-11 Glenn Morris <rgm@gnu.org>
18880 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
18881 Prettify after setting font-lock-defaults. (Bug#14574)
18883 2013-06-11 Juanma Barranquero <lekktu@gmail.com>
18885 * replace.el (query-replace, occur-read-regexp-defaults-function)
18887 * subr.el (declare-function, number-sequence, local-set-key)
18888 (substitute-key-definition, locate-user-emacs-file)
18889 (with-silent-modifications, split-string, eval-after-load):
18890 Fix typos, remove unneeded backslashes and reflow some docstrings.
18892 2013-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
18894 * international/mule-conf.el (file-coding-system-alist): Use utf-8 as
18895 default for Elisp files.
18897 2013-06-11 Glenn Morris <rgm@gnu.org>
18899 * vc/log-view.el (log-view-mode-map): Inherit from special-mode-map,
18900 although define-derived-mode was doing this anyway. (Bug#14583)
18902 2013-06-10 Juanma Barranquero <lekktu@gmail.com>
18904 * allout.el (allout-encryption-plaintext-sanitization-regexps):
18905 Fix make-variable-buffer-local call to refer to the correct variable.
18907 2013-06-10 Aidan Gauland <aidalgol@amuri.net>
18909 * eshell/em-term.el (eshell-visual-commands)
18910 (eshell-visual-subcommands, eshell-visual-options):
18911 Add summary line to docstrings. Add cross-references.
18913 2013-06-10 Glenn Morris <rgm@gnu.org>
18915 * epa.el (epa-read-file-name): New function. (Bug#14510)
18916 (epa-decrypt-file): Make plain-file optional. Use epa-read-file-name.
18918 2013-06-09 Aidan Gauland <aidalgol@amuri.net>
18920 * eshell/em-term.el (eshell-visual-command-p): Fix bug that caused
18921 output redirection to be ignored with visual commands.
18923 2013-06-09 Aidan Gauland <aidalgol@amuri.net>
18925 * eshell/em-term.el (eshell-visual-command-p): New function.
18926 (eshell-term-initialize): Move long lambda to separate function
18927 eshell-visual-command-p.
18928 * eshell/em-dirs.el (eshell-dirs-initialize):
18929 * eshell/em-script.el (eshell-script-initialize):
18930 Add missing #' to lambda.
18932 2013-06-08 Leo Liu <sdl.web@gmail.com>
18934 * progmodes/octave.el (octave-add-log-current-defun): New function.
18935 (octave-mode): Set add-log-current-defun-function.
18936 (octave-goto-function-definition): Do not move point if not found.
18937 (octave-find-definition): Enhance to try subfunctions first.
18939 2013-06-08 Glenn Morris <rgm@gnu.org>
18941 * emacs-lisp/bytecomp.el (byte-compile-char-before)
18942 (byte-compile-backward-char, byte-compile-backward-word):
18943 Improve previous change, to handle non-explicit nil.
18945 2013-06-07 Stefan Monnier <monnier@iro.umontreal.ca>
18947 * emacs-lisp/smie.el: Improve show-paren-mode behavior.
18948 (smie--opener/closer-at-point): New function.
18949 (smie--matching-block-data): Use it. Don't match from right after an
18950 opener or right before a closer. Obey smie-blink-matching-inners.
18951 Don't signal a mismatch for repeated inners like "switch..case..case".
18953 2013-06-07 Leo Liu <sdl.web@gmail.com>
18955 * progmodes/octave.el (octave-mode): Set comment-use-global-state
18957 (octave-function-header-regexp): Fix. (Bug#14570)
18958 (octave-help-mode-finish-hook, octave-help-mode-finish):
18959 Remove. Just use temp-buffer-show-hook.
18961 * newcomment.el (comment-search-backward): Revert last change.
18964 * emacs-lisp/smie.el (smie--matching-block-data): Minor simplification.
18966 2013-06-07 Eli Zaretskii <eliz@gnu.org>
18968 * Makefile.in (TAGS TAGS-LISP): Pass the (long) list of *.el files
18969 through xargs, to avoid failure due to MS-Windows limitations on
18970 command-line length.
18972 2013-06-06 Glenn Morris <rgm@gnu.org>
18974 * font-lock.el (lisp-font-lock-keywords-2):
18975 Treat user-error like error.
18977 * emacs-lisp/bytecomp.el (byte-compile-char-before)
18978 (byte-compile-backward-char, byte-compile-backward-word):
18979 Handle explicit nil arguments. (Bug#14565)
18981 2013-06-05 Alan Mackenzie <acm@muc.de>
18983 * isearch.el (isearch-allow-prefix): New user option.
18984 (isearch-other-meta-char): Don't exit isearch when a prefix
18985 argument is typed whilst `isearch-allow-prefix' is non-nil.
18988 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
18990 * autorevert.el (auto-revert-notify-handler): Use memq.
18991 Hide assertion failure.
18993 * skeleton.el: Use cl-lib.
18994 (skeleton-further-elements): Use defvar-local.
18995 (skeleton-insert): Use cl-progv.
18997 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
18999 * progmodes/prog-mode.el (prog-prettify-symbols)
19000 (prog-prettify-install): Update docstrings.
19002 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
19004 * simple.el: Move all the prog-mode code to prog-mode.el.
19005 * progmodes/prog-mode.el: New file.
19006 * loadup.el: Add prog-mode.el.
19008 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
19010 * simple.el (prog-prettify-symbols): Add version.
19011 (prog-prettify-install): Add convenience function to prettify symbols.
19013 * progmodes/perl-mode.el (perl--augmented-font-lock-keywords)
19014 (perl--augmented-font-lock-keywords-1)
19015 (perl--augmented-font-lock-keywords-2, perl-mode): Remove unneeded
19016 variables and use it.
19018 * progmodes/cfengine.el (cfengine3--augmented-font-lock-keywords)
19019 (cfengine3-mode): Remove unneeded variable and use it.
19021 * emacs-lisp/lisp-mode.el (lisp--augmented-font-lock-keywords)
19022 (lisp--augmented-font-lock-keywords-1)
19023 (lisp--augmented-font-lock-keywords-2, lisp-mode-variables):
19024 Remove unneeded variables and use it.
19026 2013-06-05 João Távora <joaotavora@gmail.com>
19028 * net/tls.el (open-tls-stream): Remove unneeded buffer contents up
19029 to point when opening the connection. (Bug#14380)
19031 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
19033 * subr.el (load-history-regexp, load-history-filename-element)
19034 (eval-after-load, after-load-functions, do-after-load-evaluation)
19035 (eval-next-after-load, display-delayed-warnings)
19036 (collapse-delayed-warnings, delayed-warnings-hook): Move after the
19037 definition of save-match-data.
19038 (overriding-local-map): Remove accidental obsolescence declaration.
19040 * emacs-lisp/edebug.el (edebug-result): Move before first use.
19042 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
19044 Generalize symbol prettify support to prog-mode and implement it
19045 for perl-mode, cfengine3-mode, and emacs-lisp-mode.
19046 * simple.el (prog-prettify-symbols-alist, prog-prettify-symbols)
19047 (prog--prettify-font-lock-compose-symbol)
19048 (prog-prettify-font-lock-symbols-keywords): New variables and
19049 functions to support symbol prettification.
19050 * emacs-lisp/lisp-mode.el (lisp--augmented-font-lock-keywords)
19051 (lisp--augmented-font-lock-keywords-1)
19052 (lisp--augmented-font-lock-keywords-2, lisp-mode-variables)
19053 (lisp--prettify-symbols-alist): Implement prettify of lambda.
19054 * progmodes/cfengine.el (cfengine3--augmented-font-lock-keywords)
19055 (cfengine3--prettify-symbols-alist, cfengine3-mode):
19056 Implement prettify of -> => :: strings.
19057 * progmodes/perl-mode.el (perl-prettify-symbols)
19058 (perl--font-lock-compose-symbol)
19059 (perl--font-lock-symbols-keywords): Move to prog-mode.
19060 (perl--prettify-symbols-alist): Prettify -> => :: strings.
19061 (perl-font-lock-keywords-1)
19062 (perl-font-lock-keywords-2): Remove explicit prettify support.
19063 (perl--augmented-font-lock-keywords)
19064 (perl--augmented-font-lock-keywords-1)
19065 (perl--augmented-font-lock-keywords-2, perl-mode):
19066 Implement prettify support.
19068 2013-06-05 Leo Liu <sdl.web@gmail.com>
19070 Re-implement SMIE matching block highlight using
19071 show-paren-data-function. (Bug#14395)
19072 * emacs-lisp/smie.el (smie-matching-block-highlight)
19073 (smie--highlight-matching-block-overlay)
19074 (smie--highlight-matching-block-lastpos)
19075 (smie-highlight-matching-block)
19076 (smie-highlight-matching-block-mode): Remove.
19077 (smie--matching-block-data-cache): New variable.
19078 (smie--matching-block-data): New function.
19079 (smie-setup): Use smie--matching-block-data for
19080 show-paren-data-function.
19082 * progmodes/octave.el (octave-mode-menu): Fix.
19083 (octave-find-definition): Skip garbage lines.
19085 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
19087 Fix compilation error with simultaneous dynamic+lexical scoping.
19088 Add warning when a defvar appears after the first let-binding.
19089 * emacs-lisp/bytecomp.el (byte-compile-lexical-variables): New var.
19090 (byte-compile-close-variables): Initialize it.
19091 (byte-compile--declare-var): New function.
19092 (byte-compile-file-form-defvar)
19093 (byte-compile-file-form-define-abbrev-table)
19094 (byte-compile-file-form-custom-declare-variable): Use it.
19095 (byte-compile-make-lambda-lexenv): Change the argument. Simplify.
19096 (byte-compile-lambda): Share call to byte-compile-arglist-vars.
19097 (byte-compile-bind): Handle dynamic bindings that shadow
19099 (byte-compile-unbind): Make arg non-optional.
19100 (byte-compile-let): Simplify.
19101 * emacs-lisp/cconv.el (byte-compile-lexical-variables): Declare var.
19102 (cconv--analyse-function, cconv-analyse-form): Populate it.
19103 Protect byte-compile-bound-variables to limit the scope of defvars.
19104 (cconv-analyse-form): Add missing rule for (defvar <foo>).
19105 Remove unneeded rule for `declare'.
19107 * emacs-lisp/cl-macs.el (cl--compiler-macro-adjoin): Use macroexp-let2
19108 so as to avoid depending on cl-adjoin at run-time.
19109 * emacs-lisp/cl-lib.el (cl-pushnew): Use backquotes.
19111 * emacs-lisp/macroexp.el (macroexp--compiling-p): New function.
19112 (macroexp--warn-and-return): Use it.
19114 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
19116 * subr.el: Convert to lexical binding.
19117 (overriding-local-map): Make obsolete.
19118 (add-to-list): Doc fix. Add compiler macro.
19119 (read-key): Swap values of local maps.
19121 2013-06-05 Leo Liu <sdl.web@gmail.com>
19123 * eshell/esh-mode.el (eshell-mode): Fix key bindings.
19125 2013-06-04 Leo Liu <sdl.web@gmail.com>
19127 * progmodes/compile.el (compile-goto-error): Add optional arg NOMSG.
19128 (compilation-auto-jump): Suppress the "Mark set" message to give
19129 way to exit message.
19131 2013-06-04 Alan Mackenzie <acm@muc.de>
19133 Remove faulty optimization from indentation calculation.
19134 * progmodes/cc-engine.el (c-guess-basic-syntax): Don't calculate
19135 search limit based on 2000 characters back from indent-point.
19137 2013-06-03 Tassilo Horn <tsdh@gnu.org>
19139 * eshell/em-term.el (cl-lib): Require `cl-lib'.
19141 2013-06-03 Stefan Monnier <monnier@iro.umontreal.ca>
19143 * emacs-lisp/lisp.el: Use lexical-binding.
19144 (lisp--local-variables-1, lisp--local-variables): New functions.
19145 (lisp--local-variables-completion-table): New var.
19146 (lisp-completion-at-point): Use it complete let-bound vars.
19148 * emacs-lisp/lisp-mode.el (eval-sexp-add-defvars): Expand macros
19149 eagerly (bug#14422).
19151 2013-06-03 Michael Albinus <michael.albinus@gmx.de>
19153 * autorevert.el (auto-revert-notify-enabled)
19154 (auto-revert-notify-rm-watch, auto-revert-notify-add-watch)
19155 (auto-revert-notify-event-p, auto-revert-notify-event-file-name)
19156 (auto-revert-notify-handler): Handle also gfilenotify.
19158 * subr.el (file-notify-handle-event): New defun. Replacing ...
19159 (inotify-event-p, inotify-handle-event, w32notify-handle-event):
19162 2013-06-03 Juri Linkov <juri@jurta.org>
19164 * bindings.el (search-map): Bind `highlight-symbol-at-point' to
19165 `M-s h .'. (Bug#14427)
19167 * hi-lock.el (highlight-symbol-at-point): New alias for the new
19168 command `hi-lock-face-symbol-at-point'.
19169 (hi-lock-face-symbol-at-point): New command.
19170 (hi-lock-map): Bind `highlight-symbol-at-point' to `C-x w .'.
19171 (hi-lock-menu): Add `highlight-symbol-at-point'.
19172 (hi-lock-mode): Doc fix.
19174 * isearch.el (isearch-forward-symbol-at-point): New command.
19175 (search-map): Bind `isearch-forward-symbol-at-point' to `M-s .'.
19176 (isearch-highlight-regexp): Add a regexp which matches
19177 words/symbols for word/symbol mode.
19179 * subr.el (find-tag-default-bounds): New function with the body
19180 mostly moved from `find-tag-default'.
19181 (find-tag-default): Move most code to `find-tag-default-bounds',
19182 call it and apply `buffer-substring-no-properties' afterwards.
19184 2013-06-03 Tassilo Horn <tsdh@gnu.org>
19186 * eshell/em-term.el (eshell-term-initialize):
19187 Use `cl-intersection' rather than `intersection'.
19189 2013-06-02 Xue Fuqiao <xfq.free@gmail.com>
19191 * vc/log-view.el: Doc fix.
19192 (log-view-mode-map): Copy keymap from `special-mode-map'.
19194 2013-06-02 Eric Ludlam <zappo@gnu.org>
19196 * emacs-lisp/eieio.el (eieio--defalias, eieio-hook)
19197 (eieio-error-unsupported-class-tags, eieio-skip-typecheck)
19198 (eieio-optimize-primary-methods-flag, eieio-initializing-object)
19199 (eieio-unbound, eieio-default-superclass)
19200 (eieio--define-field-accessors, method-static, method-before)
19201 (method-primary, method-after, method-num-lists)
19202 (method-generic-before, method-generic-primary)
19203 (method-generic-after, method-num-slots)
19204 (eieio-specialized-key-to-generic-key)
19205 (eieio--check-type, class-v, class-p)
19206 (eieio-class-name, define-obsolete-function-alias)
19207 (eieio-class-parents-fast, eieio-class-children-fast)
19208 (same-class-fast-p, class-constructor, generic-p)
19209 (generic-primary-only-p, generic-primary-only-one-p)
19210 (class-option-assoc, class-option, eieio-object-p)
19211 (class-abstract-p, class-method-invocation-order)
19212 (eieio-defclass-autoload-map, eieio-defclass-autoload)
19213 (eieio-class-un-autoload, eieio-defclass)
19214 (eieio-eval-default-p, eieio-perform-slot-validation-for-default)
19215 (eieio-add-new-slot, eieio-copy-parents-into-subclass)
19216 (eieio--defgeneric-init-form, eieio-defgeneric-form)
19217 (eieio-defgeneric-reset-generic-form)
19218 (eieio-defgeneric-form-primary-only)
19219 (eieio-defgeneric-reset-generic-form-primary-only)
19220 (eieio-defgeneric-form-primary-only-one)
19221 (eieio-defgeneric-reset-generic-form-primary-only-one)
19222 (eieio-unbind-method-implementations)
19223 (eieio--defmethod, eieio--typep)
19224 (eieio-perform-slot-validation, eieio-validate-slot-value)
19225 (eieio-validate-class-slot-value, eieio-barf-if-slot-unbound)
19226 (eieio-oref, eieio-oref-default, eieio-default-eval-maybe)
19227 (eieio-oset, eieio-oset-default, eieio-slot-originating-class-p)
19228 (eieio-slot-name-index, eieio-class-slot-name-index)
19229 (eieio-set-defaults, eieio-initarg-to-attribute)
19230 (eieio-attribute-to-initarg, eieio-c3-candidate)
19231 (eieio-c3-merge-lists, eieio-class-precedence-c3)
19232 (eieio-class-precedence-dfs, eieio-class-precedence-bfs)
19233 (eieio-class-precedence-list, eieio-generic-call-methodname)
19234 (eieio-generic-call-arglst, eieio-generic-call-key)
19235 (eieio-generic-call-next-method-list)
19236 (eieio-pre-method-execution-functions, eieio-generic-call)
19237 (eieio-generic-call-primary-only, eieiomt-method-list)
19238 (eieiomt-optimizing-obarray, eieiomt-install)
19239 (eieiomt-add, eieiomt-next, eieiomt-sym-optimize)
19240 (eieio-generic-form, eieio-defmethod, make-obsolete)
19241 (eieio-defgeneric, make-obsolete): Move to eieio-core.el.
19242 (defclass): Remove `eval-and-compile' from macro.
19243 (call-next-method, shared-initialize): Instead of using
19244 `scoped-class' variable, use new eieio--scoped-class, and
19245 eieio--with-scoped-class.
19246 (initialize-instance): Rename local variable 'scoped-class' to
19247 'this-class' to remove ambiguitity from old global.
19249 * emacs-lisp/eieio-core.el: New file. Derived from key parts of
19251 (eieio--scoped-class-stack): New variable.
19252 (eieio--scoped-class): New fcn.
19253 (eieio--with-scoped-class): New scoping macro.
19254 (eieio-defclass): Use pushnew instead of add-to-list.
19255 (eieio-defgeneric-form-primary-only-one, eieio-oset-default)
19256 (eieio-slot-name-index, eieio-set-defaults, eieio-generic-call)
19257 (eieio-generic-call-primary-only, eieiomt-add): Instead of using
19258 `scoped-class' variable, use new eieio--scoped-class, and
19259 eieio--with-scoped-class.
19261 * emacs-lisp/eieio-base.el (cl-lib): Require during compile.
19263 2013-06-02 Tassilo Horn <tsdh@gnu.org>
19265 * eshell/esh-ext.el (eshell-external-command): Pass args to
19266 `eshell-find-interpreter'.
19267 (eshell-find-interpreter): Add new second parameter ARGS.
19269 * eshell/em-script.el (eshell-script-initialize): Add second arg
19270 to the function added as MATCH to `eshell-interpreter-alist'.
19272 * eshell/em-dirs.el (eshell-dirs-initialize): Add second arg to
19273 the function added as MATCH to `eshell-interpreter-alist'.
19275 * eshell/em-term.el (eshell-visual-subcommands): New defcustom.
19276 (eshell-visual-options): New defcustom.
19277 (eshell-escape-control-x): Adapt docstring.
19278 (eshell-term-initialize): Test `eshell-visual-subcommands' and
19279 `eshell-visual-options' in addition to `eshell-visual-commands'.
19280 (eshell-exec-visual): Pass args to `eshell-find-interpreter'.
19282 2013-06-01 Fabián Ezequiel Gallina <fgallina@gnu.org>
19284 * progmodes/python.el (python-indent-block-enders): Add break,
19285 continue and raise keywords.
19287 2013-06-01 Glenn Morris <rgm@gnu.org>
19289 * pcmpl-gnu.el (pcomplete/tar): Check obsolete variable is bound.
19291 Plain (f)boundp silences compilation warnings since Emacs 22.1.
19292 * progmodes/cc-cmds.el (delete-forward-p):
19293 * progmodes/cc-defs.el (buffer-syntactic-context-depth):
19294 * progmodes/cc-engine.el (buffer-syntactic-context):
19295 * progmodes/cc-fonts.el (face-property-instance):
19296 * progmodes/cc-mode.el (set-keymap-parents):
19297 * progmodes/cc-vars.el (get-char-table): No need for cc-bytecomp-defun.
19298 * progmodes/cc-defs.el (c-set-region-active, c-beginning-of-defun-1)
19299 * progmodes/cc-mode.el (c-make-inherited-keymap): Use plain fboundp.
19300 * progmodes/cc-defs.el (zmacs-region-stays, zmacs-regions)
19301 (lookup-syntax-properties): Remove unecessary cc-bytecomp-defvar.
19303 * progmodes/cc-vars.el (other): Emacs has this widget since
19304 at least 21.1, so don't (re)define it.
19306 * eshell/em-cmpl.el (eshell-cmpl-initialize):
19307 Replace the obsolete alias pcomplete-arg-quote-list.
19309 2013-06-01 Leo Liu <sdl.web@gmail.com>
19311 * progmodes/octave.el (octave-mode-syntax-table): Give `.'
19312 punctuation syntax.
19313 (inferior-octave-minimal-columns)
19314 (inferior-octave-last-column-width): New variables.
19315 (inferior-octave-track-window-width-change): New function.
19316 (inferior-octave-mode): Adjust column width so that Octave output,
19317 for example from 'ls', can fit into the window nicely.
19319 2013-05-31 Dmitry Gutov <dgutov@yandex.ru>
19321 * progmodes/ruby-mode.el (ruby-syntax-expansion-allowed-p):
19322 Highlight expansions inside regexp literals.
19324 2013-05-31 Glenn Morris <rgm@gnu.org>
19326 * obsolete/sym-comp.el (symbol-complete):
19327 Replace obsolete completion-annotate-function.
19329 * progmodes/cc-vars.el (c-make-macro-with-semi-re): Silence compiler.
19331 2013-05-31 Dmitry Gutov <dgutov@yandex.ru>
19333 * progmodes/ruby-mode.el (ruby-syntax-expansion-allowed-p):
19334 New function, checks if point is inside a literal that allows
19335 expression expansion.
19336 (ruby-syntax-propertize-expansion): Use it.
19337 (ruby-syntax-propertize-function): Bind `case-fold-search' to nil
19340 2013-05-30 Juri Linkov <juri@jurta.org>
19342 * isearch.el (isearch-mode-map): Bind `isearch-toggle-invisible'
19344 (isearch-invisible): New variable.
19345 (isearch-forward): Doc fix.
19346 (isearch-mode): Set `isearch-invisible'
19347 to the value of `search-invisible'.
19348 (isearch-toggle-case-fold): Doc fix.
19349 (isearch-toggle-invisible): New command.
19350 (isearch-query-replace): Let-bind `search-invisible'
19351 to the value of `isearch-invisible'.
19352 (isearch-search): Use `isearch-invisible' instead of
19353 `search-invisible'. Let-bind `search-invisible'
19354 to the value of `isearch-invisible'. (Bug#11378)
19356 2013-05-30 Juri Linkov <juri@jurta.org>
19358 * replace.el (perform-replace): Avoid `isearch-range-invisible'
19359 call when `query-flag' is nil and `search-invisible' is non-nil.
19362 2013-05-30 Glenn Morris <rgm@gnu.org>
19364 * progmodes/gdb-mi.el (gdb-wait-for-pending): Fix typo.
19366 * progmodes/cc-bytecomp.el (cc-bytecomp-noruntime-functions): New.
19367 (cc-require): Suppress spurious "noruntime" warnings.
19368 (cc-require-when-compile): Use fboundp, for sake of compiler.
19370 * progmodes/cc-mode.el: Move load of cc-vars before that of
19371 cc-langs (which in turn loads cc-vars), to quieten compiler.
19373 2013-05-30 Stefan Monnier <monnier@iro.umontreal.ca>
19375 * paren.el: Simplify the code.
19376 (show-paren-mode): Always start the timer.
19377 (show-paren--idle-timer): Rename from show-paren-idle-timer.
19378 (show-paren--overlay, show-paren--overlay-1): Rename from
19379 show-paren-overlay and show-paren-overlay-1, and initialize to an
19380 overlay rather than to nil.
19381 (show-paren-function): Misc cleanup and simplifications.
19383 2013-05-30 Stefan Monnier <monnier@iro.umontreal.ca>
19385 * paren.el (show-paren-data-function): New hook.
19386 (show-paren--default): New function, extracted from show-paren-function.
19387 (show-paren-function): Use show-paren-data-function.
19389 2013-05-30 Glenn Morris <rgm@gnu.org>
19391 * ielm.el (ielm-map, ielm-complete-symbol):
19392 Use completion-at-point rather than obsolete functions.
19393 (inferior-emacs-lisp-mode): Doc fix.
19394 Set completion-at-point-functions, rather than
19395 comint-dynamic-complete-functions.
19397 * eshell/em-cmpl.el (eshell-complete-lisp-symbol): New function.
19398 (eshell-cmpl-initialize, eshell-complete-parse-arguments):
19399 Replace obsolete lisp-complete-symbol with eshell-complete-lisp-symbol.
19401 * image.el (image-animated-p): Tweak definition.
19403 * net/rlogin.el (rlogin-program, rlogin-explicit-args): Default to ssh.
19404 (rlogin-process-connection-type): Tweak default. Add set-after.
19405 (rlogin-host): Doc fix.
19406 (rlogin): Tweak prompt.
19407 (rlogin-tab-or-complete): Use completion-at-point rather than alias.
19409 * net/net-utils.el (nslookup-mode-map, ftp-mode-map):
19410 * progmodes/tcl.el (inferior-tcl-mode-map):
19411 Use completion-at-point rather than obsolete alias.
19413 * emacs-lisp/eieio.el (eieio-eval-default-p): Move before use.
19415 * minibuffer.el (read-file-name-completion-ignore-case):
19416 Move before completion--in-region, for eager macro expansion.
19418 2013-05-29 Juri Linkov <juri@jurta.org>
19420 * replace.el (occur-engine): Rename `globalcount' to `global-lines'
19421 for total count of matching lines. Add `global-matches' for total
19422 count of matches. Rename `matches' to `lines' for count of
19423 matching lines. Add `matches' for count of matches.
19424 Rename `lines' to `curr-line' for line count. Rename `prev-lines'
19425 to `prev-line' for line number of prev match endpt.
19426 Increment `matches' for every match. Print the number of
19427 matching lines in the header.
19428 (occur-context-lines): Rename `lines' to `curr-line'.
19429 Rename `prev-lines' to `prev-line'. (Bug#14017)
19431 2013-05-29 Juri Linkov <juri@jurta.org>
19433 * replace.el (perform-replace): Add `skip-read-only-count',
19434 `skip-filtered-count', `skip-invisible-count' let-bound to 0.
19435 Increment them for corresponding conditions and report the number
19436 of skipped occurrences in the final message. (Bug#11746)
19437 (query-replace, query-replace-regexp, query-replace-regexp-eval)
19438 (replace-string, replace-regexp): Doc fix.
19440 2013-05-29 Stefan Monnier <monnier@iro.umontreal.ca>
19442 * emacs-lisp/trace.el (trace--read-args): Provide a default.
19444 * emacs-lisp/lisp-mode.el (lisp-mode-shared-map): Inherit from
19445 prog-mode-map (bug#14504).
19447 2013-05-29 Leo Liu <sdl.web@gmail.com>
19449 * progmodes/octave.el (octave-indent-comment): Tweak regexps.
19450 (octave-help): Small simplification.
19452 * emacs-lisp/smie.el (smie-highlight-matching-block): Always turn
19453 off the highlight first.
19455 2013-05-29 Glenn Morris <rgm@gnu.org>
19457 * progmodes/idlwave.el (idlwave-concatenate-rinfo-lists):
19458 Handle idlwave-last-system-routine-info-cons-cell being nil.
19460 * progmodes/idlwave.el (idlwave-scan-user-lib-files)
19461 (idlwave-write-paths): Simplify via with-temp-buffer.
19463 * emulation/cua-gmrk.el: Also load cua-base, cua-rect at run time.
19464 * emulation/cua-rect.el: Also load cua-base at run time.
19466 * progmodes/cperl-mode.el (imenu-choose-buffer-index)
19467 (file-of-tag, etags-snarf-tag, etags-goto-tag-location): Declare.
19468 (cperl-imenu-on-info): Require imenu.
19470 2013-05-28 Alan Mackenzie <acm@muc.de>
19472 Handle "capitalised keywords" correctly.
19473 * progmodes/cc-mode.el (c-after-change): Bind case-fold-search to nil.
19475 2013-05-28 Aidan Gauland <aidalgol@amuri.net>
19477 * eshell/em-unix.el: Add -r option to cp.
19479 2013-05-28 Glenn Morris <rgm@gnu.org>
19481 * vc/vc-arch.el (vc-exec-after): Declare.
19482 (vc-switches): Autoload.
19483 * vc/vc-bzr.el: No need to require vc when compiling.
19484 (vc-exec-after, vc-set-async-update, vc-default-dir-printer)
19485 (vc-resynch-buffer, vc-dir-refresh): Declare.
19486 (vc-setup-buffer, vc-switches): Autoload.
19487 * vc/vc-cvs.el (vc-exec-after, vc-coding-system-for-diff)
19488 (vc-resynch-buffer): Declare.
19489 (vc-switches, vc-default-revert, vc-version-backup-file): Autoload.
19490 * vc/vc-dir.el (desktop-missing-file-warning): Declare.
19491 * vc/vc-git.el (vc-exec-after, vc-set-async-update)
19492 (grep-read-regexp, grep-read-files, grep-expand-template)
19493 (vc-dir-refresh): Declare.
19494 (vc-setup-buffer, vc-switches, vc-resynch-buffer): Autoload.
19495 * vc/vc-hg.el (vc-exec-after, vc-set-async-update): Declare.
19496 (vc-setup-buffer, vc-switches, vc-do-async-command): Autoload.
19497 * vc/vc-mtn.el (vc-exec-after): Declare.
19498 (vc-switches): Autoload.
19499 * vc/vc-rcs.el (vc-expand-dirs, vc-switches)
19500 (vc-tag-precondition, vc-buffer-sync, vc-rename-master): Autoload.
19501 (vc-file-tree-walk): Declare.
19502 * vc/vc-sccs.el (vc-file-tree-walk): Declare.
19503 (vc-expand-dirs, vc-switches, vc-setup-buffer, vc-delistify)
19504 (vc-tag-precondition, vc-rename-master): Autoload.
19505 * vc/vc-svn.el (vc-exec-after): Declare.
19506 (vc-switches, vc-setup-buffer): Autoload.
19507 * obsolete/vc-mcvs.el (vc-checkout, vc-switches, vc-default-revert):
19509 (vc-resynch-buffer): Declare.
19511 * obsolete/fast-lock.el (byte-compile-warnings):
19512 Don't warn about obsolete features in this obsolete file.
19514 * progmodes/cc-vars.el (c-macro-names-with-semicolon):
19515 Move definition before use.
19517 * play/dunnet.el (byte-compile-warnings): Don't disable them all.
19518 (dun-unix-verbs): Remove dun-zippy.
19519 (dun-zippy): Remove function.
19521 * emacs-lisp/bytecomp.el (byte-compile-warnings): Doc fix.
19523 2013-05-27 Juri Linkov <juri@jurta.org>
19525 * replace.el (replace-search): New function with code moved out
19526 from `perform-replace'.
19527 (replace-highlight, replace-dehighlight): Move function definitions
19528 up closer to `replace-search'. (Bug#11746)
19530 2013-05-27 Juri Linkov <juri@jurta.org>
19532 * replace.el (perform-replace): Ignore invisible matches.
19533 In addition to checking `query-replace-skip-read-only', also
19534 filter out matches by calling `run-hook-with-args-until-failure'
19535 on `isearch-filter-predicates', and also check `search-invisible'
19536 for t or call `isearch-range-invisible'.
19537 (replace-dehighlight): Call `isearch-clean-overlays'. (Bug#11746)
19539 2013-05-27 Juri Linkov <juri@jurta.org>
19541 * isearch.el (isearch-filter-predicates): Rename from
19542 `isearch-filter-predicate'. Doc fix. (Bug#11378)
19543 (isearch-message-prefix): Display text from the property
19544 `isearch-message-prefix' of the currently active filters.
19545 (isearch-search): Don't compare `isearch-filter-predicate' with
19546 `isearch-filter-visible'. Call `run-hook-with-args-until-failure'
19547 on `isearch-filter-predicates'. Also check `search-invisible' for t
19548 or call `isearch-range-invisible'.
19549 (isearch-filter-visible): Make obsolete.
19550 (isearch-lazy-highlight-search):
19551 Call `run-hook-with-args-until-failure' on
19552 `isearch-filter-predicates' and use `isearch-range-invisible'.
19554 * info.el (Info-search): Call `run-hook-with-args-until-failure' on
19555 `isearch-filter-predicates' instead of `funcall'ing
19556 `isearch-filter-predicate'.
19557 (Info-mode): Set `Info-isearch-filter' to
19558 `isearch-filter-predicates' instead of `isearch-filter-predicate'.
19560 * dired-aux.el (dired-isearch-filter-predicate-orig):
19562 (dired-isearch-filenames-toggle, dired-isearch-filenames-setup)
19563 (dired-isearch-filenames-end): Add and remove
19564 `dired-isearch-filter-filenames' in `isearch-filter-predicates'
19565 instead of changing the value of `isearch-filter-predicate'.
19566 Rebind `dired-isearch-filenames-toggle' from "\M-sf" to "\M-sff".
19567 (dired-isearch-filter-filenames): Don't use `isearch-filter-visible'.
19568 Put property `isearch-message-prefix' to "filename " on
19569 `dired-isearch-filter-filenames'.
19571 * wdired.el (wdired-change-to-wdired-mode):
19572 Add `isearch-filter-predicates' to `wdired-isearch-filter-read-only'
19573 locally instead of changing `isearch-filter-predicate'.
19574 (wdired-isearch-filter-read-only): Don't use `isearch-filter-visible'.
19576 2013-05-27 Dmitry Gutov <dgutov@yandex.ru>
19578 * vc/vc-git.el (vc-git-working-revision): When in detached mode,
19579 return the commit hash (Bug#14459). Also set the
19580 `vc-git-detached' property.
19581 (vc-git--rev-parse): Extract from `vc-git-previous-revision'.
19582 (vc-git-mode-line-string): Use the same help-echo format whether
19583 in detached mode or not, because we know the actual revision now.
19584 When in detached mode, shorten the revision to 7 chars.
19586 2013-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
19588 * emacs-lisp/easy-mmode.el (define-minor-mode):
19589 * emacs-lisp/derived.el (define-derived-mode): Always defvar the
19590 mode hook and provide a docstring.
19592 2013-05-27 Alan Mackenzie <acm@muc.de>
19594 Remove spurious syntax-table text properties inserted by C-y.
19595 * progmodes/cc-mode.el (c-after-change): Also clear hard
19596 syntax-table property with value nil.
19598 2013-05-27 Michael Albinus <michael.albinus@gmx.de>
19600 * net/dbus.el (dbus-call-method): Let-bind `inhibit-redisplay'
19601 when reading the events; the buffer layout shall not be changed.
19603 2013-05-27 Leo Liu <sdl.web@gmail.com>
19605 * progmodes/octave.el (inferior-octave-directory-tracker-resync):
19607 (inferior-octave-directory-tracker): Automatically re-sync
19609 (octave-help): Improve handling of 'See also'.
19611 2013-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
19613 * doc-view.el: Minor naming convention tweaks.
19614 (desktop-buffer-mode-handlers): Don't add to it repeatedly.
19616 * image-mode.el (image-mode-reapply-winprops): Call image-mode-winprops
19617 even if there's no `display' property yet (bug#14435).
19619 2013-05-25 Eli Zaretskii <eliz@gnu.org>
19621 * subr.el (unmsys--file-name): Rename from reveal-filename.
19623 * Makefile.in (custom-deps, finder-data, autoloads)
19624 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
19625 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
19626 ($(CAL_DIR)/hol-loaddefs.el): All users changed.
19628 2013-05-25 Stefan Monnier <monnier@iro.umontreal.ca>
19630 * emacs-lisp/lisp.el (lisp-completion-at-point): Don't use
19631 error-completion on the first 2 args of condition-case (bug#14446).
19634 2013-05-25 Leo Liu <sdl.web@gmail.com>
19636 * comint.el (comint-previous-matching-input): Do not flood the
19637 *Messages* buffer with trivial messages.
19639 2013-05-25 Stefan Monnier <monnier@iro.umontreal.ca>
19641 * progmodes/flymake.el (flymake-nop): Don't return a string.
19642 (flymake-set-at): Fix typo.
19644 * simple.el (read--expression): New function, extracted from
19645 eval-expression. Set completion-at-point-functions (bug#14465).
19646 (eval-expression, eval-minibuffer): Use it.
19648 2013-05-25 Xue Fuqiao <xfq.free@gmail.com>
19650 * progmodes/flymake.el (flymake-save-buffer-in-file)
19651 (flymake-makehash, flymake-posn-at-point-as-event, flymake-nop)
19652 (flymake-selected-frame, flymake-log, flymake-ins-after)
19653 (flymake-set-at, flymake-get-buildfile-from-cache)
19654 (flymake-add-buildfile-to-cache, flymake-clear-buildfile-cache)
19655 (flymake-find-possible-master-files, flymake-save-buffer-in-file):
19656 Refine the doc string.
19657 (flymake-get-file-name-mode-and-masks): Reformat.
19658 (flymake-get-real-file-name-function): Fix a minor bug.
19660 2013-05-24 Juri Linkov <juri@jurta.org>
19662 * progmodes/grep.el (grep-mode-font-lock-keywords):
19663 Support =linenumber= format used by git-grep for lines with
19664 function names. (Bug#13549)
19666 2013-05-24 Stefan Monnier <monnier@iro.umontreal.ca>
19668 * progmodes/octave.el (octave-smie-rules): Return nil rather than
19669 0 after a semi-colon; it works better for smie-auto-fill.
19670 (octave--indent-new-comment-line): New function.
19671 (octave-indent-new-comment-line): Use it (indirectly).
19672 (octave-mode): Don't disable smie-auto-fill. Use add-function to
19673 modify comment-line-break-function.
19675 * emacs-lisp/smie.el (smie-auto-fill): Rework to be more robust.
19676 (smie-setup): Use add-function to set it.
19678 2013-05-24 Sam Steingold <sds@gnu.org>
19680 * sort.el (delete-duplicate-lines): Accept an optional `keep-blanks'
19681 argument (before the `interactive' argument).
19683 2013-05-24 Stefan Monnier <monnier@iro.umontreal.ca>
19685 * image-mode.el (image-mode-winprops): Add winprops to
19686 image-mode-winprops-alist before running
19687 image-mode-new-window-functions.
19688 * doc-view.el (doc-view-new-window-function): Don't delay
19689 doc-view-goto-page via timers (bug#14435).
19691 2013-05-24 Tassilo Horn <tsdh@gnu.org>
19693 * doc-view.el: Integrate with desktop.el. (Bug#14435)
19694 (doc-view-desktop-save-buffer): New function.
19695 (doc-view-restore-desktop-buffer): New function.
19696 (desktop-buffer-mode-handlers):
19697 Add `doc-view-restore-desktop-buffer' as desktop.el buffer mode
19699 (doc-view-mode): Set `doc-view-desktop-save-buffer' as custom
19700 `desktop-save-buffer' function.
19702 2013-05-24 Michael Albinus <michael.albinus@gmx.de>
19704 * net/tramp-gvfs.el (tramp-gvfs-enabled): New defconst.
19705 (tramp-gvfs-file-name-handler): Raise a user error when
19706 `tramp-gvfs-enabled' is nil.
19707 (top): Register signals only when `tramp-gvfs-enabled' is non-nil.
19708 Do not raise a user error when loading package. (Bug#14447)
19710 * net/xesam.el: Move to obsolete/.
19712 2013-05-24 Glenn Morris <rgm@gnu.org>
19714 * font-lock.el (lisp-font-lock-keywords-2): Add with-coding-priority.
19716 * emacs-lisp/chart.el (chart-sort): Replace obsolete `object-name'.
19718 * progmodes/cperl-mode.el (cperl-mode): Use fboundp.
19719 (Info-find-node, Man-getpage-in-background): Declare.
19721 * mail/unrmail.el (unrmail):
19722 Replace obsolete detect-coding-with-priority.
19724 * net/socks.el (socks-split-string): Use this rather than split-string.
19725 (socks-nslookup-host): Update for above change.
19726 (dynamic-choice, s5-dynamic-choice-match)
19727 (s5-dynamic-choice-match-inline, s5-widget-value-create):
19728 Comment out unused code.
19730 * tooltip.el (tooltip-use-echo-area): Warn only on 'set.
19731 * progmodes/gud.el (gud-gdb-completion-function): Move before use.
19732 (gud-tooltip-echo-area): Make obsolete.
19733 (gud-tooltip-process-output, gud-tooltip-tips): Also check tooltip-mode.
19735 * progmodes/js.el (js--optimize-arglist): Declare.
19737 * progmodes/ruby-mode.el (ruby-syntax-propertize-expansion): Declare.
19739 * progmodes/which-func.el (ediff-window-A, ediff-window-B)
19740 (ediff-window-C): Declare.
19742 * obsolete/pgg-gpg.el, obsolete/pgg-pgp.el, obsolete/pgg-pgp5.el:
19743 Tweak requires to silence compiler.
19745 * obsolete/sym-comp.el: No need to load hipper-exp when compiling.
19746 (he-search-string, he-tried-table, he-expand-list)
19747 (he-init-string, he-string-member, he-substitute-string)
19748 (he-reset-string): Declare.
19750 * obsolete/options.el (list-options): Use custom-variable-p,
19751 rather than obsolete alias.
19753 2013-05-23 Sam Steingold <sds@gnu.org>
19755 * simple.el (shell-command-on-region): Pass the `replace' argument
19756 down to `call-process-region' to comply with the doc as reported on
19757 <http://stackoverflow.com/questions/16720458/emacs-noninteractive-call-to-shell-command-on-region-always-deletes-region>
19759 2013-05-23 Stefan Monnier <monnier@iro.umontreal.ca>
19761 * emacs-lisp/smie.el (smie-indent-forward-token)
19762 (smie-indent-backward-token): Handle string tokens (bug#14381).
19764 2013-05-23 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
19766 * ielm.el (ielm-menu): New menu.
19767 (inferior-emacs-lisp-mode): Set comment-start.
19769 2013-05-23 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
19771 * textmodes/reftex.el (reftex-ref-style-toggle):
19772 Fix deactivate action.
19774 * textmodes/reftex-vars.el (reftex-ref-style-alist):
19775 Add cleveref macros.
19777 * textmodes/reftex-parse.el (reftex-locate-bibliography-files):
19778 Accept options for bibliography commands.
19779 * textmodes/reftex-vars.el (reftex-bibliography-commands):
19780 Add addbibresource. Basic Biblatex support.
19782 2013-05-23 Michael Albinus <michael.albinus@gmx.de>
19784 * net/tramp-gvfs.el (top):
19785 * net/xesam.el (xesam-dbus-unique-names): Suppress D-Bus errors
19786 when loading package. (Bug#14447)
19788 2013-05-23 Glenn Morris <rgm@gnu.org>
19790 * progmodes/js.el: No need to load comint when compiling.
19791 (ring-insert, comint-send-string, comint-send-input)
19792 (comint-last-input-end, ido-chop): Declare.
19794 * vc/ediff-diff.el, vc/ediff-merg.el: Require ediff-util at run-time.
19795 * vc/ediff-mult.el: Adjust requires.
19796 (ediff-directories-internal, ediff-directory-revisions-internal)
19797 (ediff-patch-file-internal): Declare.
19798 * vc/ediff-ptch.el: Adjust requires.
19799 (ediff-use-last-dir, ediff-buffers-internal): Declare.
19800 (ediff-find-file): Autoload.
19801 * vc/ediff-util.el: No need to load ediff when compiling.
19802 (ediff-regions-internal): Declare.
19803 * vc/ediff-wind.el: Adjust requires.
19804 (ediff-compute-toolbar-width): Define when compiling.
19805 (ediff-setup-control-buffer, ediff-make-bottom-toolbar): Declare.
19806 * vc/ediff.el: No need to load dired, ediff-ptch when compiling.
19807 (dired-get-filename, dired-get-marked-files)
19808 (ediff-last-dir-patch, ediff-patch-default-directory)
19809 (ediff-get-patch-buffer, ediff-dispatch-file-patching-job)
19810 (ediff-patch-buffer-internal): Declare.
19812 * emacs-lisp/checkdoc.el: No need to load ispell when compiling.
19813 (ispell-process, ispell-buffer-local-words, lm-summary)
19814 (lm-section-start, lm-section-end): Declare.
19815 (checkdoc-ispell-init): Simplify.
19817 * progmodes/vera-mode.el (he-init-string, he-dabbrev-beg)
19818 (he-string-member, he-reset-string, he-substitute-string): Declare.
19820 * eshell/em-ls.el: Adjust requires.
19821 (eshell-glob-regexp): Declare.
19822 * eshell/em-tramp.el: Adjust requires.
19823 (eshell-parse-command): Autoload.
19824 * eshell/em-xtra.el: Adjust requires.
19825 (eshell-parse-command): Autoload.
19826 * eshell/esh-ext.el: Adjust requires.
19827 (eshell-parse-command, eshell-close-handles): Autoload.
19828 * eshell/esh-io.el: Adjust requires.
19829 (eshell-output-filter): Autoload.
19830 * eshell/esh-util.el: No need to load tramp when compiling.
19831 (tramp-file-name-structure, ange-ftp-ls, ange-ftp-file-modtime):
19833 (eshell-parse-ange-ls): Require ange-ftp and tramp.
19834 * eshell/em-alias.el, eshell/em-banner.el, eshell/em-basic.el:
19835 * eshell/em-cmpl.el, eshell/em-glob.el, eshell/em-pred.el:
19836 * eshell/em-prompt.el, eshell/em-rebind.el, eshell/em-smart.el:
19837 * eshell/em-term.el, eshell/esh-arg.el, eshell/esh-mode.el:
19838 * eshell/esh-opt.el, eshell/esh-proc.el:
19839 * eshell/esh-var.el: Adjust requires.
19840 * eshell/eshell.el: Do not require esh-util twice.
19841 (eshell-add-input-to-history): Declare.
19842 (eshell-command): Check history module is active before using it.
19844 * eshell/em-ls.el (eshell-ls-dir): Fix -A handling.
19846 2013-05-22 Leo Liu <sdl.web@gmail.com>
19848 * progmodes/octave.el (inferior-octave-startup): Fix bug#14433.
19850 2013-05-22 Michael Albinus <michael.albinus@gmx.de>
19852 * autorevert.el (auto-revert-notify-add-watch)
19853 (auto-revert-notify-handler): Add `attrib' for the inotify case,
19854 it indicates changes in file modification time.
19856 2013-05-22 Glenn Morris <rgm@gnu.org>
19858 * emacs-lisp/bytecomp.el (byte-compile-file-form-autoload):
19859 Always delete the autoloaded function from the noruntime and
19860 unresolved functions lists.
19862 * allout.el: No need to load epa, epg, overlay when compiling.
19863 (epg-context-set-passphrase-callback, epg-list-keys)
19864 (epg-decrypt-string, epg-encrypt-string, epg-user-id-string)
19865 (epg-key-user-id-list): Declare.
19867 * emulation/viper-cmd.el (viper-set-searchstyle-toggling-macros)
19868 (viper-set-parsing-style-toggling-macro)
19869 (viper-set-emacs-state-searchstyle-macros):
19870 Use called-interactively-p on Emacs.
19871 (viper-looking-back): Make it an obsolete alias. Update callers.
19872 * emulation/viper-ex.el: Load viper-keym, not viper-cmd.
19873 Use looking-back rather than viper-looking-back.
19874 (viper-tmp-insert-at-eob, viper-enlarge-region)
19875 (viper-read-string-with-history, viper-register-to-point)
19876 (viper-append-to-register, viper-change-state-to-vi)
19877 (viper-backward-char-carefully, viper-forward-char-carefully)
19878 (viper-Put-back, viper-put-back, viper-add-newline-at-eob-if-necessary)
19879 (viper-change-state-to-emacs): Declare.
19880 * emulation/viper-macs.el: Load viper-mous, viper-ex, not viper-cmd.
19881 (viper-change-state-to-insert, viper-change-state-to-vi): Declare.
19882 * emulation/viper-mous.el: Do not load viper-cmd.
19883 (viper-backward-char-carefully, viper-forward-char-carefully)
19884 (viper-forward-word, viper-adjust-window): Declare.
19886 * vc/ediff.el (ediff-version): Use called-interactively-p on Emacs.
19888 * progmodes/idlw-help.el (idlwave-help-fontify):
19889 Use called-interactively-p.
19891 * term/w32console.el (w32-get-console-codepage)
19892 (w32-get-console-output-codepage): Declare.
19894 * dframe.el (x-sensitive-text-pointer-shape, x-pointer-shape):
19895 Remove unnecessary declarations.
19896 (dframe-message): Doc fix.
19898 * info.el (dframe-select-attached-frame, dframe-current-frame):
19901 * speedbar.el (speedbar-message): Make it an obsolete alias.
19902 Update all callers.
19903 (speedbar-with-attached-buffer)
19904 (speedbar-maybee-jump-to-attached-frame): Make these aliases obsolete.
19905 (speedbar-with-writable): Use backquote.
19906 * emacs-lisp/eieio-opt.el (eieio-describe-class-sb):
19907 * emacs-lisp/eieio-speedbar.el (eieio-speedbar-handle-click):
19908 Use dframe-with-attached-buffer, dframe-maybee-jump-to-attached-frame
19909 rather than speedbar- aliases.
19910 * mail/rmail.el: Load dframe rather than speedbar when compiling.
19911 (speedbar-make-specialized-keymap, speedbar-insert-button)
19912 (dframe-select-attached-frame, dframe-maybee-jump-to-attached-frame)
19913 (speedbar-do-function-pointer): Declare.
19914 (rmail-speedbar-button, rmail-speedbar-find-file)
19915 (rmail-speedbar-move-message):
19916 Use dframe-with-attached-buffer rather than speedbar- alias.
19917 * progmodes/gud.el: Load dframe rather than speedbar when compiling.
19918 (dframe-message, speedbar-make-specialized-keymap)
19919 (speedbar-add-expansion-list, speedbar-mode-functions-list)
19920 (speedbar-make-tag-line, speedbar-remove-localized-speedbar-support)
19921 (speedbar-insert-button, dframe-select-attached-frame)
19922 (dframe-maybee-jump-to-attached-frame)
19923 (speedbar-change-initial-expansion-list)
19924 (speedbar-previously-used-expansion-list-name): Declare.
19925 (gud-speedbar-item-info, gud-gdb-goto-stackframe):
19926 Use dframe-message, dframe-with-attached-buffer rather than
19928 (gud-sentinel): Silence compiler.
19929 * progmodes/vhdl-mode.el (speedbar-refresh)
19930 (speedbar-do-function-pointer, speedbar-add-supported-extension)
19931 (speedbar-add-mode-functions-list, speedbar-make-specialized-keymap)
19932 (speedbar-change-initial-expansion-list, speedbar-add-expansion-list)
19933 (speedbar-extension-list-to-regex, speedbar-directory-buttons)
19934 (speedbar-file-lists, speedbar-make-tag-line)
19935 (speedbar-line-directory, speedbar-goto-this-file)
19936 (speedbar-center-buffer-smartly, speedbar-change-expand-button-char)
19937 (speedbar-delete-subblock, speedbar-position-cursor-on-line)
19938 (speedbar-make-button, speedbar-reset-scanners)
19939 (speedbar-files-item-info, speedbar-line-text)
19940 (speedbar-find-file-in-frame, speedbar-set-timer)
19941 (dframe-maybee-jump-to-attached-frame, speedbar-line-file): Declare.
19942 (speedbar-with-writable): Do not (re)define it.
19943 (vhdl-speedbar-find-file): Use dframe-maybee-jump-to-attached-frame
19944 rather than speedbar- alias.
19946 2013-05-21 Leo Liu <sdl.web@gmail.com>
19948 * progmodes/octave.el (octave-mode-menu): Update and re-organize
19950 (octave-mode): Tweak fill-nobreak-predicate.
19951 (inferior-octave-startup): Check process to avoid infinite loop.
19952 (inferior-octave): Pop to buffer first to show abornmal process
19955 2013-05-21 Glenn Morris <rgm@gnu.org>
19957 * printing.el (pr-menu-bar): Define when compiling.
19959 2013-05-21 Leo Liu <sdl.web@gmail.com>
19961 * progmodes/octave.el (octave-auto-fill): Remove.
19962 (octave-indent-new-comment-line): Improve.
19963 (octave-mode): Use auto fill mode through
19964 comment-line-break-function and fill-nobreak-predicate.
19965 (octave-goto-function-definition): Support DEFUN_DLD.
19966 (octave-beginning-of-defun): Small tweak.
19967 (octave-help): Show parent directory.
19969 2013-05-21 Glenn Morris <rgm@gnu.org>
19971 * files.el (dired-unmark):
19972 * progmodes/gud.el (gdb-input): Update declarations.
19974 * calculator.el (electric, ehelp): No need to load when compiling.
19975 (Electric-command-loop, electric-describe-mode): Declare.
19977 * doc-view.el (doc-view-current-converter-processes): Move before use.
19979 * emacs-lisp/easy-mmode.el (define-globalized-minor-mode):
19980 Move MODE-set-explicitly definition before use.
19982 * international/mule-diag.el (mule-diag):
19983 Don't use obsolete window-system-version.
19985 * mail/feedmail.el (smtpmail): No need to load when compiling.
19986 (smtpmail-via-smtp, smtpmail-smtp-server): Declare.
19988 * mail/mail-utils.el (rfc822): No need to load when compiling.
19989 (rfc822-addresses): Autoload it.
19990 (mail-strip-quoted-names): Trivial simplification.
19992 * mail/rmail.el (rmail-mime-message-p, rmail-mime-toggle-raw): Declare.
19993 (rmail-retry-failure): Don't assume that rmail-mime-feature == rmailmm.
19995 * net/snmp-mode.el (tempo): Don't duplicate requires.
19997 * progmodes/prolog.el (info): No need to load when compiling.
19998 (comint): Require before shell requires it.
19999 (Info-goto-node): Autoload it.
20000 (Info-follow-nearest-node): Declare.
20001 (prolog-help-info, prolog-goto-predicate-info): No need to require info.
20003 * textmodes/artist.el (picture-mode-exit): Declare.
20005 * textmodes/reftex-parse.el (reftex-parse-from-file):
20006 Trivial rewrite so the compiler can parse it better.
20008 2013-05-20 Leo Liu <sdl.web@gmail.com>
20010 * progmodes/octave.el (octave-help-mode-map)
20011 (octave-help-mode-finish-hook): New variables.
20012 (octave-help-mode, octave-help-mode-finish): New functions.
20013 (octave-help): Use octave-help-mode.
20015 2013-05-20 Glenn Morris <rgm@gnu.org>
20017 * format-spec.el (format-spec): Allow spec chars with nil. (Bug#14420)
20019 2013-05-19 Dmitry Gutov <dgutov@yandex.ru>
20021 * progmodes/ruby-mode.el (ruby-expression-expansion-re): Allow to
20022 start at point, so that expansion starting right after opening
20023 slash in a regexp is recognized.
20024 (ruby-syntax-before-regexp-re): New defvar, extracted from
20025 ruby-syntax-propertize-function. Since the value of this regexp
20026 is looked up at runtime now, we should be able to turn
20027 `ruby-syntax-methods-before-regexp' into a defcustom later.
20028 (ruby-syntax-propertize-function): Split regexp matching into two
20029 parts, for opening and closing slashes. That allows us to skip
20030 over string interpolations and support multiline regexps.
20031 Don't call `ruby-syntax-propertize-expansions', instead use another rule
20032 for them, which calls `ruby-syntax-propertize-expansion'.
20033 (ruby-syntax-propertize-expansions): Move `remove-text-properties'
20034 call to `ruby-syntax-propertize-function'.
20035 (ruby-syntax-propertize-expansion): Extracted from
20036 `ruby-syntax-propertize-expansions'. Handles one expansion.
20037 (ruby-syntax-propertize-percent-literal): Leave point right after
20038 the percent symbol, so that the expression expansion rule can
20039 propertize the contents.
20040 (ruby-syntax-propertize-heredoc): Leave point at bol following the
20042 (ruby-syntax-propertize-expansions): Remove.
20044 2013-05-18 Juri Linkov <juri@jurta.org>
20046 * man.el (Man-default-man-entry): Remove `-' from the end
20047 of the default value. (Bug#14400)
20049 2013-05-18 Glenn Morris <rgm@gnu.org>
20051 * comint.el (comint-password-prompt-regexp):
20052 Allow "password for XXX" where XXX contains colons (eg https://...).
20054 2013-05-18 Leo Liu <sdl.web@gmail.com>
20056 * progmodes/octave.el (inferior-octave-startup): Use OCTAVE_SRCDIR
20057 instead. Include "--no-gui" to prevent hangs for Octave > 3.7.
20058 (octave-source-directories): Don't check process.
20059 (octave-source-directories, octave-find-definition): Doc fix.
20061 2013-05-18 Glenn Morris <rgm@gnu.org>
20063 * progmodes/vhdl-mode.el (vhdl-mode-map-init):
20064 Remove backspace/delete bindings. (Bug#14392)
20066 * cus-dep.el (custom-make-dependencies): Sort the output.
20067 (custom-versions-load-alist): Convert comment to doc.
20069 2013-05-17 Leo Liu <sdl.web@gmail.com>
20071 * newcomment.el (comment-search-backward): Stricter in finding
20072 comment start. (Bug#14303)
20074 * progmodes/octave.el (octave-comment-start): Remove the SPC char.
20075 (octave-comment-start-skip): Properly anchored.
20077 2013-05-17 Leo Liu <sdl.web@gmail.com>
20079 * emacs-lisp/smie.el (smie-highlight-matching-block-mode):
20080 Clean up when turned off. (Bug#14395)
20081 (smie--highlight-matching-block-overlay): No longer buffer-local.
20082 (smie-highlight-matching-block): Adjust.
20084 2013-05-17 Paul Eggert <eggert@cs.ucla.edu>
20086 Doc string fix for "nanoseconds" (Bug#14406).
20087 * emacs-lisp/timer.el (timer-relative-time, timer-inc-time):
20088 Fix doc string typo that had "nanoseconds" instead of "microseconds".
20090 2013-05-17 Jay Belanger <jay.p.belanger@gmail.com>
20092 * calc/calc-units.el (math-extract-units): Preserve powers
20095 2013-05-17 Leo Liu <sdl.web@gmail.com>
20097 * subr.el (delete-consecutive-dups): New function.
20098 * ido.el (ido-set-matches-1): Use it.
20099 * progmodes/octave.el (inferior-octave-completion-table): Use it.
20100 * ido.el (ido-remove-consecutive-dups): Remove.
20102 2013-05-17 Stefan Monnier <monnier@iro.umontreal.ca>
20104 * progmodes/f90.el (f90-keywords-re, f90-keywords-level-3-re)
20105 (f90-hpf-keywords-re, f90-constants-re): Use \\_< rather than
20106 regexp-opt's `words'.
20108 2013-05-16 Leo Liu <sdl.web@gmail.com>
20110 * emacs-lisp/smie.el (smie-matching-block-highlight): New face.
20111 (smie--highlight-matching-block-overlay)
20112 (smie--highlight-matching-block-lastpos)
20113 (smie--highlight-matching-block-timer): New variables.
20114 (smie-highlight-matching-block): New function.
20115 (smie-highlight-matching-block-mode): New minor mode. (Bug#14395)
20116 (smie-setup): Conditionally enable smie-blink-matching-open.
20118 2013-05-16 Wilson Snyder <wsnyder@wsnyder.org>
20120 Sync with upstream verilog-mode r840.
20121 * progmodes/verilog-mode.el (verilog-mode-version)
20122 (verilog-mode-release-date): Update.
20123 (verilog-auto-lineup, verilog-auto-reset): Doc fixes.
20124 (verilog-sig-tieoff): Fix string error on
20125 AUTORESET with colon define, bug594. Reported by Andrew Hou.
20126 (verilog-read-decls): Fix parameters confusing
20127 AUTOINST interfaces, bug565. Reported by Leith Johnson.
20129 2013-05-16 Eli Zaretskii <eliz@gnu.org>
20131 * subr.el (reveal-filename): New function.
20133 * loadup.el: Compute Emacs executable versions on MS-Windows,
20134 where executables have the .exe extension. Add a hard link
20135 emacs-XX.YY.ZZ.exe on MS-Windows.
20137 * Makefile.in (XARGS_LIMIT): New variable.
20138 (custom-deps, finder-data, autoloads)
20139 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
20140 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
20141 ($(CAL_DIR)/hol-loaddefs.el): Use reveal-filename.
20142 (compile-main): Limit xargs according to $(XARGS_LIMIT).
20144 2013-05-16 Leo Liu <sdl.web@gmail.com>
20146 * progmodes/octave.el (octave-indent-defun): Mark obsolete.
20147 (octave-mode-menu, octave-mode-map): Remove its uses.
20149 2013-05-16 Reto Zimmermann <reto@gnu.org>
20151 Sync with upstream vhdl mode v3.34.2.
20152 * progmodes/vhdl-mode.el: Use `push' throughout.
20153 (vhdl-version, vhdl-time-stamp, vhdl-doc-release-notes): Update.
20154 (vhdl-compiler-alist): Replace "\t\n" by "\\t\\n".
20155 Add IBM & Quartus compiler. Enhance entry for ADVance MS compiler.
20156 (vhdl-actual-generic-name): New option to derive actual generic name.
20157 (vhdl-port-paste-signals): Replace formal by actual generics.
20158 (vhdl-beautify): New name for old group vhdl-align. Update users.
20159 (vhdl-beautify-options): New option.
20160 (vhdl-last-input-event): New compat alias. Use throughout.
20161 (vhdl-goto-line): Replace user level function `goto-line'.
20162 (vhdl-mode-map): Add bindings for vhdl-fix-statement-region,
20163 vhdl-fix-statement-buffer.
20164 (vhdl-create-mode-menu): Add some entries.
20165 (vhdl-align-region-groups): Respect vhdl-beautify-options.
20166 (vhdl-align-inline-comment-region-1): Handle "--" inside string.
20167 (vhdl-fixup-whitespace-region): Handle symbols at EOL.
20168 (vhdl-fix-statement-region, vhdl-fix-statement-buffer): New commands,
20169 to force statements on one line.
20170 (vhdl-remove-trailing-spaces-region):
20171 New, split from vhdl-remove-trailing-spaces.
20172 (vhdl-beautify-region): Fix statements, trailing spaces, ^M character.
20173 Respect vhdl-beautify-options.
20174 (vhdl-update-sensitivity-list-buffer): If non-interactive save buffer.
20175 (vhdl-update-sensitivity-list): Not add with index if exists without.
20176 Not include array index with signal. Ignore keywords in comments.
20177 (vhdl-get-visible-signals): Regexp tweaks.
20178 (vhdl-template-component-inst): Handle empty library.
20179 (vhdl-template-type): Add template for 'enum' type.
20180 (vhdl-port-paste-generic-map, vhdl-port-paste-constants):
20181 Use vhdl-replace-string.
20182 (vhdl-port-paste-signals): Use vhdl-prepare-search-1.
20183 (vhdl-speedbar-mode-map): Rename from vhdl-speedbar-key-map.
20184 (vhdl-speedbar-initialize): Update for above name change.
20185 (vhdl-compose-wire-components): Fix in handling of constants.
20186 (vhdl-error-regexp-emacs-alist): New variable.
20187 (vhdl-error-regexp-add-emacs): New function;
20188 adds support for new compile.el (Emacs 22+)
20189 (vhdl-generate-makefile-1): Change target order for single lib. units.
20190 Allow use of absolute file names.
20192 2013-05-16 Leo Liu <sdl.web@gmail.com>
20194 * simple.el (prog-indent-sexp): Indent enclosing defun.
20196 2013-05-15 Glenn Morris <rgm@gnu.org>
20198 * cus-start.el (show-trailing-whitespace): Move to editing basics.
20199 * faces.el (trailing-whitespace): Don't use whitespace-faces group.
20200 * obsolete/old-whitespace.el (whitespace-faces): Remove group.
20201 (whitespace-highlight): Move to whitespace group.
20203 * comint.el (comint-source):
20204 * pcmpl-linux.el (pcmpl-linux):
20205 * shell.el (shell-faces):
20206 * eshell/esh-opt.el (eshell-opt):
20207 * international/ccl.el (ccl): Remove empty custom groups.
20209 * completion.el (dynamic-completion-mode):
20210 * jit-lock.el (jit-lock-debug-mode):
20211 * minibuffer.el (completion-in-region-mode):
20212 * type-break.el (type-break-mode-line-message-mode)
20213 (type-break-query-mode):
20214 * emulation/tpu-edt.el (tpu-edt-mode):
20215 * progmodes/subword.el (global-subword-mode, global-superword-mode):
20216 * progmodes/vhdl-mode.el (vhdl-electric-mode, vhdl-stutter-mode):
20217 * term/vt100.el (vt100-wide-mode): Specify explicit :group.
20219 * term/xterm.el (xterm): Change parent group to terminals.
20221 * master.el (master): Remove empty custom group.
20222 (master-mode): Remove unused :group argument.
20223 * textmodes/refill.el (refill): Remove empty custom group.
20224 (refill-mode): Remove unused :group argument.
20226 * textmodes/rst.el (rst-compile-toolsets): Use rst-compile group.
20228 * cus-dep.el: Provide a feature.
20229 (custom-make-dependencies): Ignore dotfiles (dir-locals).
20230 Don't mistakenly ignore files whose basenames match a basename
20231 from preloaded-file-list (eg cedet/ede/simple.el).
20232 Add a fallback method for getting :group.
20234 2013-05-15 Juri Linkov <juri@jurta.org>
20236 * isearch.el (isearch-char-by-name): Rename from
20237 `isearch-insert-char-by-name'. Doc fix.
20238 (isearch-forward): Mention `isearch-char-by-name' in
20239 the docstring. (Bug#13348)
20241 * isearch.el (minibuffer-local-isearch-map): Bind "\r" to
20242 `exit-minibuffer' instead of
20243 `isearch-nonincremental-exit-minibuffer'.
20244 (isearch-edit-string): Remove mention of
20245 `isearch-nonincremental-exit-minibuffer' from docstring.
20246 (isearch-nonincremental-exit-minibuffer): Mark as obsolete.
20247 (isearch-forward-exit-minibuffer)
20248 (isearch-reverse-exit-minibuffer): Add docstring. (Bug#13348)
20250 2013-05-15 Stefan Monnier <monnier@iro.umontreal.ca>
20252 * loadup.el: Just use unversioned DOC.
20254 * nxml/nxml-mode.el: Treat unclosed <[[, <?, comment, and other
20255 literals as extending to EOB.
20256 (nxml-last-fontify-end): Remove unused variable.
20257 (nxml-after-change1): Use with-silent-modifications.
20258 (nxml-extend-after-change-region): Simplify.
20259 (nxml-extend-after-change-region1): Remove function.
20260 (nxml-after-change1): Don't adjust for dependent regions.
20261 (nxml-fontify-matcher): Simplify.
20262 * nxml/xmltok.el (xmltok-dependent-regions): Remove variable.
20263 (xmltok-add-dependent): Remove function.
20264 (xmltok-scan-after-lt, xmltok-scan-after-processing-instruction-open)
20265 (xmltok-scan-after-comment-open, xmltok-scan-prolog-literal)
20266 (xmltok-scan-prolog-after-processing-instruction-open): Treat
20267 unclosed <[[, <?, comment, and other literals as extending to EOB.
20268 * nxml/rng-valid.el (rng-mark-xmltok-dependent-regions)
20269 (rng-mark-xmltok-dependent-region, rng-dependent-region-changed):
20271 (rng-do-some-validation-1): Don't mark dependent regions.
20272 * nxml/nxml-rap.el (nxml-adjust-start-for-dependent-regions)
20273 (nxml-mark-parse-dependent-regions, nxml-mark-parse-dependent-region)
20274 (nxml-clear-dependent-regions): Remove functions.
20275 (nxml-scan-after-change, nxml-scan-prolog, nxml-tokenize-forward)
20276 (nxml-ensure-scan-up-to-date):
20277 Don't clear&mark dependent regions.
20279 2013-05-15 Leo Liu <sdl.web@gmail.com>
20281 * progmodes/octave.el (octave-goto-function-definition):
20282 Improve and fix callers.
20284 2013-05-15 Stefan Monnier <monnier@iro.umontreal.ca>
20286 * emacs-lisp/cl-extra.el (cl-getf): Return the proper value in
20287 the setter (bug#14387).
20289 * progmodes/f90.el (f90-blocks-re): Include the terminating \> in the
20290 surrounding group (bug#14402).
20292 2013-05-14 Juri Linkov <juri@jurta.org>
20294 * subr.el (find-tag-default-as-regexp): Return nil if `tag' is nil.
20297 2013-05-14 Glenn Morris <rgm@gnu.org>
20299 * progmodes/f90.el (f90-imenu-generic-expression):
20300 Fix typo in 2013-05-08 change. (Bug#14402)
20302 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
20304 * progmodes/gdb-mi.el (gdb-running, gdb-starting):
20305 Remove signals for which replies are never received.
20307 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
20309 * progmodes/gdb-mi.el: Fix non-responsive gud commands (bug#13845)
20310 (gdb-handler-alist, gdb-handler-number): Remove variables.
20311 (gdb-handler-list): New variable.
20312 (gdb-add-handler, gdb-delete-handler, gdb-get-handler-function)
20313 (gdb-pending-handler-p, gdb-handle-reply)
20314 (gdb-remove-all-pending-triggers): New functions.
20315 (gdb-discard-unordered-replies): New defcustom.
20316 (gdb-handler): New defstruct.
20317 (gdb-wait-for-pending): Fix invalid backquote. Use gdb-handler-list.
20318 instead of gdb-pending-triggers. Update docstring.
20319 (gdb-init-1): Remove dead variables. Initialize gdb-handler-list.
20320 (gdb-speedbar-update, gdb-speedbar-timer-fn, gdb-var-update)
20321 (gdb-var-update-handler, def-gdb-auto-update-trigger)
20322 (def-gdb-auto-update-handler, gdb-get-changed-registers)
20323 (gdb-changed-registers-handler, gdb-get-main-selected-frame)
20324 (gdb-frame-handler): Pending triggers are now automatically managed.
20325 (def-gdb-trigger-and-handler, def-gdb-auto-update-handler):
20327 (gdb-input): Automatically handles pending triggers. Update docstring.
20328 (gdb-resync): Replace gdb-pending-triggers by gdb-handler-list.
20329 (gdb-thread-exited, gdb-thread-selected, gdb-register-names-handler):
20331 (gdb-done-or-error): Now use gdb-handle-reply.
20333 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
20335 * progmodes/gdb-mi.el (gdb-input): Include token numbers in
20338 2013-05-14 Glenn Morris <rgm@gnu.org>
20340 * subr.el (user-emacs-directory-warning): New option.
20341 (locate-user-emacs-file): Handle non-accessible .emacs.d. (Bug#13930)
20343 2013-05-14 Leo Liu <sdl.web@gmail.com>
20345 * progmodes/octave.el (octave-font-lock-keywords): Fix error
20347 (octave-goto-function-definition, octave-find-definition): Minor tweaks.
20348 (octave-font-lock-texinfo-comment): Fix invalid search bound
20349 error: wrong side of point.
20351 2013-05-14 Glenn Morris <rgm@gnu.org>
20353 * progmodes/flymake.el (flymake-xml-program): New option.
20354 (flymake-xml-init): Use it.
20356 * term/xterm.el: Provide a feature.
20358 * term/sup-mouse.el: Move to obsolete/. Provide a feature.
20360 2013-05-13 Glenn Morris <rgm@gnu.org>
20362 * cus-dep.el (defcustom-mh, defgroup-mh, defface-mh):
20363 Add compat aliases as a hack workaround. (Bug#14384)
20365 2013-05-13 Leo Liu <sdl.web@gmail.com>
20367 * progmodes/octave.el (octave-indent-comment): Fix indentation for
20369 (octave-mode-map): Bind octave-indent-defun to C-c C-q instead of
20371 (octave-comment-start-skip): Include %!.
20372 (octave-mode): Set comment-start-skip to octave-comment-start-skip.
20374 2013-05-12 Leo Liu <sdl.web@gmail.com>
20376 * progmodes/octave.el (inferior-octave-startup): Store the value
20377 of __octave_srcdir__ for octave-source-directories.
20378 (inferior-octave-check-process): New function refactored out of
20379 inferior-octave-send-list-and-digest.
20380 (octave-source-directories)
20381 (octave-find-definition-filename-function): New variables.
20382 (octave-source-directories)
20383 (octave-find-definition-default-filename): New functions.
20384 (octave-find-definition): Improve to find functions implemented in C++.
20386 2013-05-12 Glenn Morris <rgm@gnu.org>
20388 * calendar/diary-lib.el (diary-outlook-format-1):
20389 Don't include dayname in the output. (Bug#14349)
20391 2013-05-11 Glenn Morris <rgm@gnu.org>
20393 * emacs-lisp/autoload.el (generated-autoload-load-name): Doc fix.
20395 * cus-dep.el (custom-make-dependencies): Only use safe local variables.
20396 Treat cc-provide like provide.
20398 2013-05-11 Kevin Ryde <user42@zip.com.au>
20400 * cus-dep.el (custom-make-dependencies):
20401 Use generated-autoload-load-name for the sake of files such
20402 such cedet/semantic/bovine/c.el, where the base file name
20403 is not in load-path. (Bug#5277)
20405 2013-05-11 Glenn Morris <rgm@gnu.org>
20407 * dos-vars.el, emacs-lisp/cl-indent.el, emulation/tpu-extras.el:
20410 2013-05-11 Leo Liu <sdl.web@gmail.com>
20412 * progmodes/octave.el (octave-indent-comment): Improve.
20413 (octave-eldoc-message-style, octave-eldoc-cache): New variables.
20414 (octave-eldoc-function-signatures, octave-eldoc-function):
20416 (octave-mode, inferior-octave-mode): Add eldoc support.
20418 2013-05-11 Richard Stallman <rms@gnu.org>
20420 * epa.el (epa-decrypt-file): Take output file name as argument
20421 and read it using `interactive'.
20423 2013-05-11 Leo Liu <sdl.web@gmail.com>
20425 * progmodes/octave.el (octave-beginning-of-line)
20426 (octave-end-of-line): Check before using up-list because it jumps
20427 out of more syntactic contructs since moving to smie.
20428 (octave-indent-comment): New function.
20429 (octave-mode): Use it in smie-indent-functions. (Bug#14350)
20430 (octave-begin-keywords, octave-end-keywords)
20431 (octave-reserved-words, octave-smie-bnf-table)
20432 (octave-smie-rules): Add new keywords from Octave 3.6.4.
20434 2013-05-11 Glenn Morris <rgm@gnu.org>
20436 * faces.el (internal-face-x-get-resource):
20437 * frame.el (ns-display-monitor-attributes-list):
20438 * calc/calc-aent.el (math-to-radians-2):
20439 * emacs-lisp/package.el (tar-header-name, tar-header-link-type):
20442 * calc/calc-menu.el: Make it loadable in isolation.
20444 * net/eudcb-bbdb.el: Make it loadable without bbdb.
20445 (eudc-bbdb-filter-non-matching-record, eudc-bbdb-extract-phones)
20446 (eudc-bbdb-extract-addresses, eudc-bbdb-format-record-as-result)
20447 (eudc-bbdb-query-internal): Require 'bbdb.
20449 * lpr.el (lpr-headers-switches):
20450 * emacs-lisp/testcover.el (testcover-compose-functions): Fix :type.
20452 * progmodes/sql.el (sql-login-params): Fix and improve :type.
20454 * emulation/edt-mapper.el: In batch mode, error rather than hang.
20456 * term.el (term-set-escape-char): Make it idempotent.
20458 2013-05-10 Leo Liu <sdl.web@gmail.com>
20460 * progmodes/octave.el (inferior-octave-completion-table):
20461 No longer a function and all uses changed. Use cache to speed up
20462 completion due to bug#11906.
20463 (octave-beginning-of-defun): Re-write to be more general.
20465 2013-05-10 Glenn Morris <rgm@gnu.org>
20467 * emacs-lisp/cl-macs.el (cl-loop): Doc fix.
20469 2013-05-09 Stefan Monnier <monnier@iro.umontreal.ca>
20471 * comint.el (comint-redirect-send-command-to-process): Use :around
20472 rather than :override for comint-redirect-filter.
20473 (comint-redirect-filter): Add the corresponding `orig-filter' argument.
20474 Call it instead of comint-redirect-original-filter-function (which
20475 is gone). Reported by Juanma Barranquero <lekktu@gmail.com>.
20477 2013-05-09 Jan Djärv <jan.h.d@swipnet.se>
20479 * frame.el (display-monitor-attributes-list): Add NS case.
20480 (ns-display-monitor-attributes-list): Declare.
20482 2013-05-09 Ulrich Mueller <ulm@gentoo.org>
20484 * descr-text.el (describe-char): Fix %d/%x typo. (Bug#14360)
20486 2013-05-09 Glenn Morris <rgm@gnu.org>
20488 * international/fontset.el (vertical-centering-font-regexp):
20489 Set standard-value.
20491 * tar-mode.el (tar-superior-buffer, tar-superior-descriptor): Add doc.
20493 * bookmark.el (bookmark-search-delay):
20494 * cus-start.el (vertical-centering-font-regexp):
20495 * ps-mule.el (ps-mule-font-info-database-default):
20496 * ps-print.el (ps-default-fg, ps-default-bg):
20497 * type-break.el (type-break-good-break-interval):
20498 * whitespace.el (whitespace-indentation-regexp)
20499 (whitespace-space-after-tab-regexp):
20500 * emacs-lisp/testcover.el (testcover-1value-functions)
20501 (testcover-noreturn-functions, testcover-progn-functions)
20502 (testcover-prog1-functions):
20503 * emulation/viper-init.el (viper-emacs-state-cursor-color):
20504 * eshell/em-glob.el (eshell-glob-translate-alist):
20505 * play/tetris.el (tetris-tty-colors):
20506 * progmodes/cpp.el (cpp-face-default-list):
20507 * progmodes/flymake.el (flymake-allowed-file-name-masks):
20508 * progmodes/idlw-help.el (idlwave-help-browser-generic-program)
20509 (idlwave-help-browser-generic-args):
20510 * progmodes/make-mode.el (makefile-special-targets-list):
20511 * progmodes/python.el (python-shell-virtualenv-path):
20512 * progmodes/verilog-mode.el (verilog-active-low-regexp)
20513 (verilog-auto-input-ignore-regexp, verilog-auto-inout-ignore-regexp)
20514 (verilog-auto-output-ignore-regexp, verilog-auto-tieoff-ignore-regexp)
20515 (verilog-auto-unused-ignore-regexp, verilog-typedef-regexp):
20516 * textmodes/reftex-vars.el (reftex-format-label-function):
20517 * textmodes/remember.el (remember-diary-file): Fix custom types.
20519 * jka-cmpr-hook.el (jka-compr-mode-alist-additions): Fix typo.
20522 2013-05-09 Leo Liu <sdl.web@gmail.com>
20524 * progmodes/octave.el (inferior-octave-completion-at-point):
20525 Restore file completion. (Bug#14300)
20526 (inferior-octave-startup): Fix incorrect highlighting for the
20529 2013-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
20531 * progmodes/ruby-mode.el: First cut at SMIE support.
20532 (ruby-use-smie): New var.
20533 (ruby-smie-grammar): New constant.
20534 (ruby-smie--bosp, ruby-smie--implicit-semi-p)
20535 (ruby-smie--forward-token, ruby-smie--backward-token)
20536 (ruby-smie-rules): New functions.
20537 (ruby-mode-variables): Setup SMIE if applicable.
20539 2013-05-08 Eli Zaretskii <eliz@gnu.org>
20541 * simple.el (line-move-visual): Signal beginning/end of buffer
20542 only if vertical-motion moved less than it was requested. Avoids
20543 silly incorrect error messages when there are display strings with
20544 multiple newlines at EOL.
20546 2013-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
20548 * progmodes/vera-mode.el (vera-underscore-is-part-of-word):
20549 * progmodes/prolog.el (prolog-underscore-wordchar-flag)
20550 (prolog-char-quote-workaround):
20551 * progmodes/cperl-mode.el (cperl-under-as-char):
20552 * progmodes/vhdl-mode.el (vhdl-underscore-is-part-of-word):
20554 (vhdl-mode-syntax-table, vhdl-mode-ext-syntax-table): Initialize in
20556 (vhdl-mode-syntax-table-init): Remove.
20558 * progmodes/m4-mode.el (m4-mode-syntax-table): Add comment on
20561 * progmodes/ld-script.el (ld-script-mode-syntax-table): Use symbol
20563 (ld-script-font-lock-keywords):
20564 Change regexps to use things like \_< and \_>.
20566 * progmodes/f90.el (f90-mode-syntax-table): Use symbol syntax for "_".
20567 Change all regexps to use things like \_< and \_>.
20569 * progmodes/autoconf.el (autoconf-definition-regexp)
20570 (autoconf-font-lock-keywords, autoconf-current-defun-function):
20571 Handle a _ with symbol syntax.
20572 (autoconf-mode): Don't change the syntax-table for imenu and font-lock.
20574 * progmodes/ada-mode.el (ada-mode-abbrev-table):
20575 Consolidate declaration.
20576 (ada-mode-syntax-table, ada-mode-symbol-syntax-table): Initialize in
20578 (ada-create-syntax-table): Remove.
20579 (ada-capitalize-word): Don't mess with the syntax of "_" since it
20580 already has the right syntax nowadays.
20581 (ada-goto-next-word): Don't change the syntax of "_".
20583 * font-lock.el (lisp-font-lock-keywords-2): Don't highlight obsolete
20586 2013-05-08 Sam Steingold <sds@gnu.org>
20588 * thingatpt.el (thing-at-point): Accept optional second argument
20589 NO-PROPERTIES to strip the text properties from the return value.
20590 * net/browse-url.el (browse-url-url-at-point): Pass NO-PROPERTIES
20591 to `thing-at-point' instead of stripping the properties ourselves.
20592 Also, when `thing-at-point' fails to find a url, prepend "http://"
20593 to the filename at point on the assumption that the user is
20594 pointing at something like gnu.org/gnu.
20596 2013-05-08 Juanma Barranquero <lekktu@gmail.com>
20598 * emacs-lisp/bytecomp.el (byte-compile-insert-header):
20599 * faces.el (crm-separator):
20600 Silence byte-compiler.
20602 * progmodes/gud.el (gdb-speedbar-auto-raise, gud-tooltip-mode)
20603 (tool-bar-map): Remove unneeded defvars.
20605 2013-05-08 Leo Liu <sdl.web@gmail.com>
20607 Re-work a fix for bug#10994 based on Le Wang's patch.
20608 * ido.el (ido-remove-consecutive-dups): New helper.
20609 (ido-completing-read): Use it.
20610 (ido-chop): Revert fix for bug#10994.
20612 2013-05-08 Adam Spiers <emacs@adamspiers.org>
20614 * cus-edit.el (custom-save-variables):
20615 Pretty-print long values. (Bug#14187)
20617 2013-05-08 Glenn Morris <rgm@gnu.org>
20619 * progmodes/m4-mode.el (m4-program): Assume it is in PATH.
20620 (m4-mode-syntax-table): Init in the defvar.
20621 (m4-mode-abbrev-table): Let define-derived-mode define it.
20623 2013-05-08 Tom Tromey <tromey@redhat.com>
20625 * progmodes/m4-mode.el (m4-mode-syntax-table):
20626 Do not treat "_" as word constituent. (Bug#14167)
20628 2013-05-07 Glenn Morris <rgm@gnu.org>
20630 * eshell/em-hist.el (eshell-isearch-map): Initialize in the defvar.
20631 Remove explicit eshell-isearch-cancel-map.
20633 * progmodes/f90.el (f90-smart-end-names): New option.
20634 (f90-smart-end): Doc fix.
20635 (f90-end-block-optional-name): New constant.
20636 (f90-block-match): Respect f90-smart-end-names.
20638 2013-05-07 Stefan Monnier <monnier@iro.umontreal.ca>
20640 * progmodes/octave.el (octave-smie-forward-token): Be more careful
20641 about implicit semi-colons (bug#14218).
20643 2013-05-07 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
20645 * frame.el (display-monitor-attributes-list)
20646 (frame-monitor-attributes): New functions.
20648 2013-05-06 Leo Liu <sdl.web@gmail.com>
20650 * progmodes/octave.el (octave-syntax-propertize-function): Change
20651 \'s syntax to escape when inside double-quoted strings. (Bug#14332)
20652 (octave-font-lock-keywords): Use octave-operator-regexp.
20653 (octave-completion-at-point): Rename from
20654 octave-completion-at-point-function.
20655 (inferior-octave-directory-tracker): Robustify.
20656 (octave-text-functions): Remove and fix its uses. No such things
20659 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
20661 * emacs-lisp/trace.el (trace--display-buffer): New function.
20662 (trace-make-advice): Use it.
20664 2013-05-06 Juri Linkov <juri@jurta.org>
20666 * emacs-lisp/lisp-mode.el (eval-defun-2): Doc fix. (Bug#14344)
20667 (eval-defun-2, eval-defun, eval-last-sexp, eval-last-sexp-1):
20669 (emacs-lisp-mode-map): Replace "minibuffer" with "echo area"
20670 in the help string. (Bug#12985)
20672 2013-05-06 Kelly Dean <kellydeanch@yahoo.com> (tiny change)
20674 * simple.el (shell-command-on-region): Doc fix. (Bug#14279)
20676 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
20678 * progmodes/perl-mode.el: Add support for here documents.
20679 (perl-syntax-propertize-function): Match here-doc markers.
20680 (perl-syntax-propertize-special-constructs): Find their end.
20681 (perl-imenu-generic-expression): Use [:alnum:].
20683 * emacs-lisp/nadvice.el (advice--member-p): Return the advice if found.
20684 (advice--add-function): Refresh the advice if already present
20687 2013-05-06 Ivan Andrus <darthandrus@gmail.com>
20689 * find-file.el (cc-other-file-alist): Add ".m" for ObjC. (Bug#14339)
20691 2013-05-06 Glenn Morris <rgm@gnu.org>
20693 * w32-fns.el (w32-charset-info-alist): Declare.
20695 * eshell/em-cmpl.el: Simply require pcomplete; eg we use a bunch
20696 of its defcustom properties.
20697 (eshell-cmpl-initialize): No need to load pcomplete.
20699 * generic-x.el: No need to require comint when compiling.
20701 * net/eudc-export.el: Make it loadable without bbdb.
20702 (top-level): Use require rather than load-library.
20703 (eudc-create-bbdb-record, eudc-bbdbify-phone)
20704 (eudc-batch-export-records-to-bbdb)
20705 (eudc-insert-record-at-point-into-bbdb, eudc-try-bbdb-insert):
20708 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
20710 * progmodes/octave.el (octave-texinfo-font-lock-keywords): Remove.
20711 (octave-font-lock-texinfo-comment): Use texinfo-font-lock-keywords with
20712 some tweaks, instead.
20714 2013-05-05 Leo Liu <sdl.web@gmail.com>
20716 * progmodes/octave.el (octave-font-lock-keywords)
20717 (octave-font-lock-texinfo-comment): Adjust for the byte-compiler.
20718 (inferior-octave-send-list-and-digest): Improve error message.
20719 (octave-mode, inferior-octave-mode): Use setq-local.
20720 (octave-help): Set info-lookup-mode.
20722 2013-05-05 Richard Stallman <rms@gnu.org>
20724 * vc/compare-w.el (compare-windows-whitespace):
20725 Treat no-break space as whitespace.
20727 * mail/rmailsum.el (rmail-summary-rmail-update):
20728 Detect empty summary and don't change selected message.
20729 (rmail-summary-goto-msg): Likewise.
20731 * mail/rmailsum.el (rmail-new-summary, rmail-new-summary-1):
20732 Doc fixes, rename args.
20734 2013-05-05 Alan Mackenzie <acm@muc.de>
20736 * progmodes/cc-defs.el (c-version): Increment to 5.32.5.
20738 2013-05-05 Juri Linkov <juri@jurta.org>
20740 * info.el (Info-read-subfile): Use (point-min) instead of (point)
20741 to not add the length of the summary segment to the return value.
20744 2013-05-05 Leo Liu <sdl.web@gmail.com>
20746 * progmodes/octave.el (inferior-octave-strip-ctrl-g)
20747 (inferior-octave-output-filter): Remove.
20748 (octave-send-region, inferior-octave-startup): Fix callers.
20749 (inferior-octave-mode-map): Don't use comint-dynamic-complete.
20750 (octave-binary-file-extensions): New user variable.
20751 (octave-find-definition): Confirm if opening binary files.
20752 (octave-help-file): Use octave-find-definition to get the binary
20754 (octave-help): Adjust for octave-help-file change.
20756 2013-05-05 Stefan Monnier <monnier@iro.umontreal.ca>
20758 * progmodes/pascal.el (pascal-font-lock-keywords): Use backquotes.
20759 Merge the two entries that handle function definitions.
20760 (pascal--syntax-propertize): New const.
20761 (pascal-mode): Use it. Use setq-local.
20763 2013-05-04 Glenn Morris <rgm@gnu.org>
20765 * calendar/diary-lib.el (diary-from-outlook-function): New variable.
20766 (diary-from-outlook): Respect diary-from-outlook-function.
20768 2013-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
20770 * simple.el (read-expression-map): Use completion-at-point (bug#14255).
20771 Move the declaration from C.
20772 (read-minibuffer, eval-minibuffer): Move from C.
20773 (completion-setup-function): Avoid minibuffer-completion-contents.
20775 2013-05-03 Leo Liu <sdl.web@gmail.com>
20777 * progmodes/octave.el (octave-font-lock-keywords): Do not
20778 dehighlight 'end' in comments or strings.
20779 (octave-completing-read, octave-goto-function-definition):
20781 (octave-help-buffer): New user variable.
20782 (octave-help-file, octave-help-function): New button types.
20783 (octave-help): New command and bind it to C-h ;.
20784 (octave-find-definition): New command and bind it to M-.
20785 (user-error): Alias to error if not defined.
20787 2013-05-02 Leo Liu <sdl.web@gmail.com>
20789 * progmodes/octave.el (octave-mode-syntax-table): Correct syntax
20791 (octave-font-lock-keywords): Include [ and {.
20793 2013-05-02 Leo Liu <sdl.web@gmail.com>
20795 * progmodes/octave.el (inferior-octave-startup-file): Change default.
20796 (inferior-octave): Remove calling comint-mode and return the buffer.
20797 (inferior-octave-startup): Cosmetic changes.
20799 2013-05-02 Leo Liu <sdl.web@gmail.com>
20801 * progmodes/octave.el (octave-syntax-propertize-function):
20802 Include the case when ' is at line beginning. (Bug#14336)
20804 2013-05-02 Glenn Morris <rgm@gnu.org>
20806 * vc/vc-dir.el (vc-dir-mode): Don't autoload it for everyone.
20807 * desktop.el (vc-dir-mode): Just autoload it here.
20809 2013-05-02 Alan Mackenzie <acm@muc.de>
20811 Eliminate variable c-standard-font-lock-fontify-region-function.
20812 * progmodes/cc-mode.el
20813 (c-standard-font-lock-fontify-region-function): Remove.
20814 (c-font-lock-fontify-region, c-after-font-lock-init): Adapt.
20816 2013-05-01 Leo Liu <sdl.web@gmail.com>
20818 * progmodes/octave.el: Compatible with older emacs-24 releases.
20819 (inferior-octave-has-built-in-variables): Remove. Built-in
20820 variables were removed from Octave in 2007.
20821 (inferior-octave-startup): Fix uses.
20822 (comint-line-beginning-position): Remove compatibility code for
20825 2013-05-01 Juri Linkov <juri@jurta.org>
20827 * isearch.el (isearch-forward, isearch-mode): Doc fix. (Bug#13923)
20829 2013-05-01 Juri Linkov <juri@jurta.org>
20831 * comint.el (comint-previous-matching-input): Don't print message
20832 "History item: %d" when `isearch-mode' is active.
20833 (comint-history-isearch-message): Print message "History item: %d"
20834 when `comint-input-ring-index' is not empty and this function is
20835 called from `isearch-update' with a nil `ellipsis'. (Bug#13223)
20837 2013-05-01 Leo Liu <sdl.web@gmail.com>
20839 * progmodes/octave.el (octave-abbrev-table): Remove abbrev
20840 definitions. Use completion-at-point to insert keywords.
20841 (octave-abbrev-start): Remove.
20842 (inferior-octave-mode, octave-mode): Use :abbrev-table instead.
20844 2013-04-30 Leo Liu <sdl.web@gmail.com>
20846 * progmodes/octave.el (inferior-octave-prompt-read-only): Fix last
20849 2013-04-30 Alan Mackenzie <acm@muc.de>
20851 Handle arbitrarily long C++ member initialisation lists.
20852 * progmodes/cc-engine.el (c-back-over-member-initializers):
20854 (c-guess-basic-syntax): New CASE 5R (extracted from 5B) to handle
20855 (most) member init lists.
20857 2013-04-30 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
20859 * progmodes/octave.el (inferior-octave-prompt-read-only): New user
20862 2013-04-30 Leo Liu <sdl.web@gmail.com>
20864 * progmodes/octave.el (octave-variables): Remove. No builtin
20865 variables any more. All converted to functions.
20866 (octave-font-lock-keywords, octave-completion-at-point-function):
20868 (octave-font-lock-texinfo-comment): New user variable.
20869 (octave-texinfo-font-lock-keywords): New variable for texinfo
20871 (octave-function-comment-block): New face.
20872 (octave-font-lock-texinfo-comment): New function.
20873 (octave-mode): Font lock texinfo comment block.
20875 2013-04-29 Leo Liu <sdl.web@gmail.com>
20877 * progmodes/octave.el (octave-font-lock-keywords): Handle 'end' in
20878 indexing expression.
20879 (octave-continuation-string): Do not use \.
20880 (inferior-octave-complete-impossible): Remove.
20881 (inferior-octave-completion-table)
20882 (inferior-octave-completion-at-point): Remove its uses.
20883 (inferior-octave-startup): completion_matches was introduced to
20884 Octave in 1996 so safe to assume it.
20885 (octave-function-file-comment): Improve to follow how Octave does it.
20886 (octave-update-function-file-comment): Tweak.
20888 2013-04-29 Leo Liu <sdl.web@gmail.com>
20890 * progmodes/octave.el (inferior-octave-startup-hook): Obsolete.
20891 (inferior-octave-startup): Remove inferior-octave-startup-hook.
20892 (octave-function-file-comment): Fix typo.
20893 (octave-sync-function-file-names): Use read-char-choice.
20895 2013-04-28 Jay Belanger <jay.p.belanger@gmail.com>
20897 * calc/calc.el (math-normalize): Don't set `math-normalize-error'
20898 to t for the less important warnings.
20900 2013-04-27 Darren Hoo <darren.hoo@gmail.com> (tiny change)
20902 * isearch.el (isearch-fail-pos): Check for empty `cmds'. (Bug#14268)
20904 2013-04-27 Glenn Morris <rgm@gnu.org>
20906 * vc/log-view.el (log-view-current-entry):
20907 Treat "---" separator lines as part of the following rev. (Bug#14169)
20909 2013-04-27 Juri Linkov <juri@jurta.org>
20911 * subr.el (read-number): Doc fix about using it by interactive
20912 code letter `n'. (Bug#14254)
20914 2013-04-27 Juri Linkov <juri@jurta.org>
20916 * desktop.el (desktop-auto-save-timeout): New option.
20917 (desktop-file-checksum): New variable.
20918 (desktop-save): Add optional arg `auto-save' and don't auto-save
20919 if nothing changed.
20920 (desktop-auto-save-timer): New variable.
20921 (desktop-auto-save, desktop-auto-save-set-timer): New functions.
20922 (after-init-hook): Call `desktop-auto-save-set-timer'.
20923 Suggested by Reuben Thomas <rrt@sc3d.org> in
20924 <http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00327.html>.
20926 2013-04-27 Leo Liu <sdl.web@gmail.com>
20928 * progmodes/octave.el (octave-function-file-p)
20929 (octave-skip-comment-forward, octave-function-file-comment)
20930 (octave-update-function-file-comment): New functions.
20931 (octave-mode-map): Bind C-c ; to
20932 octave-update-function-file-comment.
20933 (octave-mode-menu): Add octave-update-function-file-comment.
20934 (octave-mode, inferior-octave-mode): Fix doc-string.
20935 (octave-insert-defun): Conform to Octave's coding convention.
20938 * files.el (basic-save-buffer): Don't let errors in
20939 before-save-hook prevent saving buffer.
20941 2013-04-20 Roland Winkler <winkler@gnu.org>
20943 * faces.el (read-face-name): Use completing-read if arg multiple
20946 2013-04-27 Ingo Lohmar <i.lohmar@gmail.com> (tiny change)
20948 * ls-lisp.el (ls-lisp-insert-directory): If no files are
20949 displayed, move point to after the totals line.
20950 See http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00677.html
20953 2013-04-27 Stefan Monnier <monnier@iro.umontreal.ca>
20955 * emacs-lisp/package.el (package-autoload-ensure-default-file):
20956 Add current dir to the load-path.
20957 (package-generate-autoloads): Don't rely on
20958 autoload-ensure-default-file.
20960 2013-04-26 Reuben Thomas <rrt@sc3d.org>
20962 * textmodes/remember.el (remember-store-in-files): Document that
20963 the file name format is passed to `format-time-string'.
20965 2013-04-26 Leo Liu <sdl.web@gmail.com>
20967 * progmodes/octave.el (octave-sync-function-file-names): New function.
20968 (octave-mode): Use it in before-save-hook.
20970 2013-04-26 Stefan Monnier <monnier@iro.umontreal.ca>
20972 * emacs-lisp/tabulated-list.el (tabulated-list-mode): Disable undo
20975 * progmodes/octave.el (octave-smie-forward-token): Properly skip
20976 \n and comment, even if it's not an implicit ; (bug#14218).
20978 2013-04-26 Glenn Morris <rgm@gnu.org>
20980 * subr.el (read-number): Once more use `read' rather than
20981 `string-to-number', to trap non-numeric input. (Bug#14254)
20983 2013-04-26 Erik Charlebois <erikcharlebois@gmail.com>
20985 * emacs-lisp/syntax.el (syntax-propertize-multiline):
20986 Use `syntax-multiline' text property consistently instead of
20987 `font-lock-multiline'. (Bug#14237)
20989 2013-04-26 Glenn Morris <rgm@gnu.org>
20991 * emacs-lisp/shadow.el (list-load-path-shadows):
20992 No longer necessary to check for duplicate simple.el, since
20993 2012-07-07 change to init_lread to not include installation lisp
20994 directories in load-path when running uninstalled. (Bug#14270)
20996 2013-04-26 Leo Liu <sdl.web@gmail.com>
20998 * progmodes/octave.el (octave-submit-bug-report): Obsolete.
20999 (octave-mode, inferior-octave-mode): Use setq-local.
21000 (octave-not-in-string-or-comment-p): Rename to
21001 octave-in-string-or-comment-p.
21002 (octave-in-comment-p, octave-in-string-p)
21003 (octave-in-string-or-comment-p): Replace defsubst with defun.
21005 2013-04-25 Paul Eggert <eggert@cs.ucla.edu>
21007 * Makefile.in (distclean): Remove $(lisp)/loaddefs.el~.
21009 2013-04-25 Bastien Guerry <bzg@gnu.org>
21011 * textmodes/remember.el (remember-data-directory)
21012 (remember-directory-file-name-format): Fix custom types.
21014 2013-04-25 Leo Liu <sdl.web@gmail.com>
21016 * progmodes/octave.el (octave-completion-at-point-function):
21017 Make use of inferior octave process.
21018 (octave-initialize-completions): Remove.
21019 (inferior-octave-completion-table): New function.
21020 (inferior-octave-completion-at-point): Use it.
21021 (octave-completion-alist): Remove.
21023 2013-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
21025 * progmodes/opascal.el: Use font-lock and syntax-propertize.
21026 (opascal-mode-syntax-table): New var.
21027 (opascal-literal-kind, opascal-is-literal-end)
21028 (opascal-literal-token-at): Rewrite.
21029 (opascal--literal-start-re, opascal-font-lock-keywords)
21030 (opascal--syntax-propertize): New constants.
21031 (opascal-font-lock-defaults): Adjust.
21032 (opascal-mode): Use them. Set comment-<foo> variables as well.
21033 (delphi-comment-face, opascal-comment-face, delphi-string-face)
21034 (opascal-string-face, delphi-keyword-face, opascal-keyword-face)
21035 (delphi-other-face, opascal-other-face): Remove face variables.
21036 (opascal-save-state): Remove macro.
21037 (opascal-fontifying-progress-step): Remove constant.
21038 (opascal--ignore-changes): Remove var.
21039 (opascal-set-token-property, opascal-parse-next-literal)
21040 (opascal-is-stable-literal, opascal-complete-literal)
21041 (opascal-is-literal-start, opascal-face-of)
21042 (opascal-parse-region, opascal-parse-region-until-stable)
21043 (opascal-fontify-region, opascal-after-change)
21044 (opascal-debug-show-is-stable, opascal-debug-unparse-buffer)
21045 (opascal-debug-parse-region, opascal-debug-parse-window)
21046 (opascal-debug-parse-buffer, opascal-debug-fontify-window)
21047 (opascal-debug-fontify-buffer): Remove.
21048 (opascal-debug-mode-map): Adjust accordingly.
21050 2013-04-25 Leo Liu <sdl.web@gmail.com>
21052 Merge octave-mod.el and octave-inf.el into octave.el with some
21054 * progmodes/octave.el: New file renamed from octave-mod.el.
21055 * progmodes/octave-inf.el: Merged into octave.el.
21056 * progmodes/octave-mod.el: Renamed to octave.el.
21058 2013-04-25 Tassilo Horn <tsdh@gnu.org>
21060 * textmodes/reftex-vars.el
21061 (reftex-label-ignored-macros-and-environments): New defcustom.
21063 * textmodes/reftex-parse.el (reftex-parse-from-file): Use it.
21065 2013-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
21067 * emacs-lisp/smie.el (smie-indent--hanging-p): Don't burp at EOB.
21068 (smie-indent-keyword): Improve the check to ensure that the next
21069 comment is really on the same line.
21070 (smie-indent-comment): Don't align with a subsequent closer (or eob).
21072 * progmodes/octave-mod.el (octave-smie-forward-token): Only emit
21073 semi-colons if the line is not otherwise empty (bug#14218).
21075 2013-04-25 Glenn Morris <rgm@gnu.org>
21077 * vc/vc-bzr.el (vc-bzr-print-log): Tweak LIMIT = 1 case.
21079 2013-04-24 Stefan Monnier <monnier@iro.umontreal.ca>
21081 * progmodes/opascal.el (opascal-set-token-property): Rename from
21082 opascal-set-text-properties and only set `token' (bug#14134).
21083 Suggested by Erik Knowles <eknowles@geosystemsoftware.com>.
21084 (opascal-literal-text-properties): Remove.
21085 (opascal-parse-next-literal, opascal-debug-unparse-buffer):
21088 2013-04-24 Reuben Thomas <rrt@sc3d.org>
21090 * textmodes/remember.el (remember-handler-functions): Add an
21091 option for a new handler `remember-store-in-files'.
21092 (remember-data-directory, remember-directory-file-name-format):
21094 (remember-store-in-files): New function to store remember notes
21095 as separate files within a directory.
21097 2013-04-24 Magnus Henoch <magnus.henoch@gmail.com>
21099 * progmodes/compile.el (compilation-next-error-function):
21100 Pass "formats" to compilation-find-file (bug#11777).
21102 2013-04-24 Glenn Morris <rgm@gnu.org>
21104 * vc/vc-bzr.el (vc-bzr-print-log):
21105 * vc/vc-hg.el (vc-hg-print-log):
21106 * vc/vc-svn.el (vc-svn-print-log):
21107 Fix START-REVISION with LIMIT != 1. (Bug#14168)
21109 * vc/vc-bzr.el (vc-bzr-print-log):
21110 * vc/vc-cvs.el (vc-cvs-print-log):
21111 * vc/vc-git.el (vc-git-print-log):
21112 * vc/vc-hg.el (vc-hg-print-log):
21113 * vc/vc-mtn.el (vc-mtn-print-log):
21114 * vc/vc-rcs.el (vc-rcs-print-log):
21115 * vc/vc-sccs.el (vc-sccs-print-log):
21116 * vc/vc-svn.el (vc-svn-print-log):
21117 * vc/vc.el (vc-print-log-internal): Doc fixes.
21119 2013-04-23 Glenn Morris <rgm@gnu.org>
21121 * startup.el (normal-no-mouse-startup-screen, normal-about-screen):
21122 Remove venerable code attempting to avoid substitute-command-keys.
21124 2013-04-23 Tassilo Horn <tsdh@gnu.org>
21126 * textmodes/reftex-vars.el (reftex-label-regexps):
21127 Call `reftex-compile-variables' after changes to this variable.
21129 2013-04-23 Stefan Monnier <monnier@iro.umontreal.ca>
21131 * jit-lock.el: Fix signals in jit-lock-force-redisplay (bug#13542).
21132 Use lexical-binding.
21133 (jit-lock-force-redisplay): Use markers, check buffer's continued
21134 existence and beware narrowed buffers.
21135 (jit-lock-fontify-now): Adjust call accordingly.
21137 2013-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
21139 * minibuffer.el (minibuffer-completion-contents): Fix obsolescence info
21140 to avoid misleading the user.
21142 2013-04-22 Leo Liu <sdl.web@gmail.com>
21144 * info-look.el: Prefer latex2e.info. (Bug#14240)
21146 2013-04-22 Michael Albinus <michael.albinus@gmx.de>
21148 Fix pack/unpack coding. Reported by David Smith <davidsmith@acm.org>.
21150 * net/tramp-compat.el (tramp-compat-call-process): Move function ...
21151 * net/tramp.el (tramp-call-process): ... here.
21152 (tramp-set-completion-function, tramp-parse-putty):
21153 * net/tramp-adb.el (tramp-adb-execute-adb-command):
21154 * net/tramp-gvfs.el (tramp-gvfs-send-command):
21155 * net/tramp-sh.el (tramp-sh-handle-set-file-times)
21156 (tramp-set-file-uid-gid, tramp-sh-handle-write-region)
21157 (tramp-call-local-coding-command): Use `tramp-call-process'
21158 instead of `tramp-compat-call-process'.
21160 * net/tramp-sh.el (tramp-perl-pack, tramp-perl-unpack): New defconst.
21161 (tramp-local-coding-commands, tramp-remote-coding-commands): Use them.
21162 (tramp-sh-handle-file-local-copy, tramp-sh-handle-write-region)
21163 (tramp-find-inline-compress): Improve traces.
21164 (tramp-maybe-send-script): Check for Perl binary.
21165 (tramp-get-inline-coding): Do not redirect STDOUT for local decoding.
21167 2013-04-22 Daiki Ueno <ueno@gnu.org>
21169 * epg.el (epg-context-pinentry-mode): New function.
21170 (epg-context-set-pinentry-mode): New function.
21171 (epg--start): Pass --pinentry-mode option to gpg command.
21173 2013-04-21 Xue Fuqiao <xfq.free@gmail.com>
21175 * comint.el (comint-dynamic-complete-functions, comint-mode-map):
21176 `comint-dynamic-complete' is obsolete since 24.1, replaced by
21177 `completion-at-point'. (Bug#13774)
21179 * startup.el (normal-no-mouse-startup-screen): Bug fix, the
21180 default key binding for `describe-distribution' has been moved to
21181 `C-h C-o'. (Bug#13970)
21183 2013-04-21 Glenn Morris <rgm@gnu.org>
21185 * vc/vc.el (vc-print-log-setup-buttons, vc-print-log-internal):
21187 (vc-print-log): Clarify interactive prompt.
21189 2013-04-20 Glenn Morris <rgm@gnu.org>
21191 * emacs-lisp/bytecomp.el (byte-compile-insert-header):
21192 No longer include timestamp etc information.
21194 2013-04-20 Roland Winkler <winkler@gnu.org>
21196 * faces.el (read-face-name): Bug fix, return just one face if arg
21197 multiple is nil. (Bug#14209)
21199 2013-04-20 Stefan Monnier <monnier@iro.umontreal.ca>
21201 * emacs-lisp/nadvice.el (advice--where-alist): Add :override.
21202 (remove-function): Autoload.
21204 * comint.el (comint-redirect-original-filter-function): Remove.
21205 (comint-redirect-cleanup, comint-redirect-send-command-to-process):
21206 * vc/vc-cvs.el (vc-cvs-annotate-process-filter)
21207 (vc-cvs-annotate-command):
21208 * progmodes/octave-inf.el (inferior-octave-send-list-and-digest):
21209 * progmodes/prolog.el (prolog-consult-compile):
21210 * progmodes/gdb-mi.el (gdb, gdb--check-interpreter):
21211 Use add/remove-function instead.
21212 * progmodes/gud.el (gud-tooltip-original-filter): Remove.
21213 (gud-tooltip-process-output, gud-tooltip-tips):
21214 Use add/remove-function instead.
21215 * progmodes/xscheme.el (xscheme-previous-process-state): Remove.
21216 (scheme-interaction-mode, exit-scheme-interaction-mode):
21217 Use add/remove-function instead.
21219 * vc/vc-dispatcher.el: Use lexical-binding.
21220 (vc--process-sentinel): Rename from vc-process-sentinel.
21221 Change last arg to be the code to run. Don't use vc-previous-sentinel
21222 and vc-sentinel-commands any more.
21223 (vc-exec-after): Allow code to be a function. Use add/remove-function.
21224 (compilation-error-regexp-alist, view-old-buffer-read-only): Declare.
21226 2013-04-19 Masatake YAMATO <yamato@redhat.com>
21228 * progmodes/sh-script.el (sh-imenu-generic-expression):
21229 Handle function names with a single character. (Bug#14111)
21231 2013-04-19 Dima Kogan <dima@secretsauce.net> (tiny change)
21233 * progmodes/gud.el (gud-perldb-marker-filter): Understand position info
21234 for subroutines defined in an eval (bug#14182).
21236 2013-04-19 Thierry Volpiatto <thierry.volpiatto@gmail.com>
21238 * bookmark.el (bookmark-completing-read): Improve handling of empty
21239 string (bug#14176).
21241 2013-04-19 Stefan Monnier <monnier@iro.umontreal.ca>
21243 * vc/vc-dispatcher.el (vc-do-command): Get rid of default sentinel msg.
21245 2013-04-19 Fabián Ezequiel Gallina <fgallina@gnu.org>
21247 New faster Imenu implementation (bug#14058).
21248 * progmodes/python.el (python-imenu-prev-index-position)
21249 (python-imenu-format-item-label-function)
21250 (python-imenu-format-parent-item-label-function)
21251 (python-imenu-format-parent-item-jump-label-function):
21253 (python-imenu-format-item-label)
21254 (python-imenu-format-parent-item-label)
21255 (python-imenu-format-parent-item-jump-label)
21256 (python-imenu--put-parent, python-imenu--build-tree)
21257 (python-imenu-create-index, python-imenu-create-flat-index)
21258 (python-util-popn): New functions.
21259 (python-mode): Set imenu-create-index-function to
21260 python-imenu-create-index.
21262 2013-04-18 Stefan Monnier <monnier@iro.umontreal.ca>
21264 * winner.el (winner-active-region): Use region-active-p, activate-mark
21265 and deactivate-mark (bug#14225).
21267 * simple.el (deactivate-mark): Don't inline it.
21269 2013-04-18 Michael Albinus <michael.albinus@gmx.de>
21271 * net/tramp-sh.el (tramp-remote-process-environment): Add "TMOUT=0".
21273 2013-04-18 Tassilo Horn <tsdh@gnu.org>
21275 * files.el (auto-mode-alist): Delete OpenDocument and StarOffice
21276 file extensions from the archive-mode entry in order to prefer
21277 doc-view-mode-maybe with archive-mode as fallback (bug#14188).
21279 2013-04-18 Leo Liu <sdl.web@gmail.com>
21281 * bindings.el (help-event-list): Add ?\?.
21283 2013-04-18 Stefan Monnier <monnier@iro.umontreal.ca>
21285 * subr.el (with-wrapper-hook): Declare obsolete.
21286 * simple.el (filter-buffer-substring-function): New hook.
21287 (filter-buffer-substring): Use it.
21288 (filter-buffer-substring-functions): Mark obsolete.
21289 * minibuffer.el (completion-in-region-function): New hook.
21290 (completion-in-region): Use it.
21291 (completion-in-region-functions): Mark obsolete.
21292 * mail/mailabbrev.el (mail-abbrevs-setup): Use abbrev-expand-function.
21293 * abbrev.el (abbrev-expand-function): New hook.
21294 (expand-abbrev): Use it.
21295 (abbrev-expand-functions): Mark obsolete.
21296 * emacs-lisp/nadvice.el (advice--where-alist): Add :filter-args
21297 and :filter-return.
21299 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
21301 * progmodes/python.el (python-nav--syntactically): Fix cornercases
21302 and do not care about match data.
21304 2013-04-17 Stefan Monnier <monnier@iro.umontreal.ca>
21306 * emacs-lisp/lisp.el (lisp-completion-at-point): Provide specialized
21307 completion tables when completing error conditions and
21308 `declare' arguments.
21309 (lisp-complete-symbol, field-complete): Mark as obsolete.
21310 (check-parens): Unmatched parens are user errors.
21311 * minibuffer.el (minibuffer-completion-contents): Mark as obsolete.
21313 2013-04-17 Michal Nazarewicz <mina86@mina86.com>
21315 * textmodes/flyspell.el (flyspell-check-pre-word-p): Return nil if
21316 command changed buffer (ie. `flyspell-pre-buffer' is not current
21317 buffer), which prevents making decisions based on invalid value of
21318 `flyspell-pre-point' in the wrong buffer. Most notably, this used to
21319 cause an error when `flyspell-pre-point' was nil after switching
21321 (flyspell-post-command-hook): No longer needs to change buffers when
21322 checking pre-word. While at it remove unnecessary progn.
21324 2013-04-17 Nicolas Richard <theonewiththeevillook@yahoo.fr> (tiny change)
21326 * textmodes/ispell.el (ispell-add-per-file-word-list):
21327 Fix `flyspell-correct-word-before-point' error when accepting
21328 words and `coment-padding' is an integer by using
21329 `comment-normalize-vars' (Bug #14214).
21331 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
21333 New defun movement commands.
21334 * progmodes/python.el (python-nav--syntactically)
21335 (python-nav--forward-defun, python-nav-backward-defun)
21336 (python-nav-forward-defun): New functions.
21338 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
21340 * progmodes/python.el (python-syntax--context-compiler-macro): New defun.
21341 (python-syntax-context): Use named compiler-macro for backwards
21342 compatibility with Emacs 24.x.
21344 2013-04-17 Leo Liu <sdl.web@gmail.com>
21346 * progmodes/octave-mod.el (octave-mode-map): Fix key binding to
21347 octave-hide-process-buffer.
21349 2013-04-17 Stefan Monnier <monnier@iro.umontreal.ca>
21351 * vc/vc-hg.el (vc-hg-annotate-re): Disallow ": " in file names
21354 2013-04-17 Jean-Philippe Gravel <jpgravel@gmail.com>
21356 * progmodes/gdb-mi.el (gdbmi-bnf-incomplete-record-result):
21357 Fix adjustment of offset when receiving incomplete responses from GDB
21360 2013-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
21362 * progmodes/python.el (python-mode-skeleton-abbrev-table): Rename from
21363 python-mode-abbrev-table.
21364 (python-skeleton-define): Adjust accordingly.
21365 (python-mode-abbrev-table): New table that inherits from it so that
21366 python-skeleton-autoinsert does not affect non-skeleton abbrevs.
21368 * abbrev.el (abbrev--symbol): New function, extracted from abbrev-symbol.
21369 (abbrev-symbol): Use it.
21370 (abbrev--before-point): Use it since we already handle inheritance.
21372 2013-04-16 Leo Liu <sdl.web@gmail.com>
21374 * progmodes/octave-mod.el (octave-mode-map): Remove redundant key
21375 binding to info-lookup-symbol.
21377 2013-04-16 Juanma Barranquero <lekktu@gmail.com>
21379 * minibuffer.el (completion--twq-all):
21380 * term/ns-win.el (ns-initialize-window-system):
21381 * term/w32-win.el (w32-initialize-window-system): Silence byte-compiler.
21383 2013-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
21385 * emacs-lisp/nadvice.el (add-function): Default simple vars to their
21388 * doc-view.el (doc-view-start-process): Handle url-handler directories.
21390 2013-04-15 Dmitry Gutov <dgutov@yandex.ru>
21392 * progmodes/ruby-mode.el (ruby-beginning-of-defun)
21393 (ruby-end-of-defun, ruby-move-to-block): Bind `case-fold-search'
21395 (ruby-end-of-defun): Remove the unused arg, change the docstring
21396 to reflect that this function is only used as the value of
21397 `end-of-defun-function'.
21398 (ruby-beginning-of-defun): Remove "top-level" from the docstring,
21399 to reflect an earlier change that beginning/end-of-defun functions
21400 jump between methods in a class definition, as well as top-level
21403 2013-04-15 Stefan Monnier <monnier@iro.umontreal.ca>
21405 * minibuffer.el (minibuffer-complete): Don't just scroll
21406 a *Completions* that's been iconified.
21407 (minibuffer-force-complete): Make sure repetitions do cycle when going
21408 through completion-in-region -> minibuffer-complete.
21410 2013-04-15 Alan Mackenzie <acm@muc.de>
21412 Correct the placement of c-cpp-delimiters when there're #s not at
21415 * progmodes/cc-langs.el (c-anchored-cpp-prefix): Reformulate and
21416 place a submatch around the #.
21417 * progmodes/cc-mode.el(c-neutralize-syntax-in-and-mark-CPP):
21418 Start a search at BOL. Put the c-cpp-delimiter category text propertiy
21421 2013-04-15 Stefan Monnier <monnier@iro.umontreal.ca>
21423 * emacs-lisp/nadvice.el: Properly test names when adding advice.
21424 (advice--member-p): New arg `name'.
21425 (advice--add-function, advice-member-p): Use it (bug#14202).
21427 2013-04-15 Filipp Gunbin <fgunbin@fastmail.fm>
21429 Reformulate java imenu-generic-expression.
21430 The old expression contained ill formed regexps.
21432 * progmodes/cc-menus.el (cc-imenu-java-ellipsis-regexp)
21433 (cc-imenu-java-type-spec-regexp, cc-imenu-java-comment-regexp)
21434 (cc-imenu-java-method-arg-regexp): New defconsts.
21435 (cc-imenu-java-build-type-args-regex): New defun.
21436 (cc-imenu-java-generic-expression): Fix, to remove "ambiguous"
21437 handling of spaces in the regexp.
21439 2013-03-15 Agustín Martín Domingo <agustin.martin@hispalinux.es>
21441 * textmodes/ispell.el (ispell-command-loop): Remove
21442 flyspell highlight of a word when ispell accepts it (bug #14178).
21444 2013-04-15 Michael Albinus <michael.albinus@gmx.de>
21446 * net/ange-ftp.el (ange-ftp-run-real-handler-orig): New defun,
21447 uses code from the previous `ange-ftp-run-real-handler'.
21448 (ange-ftp-run-real-handler): Set it to `tramp-run-real-handler'
21449 only in case that function exist. This is needed for proper
21450 unloading of Tramp.
21452 2013-04-15 Tassilo Horn <tsdh@gnu.org>
21454 * textmodes/reftex-vars.el (reftex-label-regexps): New defcustom.
21456 * textmodes/reftex.el (reftex-compile-variables): Use it.
21458 2013-04-14 Stefan Monnier <monnier@iro.umontreal.ca>
21460 * files.el (normal-mode): Only use default major-mode if no other mode
21463 * emacs-lisp/trace.el (trace-values): New function.
21465 * files.el: Allow : in local variables (bug#14089).
21466 (hack-local-variable-regexp): New var.
21467 (hack-local-variables-prop-line, hack-local-variables): Use it.
21469 2013-04-13 Roland Winkler <winkler@gnu.org>
21471 * textmodes/bibtex.el (bibtex-search-entries): Bug fix. Use match
21472 data before it gets modified by bibtex-beginning-of-entry.
21474 2013-04-13 Roland Winkler <winkler@gnu.org>
21476 * textmodes/bibtex.el (bibtex-url): Doc fix.
21478 2013-04-13 Roland Winkler <winkler@gnu.org>
21480 * textmodes/bibtex.el (bibtex-initialize): If the current buffer
21481 does not visit a BibTeX file, exclude it from the list of buffers
21482 returned by bibtex-initialize.
21484 2013-04-13 Stephen Berman <stephen.berman@gmx.net>
21486 * window.el (split-window): Remove interactive form, since as a
21487 command this function is a special case of split-window-below.
21488 Correct doc string.
21490 2013-04-12 Roland Winkler <winkler@gnu.org>
21492 * faces.el (read-face-name): Do not override value of arg default.
21493 Allow single faces and strings as default values. Remove those
21494 elements from return value that are not faces.
21495 (describe-face): Simplify.
21496 (face-at-point): New optional args thing and multiple so that this
21497 function can provide the same functionality previously provided by
21499 (make-face-bold, make-face-unbold, make-face-italic)
21500 (make-face-unitalic, make-face-bold-italic, invert-face)
21501 (modify-face, read-face-and-attribute): Use face-at-point.
21503 * cus-edit.el (customize-face, customize-face-other-window)
21504 * cus-theme.el (custom-theme-add-face)
21505 * face-remap.el (buffer-face-set)
21506 * facemenu.el (facemenu-set-face): Use face-at-point.
21508 2013-04-12 Michael Albinus <michael.albinus@gmx.de>
21510 * info.el (Info-file-list-for-emacs): Add "tramp" and "dbus".
21512 2013-04-10 Tassilo Horn <tsdh@gnu.org>
21514 * textmodes/reftex-cite.el (reftex-parse-bibtex-entry): Don't cut
21515 off leading { and trailing } from field values.
21517 2013-04-10 Stefan Monnier <monnier@iro.umontreal.ca>
21519 * emacs-lisp/timer.el (timer--check): New function.
21520 (timer--time, timer-set-function, timer-event-handler): Use it.
21521 (timer-set-idle-time): Simplify.
21522 (timer--activate): CSE.
21523 (timer-event-handler): Give more info in error message.
21524 (internal-timer-start-idle): New function, moved from C.
21526 * mpc.el (mpc-proc): Add `restart' argument.
21527 (mpc-proc-cmd): Use it.
21528 (mpc--status-timer-run): Also catch signals from `mpc-proc'.
21529 (mpc-status-buffer-show, mpc-tagbrowser-dir-toggle): Call `mpc-proc'
21532 2013-04-10 Masatake YAMATO <yamato@redhat.com>
21534 * progmodes/sh-script.el: Implement `sh-mode' own
21535 `add-log-current-defun-function' (bug#14112).
21536 (sh-current-defun-name): New function.
21537 (sh-mode): Use the function.
21539 2013-04-09 Bastien Guerry <bzg@gnu.org>
21541 * simple.el (choose-completion-string): Fix docstring (bug#14163).
21543 2013-04-08 Stefan Monnier <monnier@iro.umontreal.ca>
21545 * emacs-lisp/edebug.el (edebug-mode): Fix typo (bug#14144).
21547 * emacs-lisp/timer.el (timer-event-handler): Don't retrigger a canceled
21550 2013-04-07 Nic Ferrier <nferrier@ferrier.me.uk>
21552 * emacs-lisp/ert.el (should, should-not, should-error): Add edebug
21555 2013-04-07 Leo Liu <sdl.web@gmail.com>
21557 * pcmpl-x.el: New file.
21559 2013-04-06 Dmitry Antipov <dmantipov@yandex.ru>
21561 Do not set x-display-name until X connection is established.
21562 This is needed to prevent from weird situation described at
21563 <http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00212.html>.
21564 * frame.el (make-frame): Set x-display-name after call to
21565 window system initialization function, not before.
21566 * term/x-win.el (x-initialize-window-system): Add optional
21567 display argument and use it.
21568 * term/w32-win.el (w32-initialize-window-system):
21569 * term/ns-win.el (ns-initialize-window-system):
21570 * term/pc-win.el (msdos-initialize-window-system):
21571 Add compatible optional display argument.
21573 2013-04-06 Eli Zaretskii <eliz@gnu.org>
21575 * files.el (normal-backup-enable-predicate): On MS-Windows and
21576 MS-DOS compare truenames of temporary-file-directory and of the
21577 file, so that 8+3 aliases (usually found in $TEMP on Windows)
21578 don't fail comparison by compare-strings. Also, compare file
21579 names case-insensitively on MS-Windows and MS-DOS.
21581 2013-04-05 Stefan Monnier <monnier@iro.umontreal.ca>
21583 * emacs-lisp/package.el (package-compute-transaction): Fix last fix.
21584 Suggested by Donald Curtis <dcurtis@coe.edu> (bug#14082).
21586 2013-04-05 Dmitry Gutov <dgutov@yandex.ru>
21588 * whitespace.el (whitespace-color-on, whitespace-color-off):
21589 Only call `font-lock-fontify-buffer' when `font-lock-mode' is on.
21591 2013-04-05 Jacek Chrząszcz <chrzaszcz@mimuw.edu.pl> (tiny change)
21593 * ispell.el (ispell-set-spellchecker-params):
21594 Really set `ispell-args' for all equivs.
21596 2013-04-05 Stefan Monnier <monnier@iro.umontreal.ca>
21598 * ido.el (ido-completions): Use extra elements of ido-decorations
21600 (ido-decorations): Update docstring.
21602 2013-04-05 Michael Albinus <michael.albinus@gmx.de>
21604 * autorevert.el (auto-revert-mode, auto-revert-tail-mode)
21605 (global-auto-revert-mode): Let-bind `auto-revert-use-notify' to
21606 nil during initialization, in order not to miss changes since the
21607 file was opened. (Bug#14140)
21609 2013-04-05 Leo Liu <sdl.web@gmail.com>
21611 * kmacro.el (kmacro-call-macro): Fix bug#14135.
21613 2013-04-05 Jay Belanger <jay.p.belanger@gmail.com>
21615 * calc/calc-units.el (calc-convert-units): Rewrite conditional.
21617 2013-04-04 Glenn Morris <rgm@gnu.org>
21619 * electric.el (electric-pair-inhibit-predicate): Add :version.
21621 2013-04-04 Stefan Monnier <monnier@iro.umontreal.ca>
21623 * emacs-lisp/package.el (package-compute-transaction): Fix ordering
21624 when a package is required several times (bug#14082).
21626 2013-04-04 Roland Winkler <winkler@gnu.org>
21628 * faces.el (read-face-name): Behave as promised by the docstring.
21629 Assume that arg default is a list of faces.
21630 (describe-face): Call read-face-name with list of default faces.
21632 2013-04-04 Thierry Volpiatto <thierry.volpiatto@gmail.com>
21634 * bookmark.el: Fix deletion of bookmarks (bug#13972).
21635 (bookmark-bmenu-list): Don't toggle filenames if alist is empty.
21636 (bookmark-bmenu-execute-deletions): Only skip first line if it's
21638 (bookmark-exit-hook-internal): Save even if list is empty.
21640 2013-04-04 Yann Hodique <yann.hodique@gmail.com> (tiny change)
21642 * emacs-lisp/package.el (package-pinned-packages): New var.
21643 (package--add-to-archive-contents): Obey it (bug#14118).
21645 2013-04-03 Alan Mackenzie <acm@muc.de>
21647 Handle `parse-partial-sexp' landing inside a comment opener (Bug#13244).
21648 Also adapt to the new values of element 7 of a parse state.
21650 * progmodes/cc-engine.el (c-state-pp-to-literal): New optional
21651 parameter `not-in-delimiter'. Handle being inside comment opener.
21652 (c-invalidate-state-cache-1): Reckon with an extra "invalid"
21653 character in case we're typing a '*' after a '/'.
21654 (c-literal-limits): Handle the awkward "not-in-delimiter" cond arm
21655 instead by passing the parameter to c-state-pp-to-literal.
21657 * progmodes/cc-fonts.el (c-font-lock-doc-comments): New handling
21658 for elt. 7 of a parse state.
21660 2013-04-01 Paul Eggert <eggert@cs.ucla.edu>
21662 Use UTF-8 for most files with non-ASCII characters (Bug#13936).
21663 * international/latin1-disp.el, international/mule-util.el:
21664 * language/cyril-util.el, language/european.el, language/ind-util.el:
21665 * language/lao-util.el, language/thai.el, language/tibet-util.el:
21666 * language/tibetan.el, language/viet-util.el:
21667 Switch from iso-2022-7bit to utf-8 or (if needed) utf-8-emacs.
21669 2013-04-01 Stefan Monnier <monnier@iro.umontreal.ca>
21671 * electric.el (electric-pair-inhibit-predicate): New var (bug#14000).
21672 (electric-pair-post-self-insert-function): Use it.
21673 (electric-pair-default-inhibit): New function, extracted from
21674 electric-pair-post-self-insert-function.
21676 2013-03-31 Roland Winkler <winkler@gnu.org>
21678 * emacs-lisp/crm.el (completing-read-multiple): Doc fix.
21680 2013-03-31 Stefan Monnier <monnier@iro.umontreal.ca>
21682 * hi-lock.el (hi-lock-mode): Cleanup after revert-buffer (bug#13891).
21684 2013-03-30 Fabián Ezequiel Gallina <fabian@anue.biz>
21686 Un-indent after "pass" and "return" statements (Bug#13888)
21687 * progmodes/python.el (python-indent-block-enders): New var.
21688 (python-indent-calculate-indentation): Use it.
21690 2013-03-30 Michael Albinus <michael.albinus@gmx.de>
21692 * net/tramp.el (tramp-drop-volume-letter): Make it an ordinary
21693 defun. Defining it as defalias could introduce too eager
21694 byte-compiler optimization. (Bug#14030)
21696 2013-03-30 Chong Yidong <cyd@gnu.org>
21698 * iswitchb.el (iswitchb-read-buffer): Fix typo.
21700 2013-03-30 Leo Liu <sdl.web@gmail.com>
21702 * kmacro.el (kmacro-call-macro): Add optional arg MACRO.
21703 (kmacro-execute-from-register): Pass the keyboard macro to
21704 kmacro-call-macro or repeating won't work correctly.
21706 2013-03-30 Teodor Zlatanov <tzz@lifelogs.com>
21708 * progmodes/subword.el: Back to using `forward-symbol'.
21710 * subr.el (forward-whitespace, forward-symbol)
21711 (forward-same-syntax): Move from thingatpt.el.
21713 2013-03-29 Leo Liu <sdl.web@gmail.com>
21715 * kmacro.el (kmacro-to-register): New command.
21716 (kmacro-execute-from-register): New function.
21717 (kmacro-keymap): Bind to 'x'. (Bug#14071)
21719 2013-03-29 Stefan Monnier <monnier@iro.umontreal.ca>
21721 * mpc.el: Use defvar-local and setq-local.
21722 (mpc--proc-connect): Connection failures are not bugs.
21723 (mpc-mode-map): `follow-link' only applies to the buffer's content.
21724 (mpc-volume-map): Bind to the up-events.
21726 2013-03-29 Teodor Zlatanov <tzz@lifelogs.com>
21728 * progmodes/subword.el (superword-mode): Use `forward-sexp'
21729 instead of `forward-symbol'.
21731 2013-03-28 Stefan Monnier <monnier@iro.umontreal.ca>
21733 * emacs-lisp/edebug.el (edebug-mode): Make it a minor mode.
21734 (edebug--recursive-edit): Use it.
21735 (edebug-kill-buffer): Don't let-bind kill-buffer-hook.
21736 (edebug-temp-display-freq-count): Don't let-bind buffer-read-only.
21738 2013-03-28 Leo Liu <sdl.web@gmail.com>
21740 * vc/vc-bzr.el (vc-bzr-revert): Don't backup. (Bug#14066)
21742 2013-03-27 Eli Zaretskii <eliz@gnu.org>
21744 * facemenu.el (list-colors-callback): New defvar.
21745 (list-colors-redisplay): New function.
21746 (list-colors-display): Install list-colors-redisplay as the
21747 revert-buffer-function. (Bug#14063)
21749 2013-03-27 Stefan Monnier <monnier@iro.umontreal.ca>
21751 * minibuffer.el (completion-pcm--merge-completions): Make sure prefixes
21752 and suffixes don't overlap (bug#14061).
21754 * case-table.el: Use lexical-binding.
21755 (case-table-get-table): New function.
21756 (get-upcase-table): Use it. Mark as obsolete. Adjust callers.
21758 2013-03-27 Teodor Zlatanov <tzz@lifelogs.com>
21760 * progmodes/subword.el: Add `superword-mode' to do word motion
21761 over symbol_words (parallels and leverages `subword-mode' which
21762 does word motion inside MixedCaseWords).
21764 2013-03-27 Aidan Gauland <aidalgol@no8wireless.co.nz>
21766 * eshell/em-unix.el: Move su and sudo to...
21767 * eshell/em-tramp.el: ...Eshell tramp module.
21769 2013-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
21771 * desktop.el (desktop--v2s): Rename from desktop-internal-v2s.
21772 Change return value to be a sexp. Delay `get-buffer' to after
21773 restoring the desktop (bug#13951).
21775 2013-03-26 Leo Liu <sdl.web@gmail.com>
21777 * register.el: Move semantic tag handling back to
21778 cedet/semantic/senator.el. (Bug#14052)
21780 2013-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
21782 * eshell/em-prompt.el (eshell-emit-prompt): Make sure we can't insert
21783 into the prompt either (bug#13963).
21785 2013-03-25 Stefan Monnier <monnier@iro.umontreal.ca>
21787 * font-lock.el (lisp-font-lock-keywords-2): Don't highlight the "error"
21788 part of "(error-foo)".
21790 2013-03-24 Juri Linkov <juri@jurta.org>
21792 * replace.el (list-matching-lines-prefix-face): New defcustom.
21793 (occur-1): Pass `list-matching-lines-prefix-face' to the function
21794 `occur-engine' if `face-differs-from-default-p' returns t.
21795 (occur-engine): Add `,' inside backquote construct to evaluate
21796 `prefix-face'. Propertize the prefix with the `prefix-face' face.
21797 Pass `prefix-face' to the functions `occur-context-lines' and
21798 `occur-engine-add-prefix'.
21799 (occur-engine-add-prefix, occur-context-lines): Add optional arg
21800 `prefix-face' and propertize the prefix with `prefix-face'.
21803 2013-03-24 Leo Liu <sdl.web@gmail.com>
21805 * nxml/rng-valid.el (rng-validate-while-idle)
21806 (rng-validate-quick-while-idle): Guard against deleted buffer.
21809 * emacs-lisp/edebug.el (edebug-mode): Make sure edebug-kill-buffer
21810 is the last entry in kill-buffer-hook.
21812 * files.el (kill-buffer-hook): Doc fix.
21814 2013-03-23 Dmitry Gutov <dgutov@yandex.ru>
21816 * emacs-lisp/lisp-mode.el (emacs-lisp-docstring-fill-column):
21817 Make it safe-local.
21819 * vc/diff-mode.el (diff-mode-shared-map): Unbind "/" (Bug#14034).
21821 2013-03-23 Leo Liu <sdl.web@gmail.com>
21823 * nxml/nxml-util.el (nxml-with-unmodifying-text-property-changes):
21826 * nxml/rng-valid.el (rng-validate-mode)
21827 (rng-after-change-function, rng-do-some-validation):
21828 * nxml/rng-maint.el (rng-validate-buffer):
21829 * nxml/nxml-rap.el (nxml-tokenize-forward, nxml-ensure-scan-up-to-date):
21830 * nxml/nxml-outln.el (nxml-show-all, nxml-set-outline-state):
21831 * nxml/nxml-mode.el (nxml-mode, nxml-degrade, nxml-after-change)
21832 (nxml-extend-after-change-region): Use with-silent-modifications.
21834 * nxml/rng-nxml.el (rng-set-state-after): Do not let-bind
21837 * nxml/rng-valid.el (rng-validate-while-idle-continue-p)
21838 (rng-next-error-1, rng-previous-error-1): Do not let-bind
21839 timer-idle-list. (Bug#13999)
21841 2013-03-23 Juri Linkov <juri@jurta.org>
21843 * info.el (info-index-match): New face.
21844 (Info-index, Info-apropos-matches): Add a nested subgroup to the
21845 main pattern and add text properties with the new face to matches
21846 in index entries relative to the beginning of the index entry.
21849 2013-03-21 Eric Ludlam <zappo@gnu.org>
21851 * emacs-lisp/eieio-datadebug.el (data-debug/eieio-insert-slots):
21852 Inhibit read only while inserting objects.
21854 2013-03-22 Teodor Zlatanov <tzz@lifelogs.com>
21856 * progmodes/cfengine.el: Update docs to mention
21857 `cfengine-auto-mode'. Use \_> and \_< instead of \> and \< for
21858 symbol motion. Remove "_" from the word syntax.
21860 2013-03-21 Teodor Zlatanov <tzz@lifelogs.com>
21862 * progmodes/cfengine.el (cfengine-common-syntax): Add "_" to word
21863 syntax for both `cfengine2-mode' and `cfengine3-mode'.
21865 2013-03-20 Juri Linkov <juri@jurta.org>
21867 * info.el (Info-next-reference-or-link)
21868 (Info-prev-reference-or-link): New functions.
21869 (Info-next-reference, Info-prev-reference): Use them.
21870 (Info-try-follow-nearest-node): Handle footnote navigation.
21871 (Info-fontify-node): Fontify footnotes. (Bug#13989)
21873 2013-03-20 Stefan Monnier <monnier@iro.umontreal.ca>
21875 * subr.el (posn-point, posn-string): Fix it here instead (bug#13979).
21876 * mouse.el (mouse-on-link-p): Undo scroll-bar fix.
21878 2013-03-20 Paul Eggert <eggert@cs.ucla.edu>
21880 Suppress unnecessary non-ASCII chatter during build process.
21881 * international/ja-dic-cnv.el (skkdic-collect-okuri-nasi)
21882 (batch-skkdic-convert): Suppress most of the chatter.
21883 It's not needed so much now that machines are faster,
21884 and its non-ASCII component was confusing; see Dmitry Gutov in
21885 <http://lists.gnu.org/archive/html/emacs-devel/2013-03/msg00508.html>.
21887 2013-03-20 Leo Liu <sdl.web@gmail.com>
21889 * ido.el (ido-chop): Fix bug#10994.
21891 2013-03-19 Dmitry Gutov <dgutov@yandex.ru>
21893 * whitespace.el (whitespace-font-lock, whitespace-font-lock-mode):
21895 (whitespace-color-on, whitespace-color-off):
21896 Use `font-lock-fontify-buffer' (Bug#13817).
21898 2013-03-19 Stefan Monnier <monnier@iro.umontreal.ca>
21900 * mouse.el (mouse--down-1-maybe-follows-link): Fix follow-link
21901 remapping in mode-line.
21902 (mouse-on-link-p): Also check [mode-line follow-link] bindings.
21904 2013-03-19 Dmitry Gutov <dgutov@yandex.ru>
21906 * whitespace.el (whitespace-color-on): Use `prepend' OVERRIDE
21907 value for `whitespace-line' face (Bug#13875).
21908 (whitespace-font-lock-keywords): Change description.
21909 (whitespace-color-on): Don't save `font-lock-keywords' value, save
21910 the constructed keywords instead.
21911 (whitespace-color-off): Use `font-lock-remove-keywords' (Bug#13817).
21913 2013-03-19 Leo Liu <sdl.web@gmail.com>
21915 * progmodes/compile.el (compilation-display-error): New command.
21916 (compilation-mode-map, compilation-minor-mode-map): Bind it to
21919 2013-03-18 Paul Eggert <eggert@cs.ucla.edu>
21921 * term/x-win.el (x-keysym-pair): Add a Fixme (Bug#13936).
21923 2013-03-18 Jan Djärv <jan.h.d@swipnet.se>
21925 * mouse.el (mouse-on-link-p): Check for scroll bar (Bug#13979).
21927 2013-03-18 Michael Albinus <michael.albinus@gmx.de>
21929 * net/tramp-compat.el (tramp-compat-user-error): New defun.
21931 * net/tramp-adb.el (tramp-adb-handle-shell-command):
21932 * net/tramp-gvfs.el (top):
21933 * net/tramp.el (tramp-find-method, tramp-dissect-file-name)
21934 (tramp-handle-shell-command): Use it.
21935 (tramp-dissect-file-name): Raise an error when hostname is a
21936 method name, and neither method nor user is specified.
21938 * net/trampver.el: Update release number.
21940 2013-03-18 Leo Liu <sdl.web@gmail.com>
21942 Make sure eldoc can be turned off properly.
21943 * emacs-lisp/eldoc.el (eldoc-schedule-timer): Conditionalize on
21945 (eldoc-display-message-p): Revert last change.
21946 (eldoc-display-message-no-interference-p)
21947 (eldoc-print-current-symbol-info): Tweak.
21949 2013-03-18 Tassilo Horn <tsdh@gnu.org>
21951 * doc-view.el (doc-view-new-window-function): Check the new window
21952 overlay's display property instead the char property of the
21953 buffer's first char. Use `with-selected-window' instead of
21954 `save-window-excursion' with `select-window'.
21955 (doc-view-document->bitmap): Check the current doc-view overlay's
21956 display property instead the char property of the buffer's first char.
21958 2013-03-18 Paul Eggert <eggert@cs.ucla.edu>
21960 Automate the build of ja-dic.el (Bug#13984).
21961 * international/ja-dic-cnv.el (skkdic-convert): Remove the annotations
21962 from the input, rather than assume that it's been done for us by the
21963 SKK script unannotate.awk. Switch ja-dic.el to UTF-8. Don't put
21964 the current date into a ja-dic.el comment, as that complicates
21965 regression testing.
21967 2013-03-18 Stefan Monnier <monnier@iro.umontreal.ca>
21969 * whitespace.el: Fix double evaluation.
21970 (whitespace-space, whitespace-hspace, whitespace-tab)
21971 (whitespace-newline, whitespace-trailing, whitespace-line)
21972 (whitespace-space-before-tab, whitespace-indentation)
21973 (whitespace-empty, whitespace-space-after-tab): Turn defcustoms into
21975 (whitespace-hspace-regexp): Fix regexp for emacs-unicode.
21976 (whitespace-color-on): Use a single font-lock-add-keywords call.
21977 Fix double-evaluation of face variables.
21979 2013-03-17 Michael Albinus <michael.albinus@gmx.de>
21981 * net/tramp-adb.el (tramp-adb-parse-device-names):
21982 Use `start-process' instead of `call-process'. Otherwise, the
21983 function might be blocked under MS Windows. (Bug#13299)
21985 2013-03-17 Leo Liu <sdl.web@gmail.com>
21987 Extend eldoc to display info in the mode-line. (Bug#13978)
21988 * emacs-lisp/eldoc.el (eldoc-post-insert-mode): New minor mode.
21989 (eldoc-mode-line-string): New variable.
21990 (eldoc-minibuffer-message): New function.
21991 (eldoc-message-function): New variable.
21992 (eldoc-message): Use it.
21993 (eldoc-display-message-p)
21994 (eldoc-display-message-no-interference-p):
21995 Support eldoc-post-insert-mode.
21997 * simple.el (eval-expression-minibuffer-setup-hook): New hook.
21998 (eval-expression): Run it.
22000 2013-03-17 Roland Winkler <winkler@gnu.org>
22002 * emacs-lisp/crm.el (completing-read-multiple): Ignore empty
22003 strings in the list of return values.
22005 2013-03-17 Jay Belanger <jay.p.belanger@gmail.com>
22007 * calc/calc-ext.el (math-read-number-fancy): Check for an explicit
22008 radix before checking for HMS forms.
22010 2013-03-16 Leo Liu <sdl.web@gmail.com>
22012 * progmodes/scheme.el: Add indentation and font-locking for λ.
22015 2013-03-16 Stefan Monnier <monnier@iro.umontreal.ca>
22017 * emacs-lisp/smie.el (smie-auto-fill): Don't inf-loop if there's no
22018 token before point (bug#13942).
22020 2013-03-16 Leo Liu <sdl.web@gmail.com>
22022 * thingatpt.el (end-of-sexp): Fix bug#13952. Use syntax-after.
22024 2013-03-16 Eli Zaretskii <eliz@gnu.org>
22026 * startup.el (command-line-normalize-file-name): Fix handling of
22027 backslashes in DOS and Windows file names. Reported by Xue Fuqiao
22028 <xfq.free@gmail.com> in
22029 http://lists.gnu.org/archive/html/help-gnu-emacs/2013-03/msg00245.html.
22031 2013-03-15 Michael Albinus <michael.albinus@gmx.de>
22033 Sync with Tramp 2.2.7.
22035 * net/trampver.el: Update release number.
22037 2013-03-14 Tassilo Horn <tsdh@gnu.org>
22039 * doc-view.el: Fix bug#13887.
22040 (doc-view-insert-image): Don't modify overlay associated to
22041 non-live windows, and implement horizontal centering of image in
22042 case it's smaller than the window.
22043 (doc-view-new-window-function): Force redisplay of new windows on
22046 2013-03-13 Karl Fogel <kfogel@red-bean.com>
22048 * saveplace.el (save-place-alist-to-file): Don't sort
22049 `save-place-alist', just pretty-print it (bug#13882).
22051 2013-03-13 Michael Albinus <michael.albinus@gmx.de>
22053 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
22054 Check whether `default-file-name-coding-system' is bound.
22055 It isn't in XEmacs.
22057 2013-03-13 Stefan Monnier <monnier@iro.umontreal.ca>
22059 * emacs-lisp/byte-run.el (defun-declarations-alist): Don't use
22060 backquotes for `obsolete' (bug#13929).
22062 * international/mule.el (find-auto-coding): Include file name in
22063 obsolescence warning (bug#13922).
22065 2013-03-12 Teodor Zlatanov <tzz@lifelogs.com>
22067 * progmodes/cfengine.el (cfengine-parameters-indent): New variable
22068 for CFEngine 3-specific indentation.
22069 (cfengine3-indent-line): Use it. Fix up category regex.
22070 (cfengine3-font-lock-keywords): Add bundle and namespace characters.
22072 2013-03-12 Stefan Monnier <monnier@iro.umontreal.ca>
22074 * type-break.el (type-break-file-name):
22075 * textmodes/remember.el (remember-data-file):
22076 * strokes.el (strokes-file):
22077 * shadowfile.el (shadow-initialize):
22078 * saveplace.el (save-place-file):
22079 * ps-bdf.el (bdf-cache-file):
22080 * progmodes/idlwave.el (idlwave-config-directory):
22081 * net/quickurl.el (quickurl-url-file):
22082 * international/kkc.el (kkc-init-file-name):
22083 * ido.el (ido-save-directory-list-file):
22084 * emulation/viper.el (viper-custom-file-name):
22085 * emulation/vip.el (vip-startup-file):
22086 * calendar/todo-mode.el (todo-file-do, todo-file-done, todo-file-top):
22087 * calendar/timeclock.el (timeclock-file): Use locate-user-emacs-file.
22089 2013-03-12 Paul Eggert <eggert@cs.ucla.edu>
22091 Switch encodings of tutorials, thai-word to UTF-8 (Bug#13880).
22092 * language/thai-word.el: Switch to UTF-8.
22094 See ChangeLog.16 for earlier changes.
22096 ;; Local Variables:
22100 Copyright (C) 2011-2014 Free Software Foundation, Inc.
22102 This file is part of GNU Emacs.
22104 GNU Emacs is free software: you can redistribute it and/or modify
22105 it under the terms of the GNU General Public License as published by
22106 the Free Software Foundation, either version 3 of the License, or
22107 (at your option) any later version.
22109 GNU Emacs is distributed in the hope that it will be useful,
22110 but WITHOUT ANY WARRANTY; without even the implied warranty of
22111 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
22112 GNU General Public License for more details.
22114 You should have received a copy of the GNU General Public License
22115 along with GNU Emacs. If not, see <http://www.gnu.org/licenses/>.