switched back to whoosh with haystack
[ebuildfind.git] / templates / search / search.html
blob2c5a2e209d6c670e47f6c8ef3fe21b0fe496b93c
1 {% extends "ebuildfind/base.html" %}
3 {% block search-value%}{{query}}{% endblock %}
5 {% block results %}
6 {% if page.object_list %}
7 <ul>
8 {% for result in page.object_list %}
9 <li>
10 <div class="ebuild">
11 <ul>
12 <li class="path">{{result.object.path}}</li>
13 <li class="homepage"><a href="{{result.object.homepage}}">homepage</a></li>
14 <div class="clear"></div>
15 <li class="homepage"><a href="{{result.object.overlay.link}}">overlay
16 homepage</a></li>
17 <div class="clear"></div>
18 {% if result.object.keywords %}
19 <li class="keywords">keywords: {{result.object.keywords}}</li>
20 {% endif %}
21 {% if result.object.iuse %}
22 <li class="iuse">iuse: {{result.object.iuse}}</li>
23 {% endif %}
24 <li class="license">license: {{result.object.license}}</li>
25 <li class="description">{{result.object.description}}</li>
26 </ul>
27 </div>
28 </li>
29 {% endfor %}
30 </ul>
32 <div class="pagination">
33 <span class="step-links">
34 {% if contacts.has_previous %}
35 <a href="?page={{ page.previous_page_number }}&models=ebuildfind.ebuild">previous</a>
36 {% endif %}
38 <span class="current">
39 Page {{ page.number }} of {{ page.paginator.num_pages }}.
40 </span>
42 {% if page.has_next %}
43 <a href="?page={{ page.next_page_number }}&q={{query}}&models=ebuildfind.ebuild">next</a>
44 {% endif %}
45 </span>
46 </div>
48 {% else %}
49 <p>No results found.</p>
50 {% endif %}
51 </form>
53 {% endblock %}
55 {% block info %}
56 {% endblock %}
58 {% block adv %}
59 <script type="text/javascript"><!--
60 google_ad_client = "pub-0927432803901546";
61 /* 160x600, created 7/3/09 */
62 google_ad_slot = "9086314390";
63 google_ad_width = 160;
64 google_ad_height = 600;
65 //-->
66 </script>
67 <script type="text/javascript"
68 src="http://pagead2.googlesyndication.com/pagead/show_ads.js">
69 </script>
70 {% endblock %}
72 {% block advbottom %}
73 <script type="text/javascript"><!--
74 google_ad_client = "pub-0927432803901546";
75 /* 728x15, created 7/3/09 */
76 google_ad_slot = "9597050601";
77 google_ad_width = 728;
78 google_ad_height = 15;
79 //-->
80 </script>
81 <script type="text/javascript"
82 src="http://pagead2.googlesyndication.com/pagead/show_ads.js">
83 </script>
84 {% endblock %}