First commit : 0.14.0 version (with roadmap in doc instead of
[cloog/uuh.git] / test / lu.c
bloba1f7437bb6d452fb47db3723cf5161cad7ef2783
1 /* Generated by CLooG v0.10.7 */
2 if (n >= 2) {
3 for (j=2;j<=n;j++) {
4 S1(i = 1) ;
7 for (c1=2;c1<=n-1;c1++) {
8 for (c2=2;c2<=n-1;c2++) {
9 for (i=1;i<=min(c2-1,c1-1);i++) {
10 S2(j = c2,k = c1) ;
13 for (i=1;i<=c1-1;i++) {
14 S2(j = n,k = c1) ;
16 for (j=c1+1;j<=n;j++) {
17 S1(i = c1) ;
20 if (n >= 2) {
21 for (c2=2;c2<=n;c2++) {
22 for (i=1;i<=c2-1;i++) {
23 S2(j = c2,k = n) ;