First commit : 0.14.0 version (with roadmap in doc instead of
[cloog.git] / test / orc.c
blob2cbbeb99b33a8112e2a93919e95ae6ba09deb7af
1 /* Generated by CLooG v0.10.7 */
2 S1(i = 0) ;
3 S2(i = 0,j = 0) ;
4 for (p2=1;p2<=22;p2++) {
5 if ((p2-1)%2 == 0) {
6 j = (p2-1)/2 ;
7 S3(i = 0) ;
9 if (p2%2 == 0) {
10 S2(i = 0,j = p2/2) ;
13 S3(i = 0,j = 11) ;
14 for (p1=2;p1<=6;p1++) {
15 if ((p1-2)%3 == 0) {
16 i = (p1-2)/3 ;
17 S4 ;
19 if ((p1-1)%3 == 0) {
20 i = (p1-1)/3 ;
21 S2(j = 0) ;
23 if (p1%3 == 0) {
24 S1(i = p1/3) ;
26 for (p2=1;p2<=floord(-2*p1+68,3);p2++) {
27 if ((p1-1)%3 == 0) {
28 i = (p1-1)/3 ;
29 if ((p2-1)%2 == 0) {
30 j = (p2-1)/2 ;
31 S3 ;
33 if (p2%2 == 0) {
34 S2(j = p2/2) ;
38 for (p2=ceild(-2*p1+69,3);p2<=floord(-2*p1+71,3);p2++) {
39 if ((p1-1)%3 == 0) {
40 i = (p1-1)/3 ;
41 if ((p2-1)%2 == 0) {
42 j = (p2-1)/2 ;
43 S3 ;
48 S2(i = 2,j = 0) ;
49 for (p2=1;p2<=18;p2++) {
50 if ((p2-1)%2 == 0) {
51 j = (p2-1)/2 ;
52 S3(i = 2) ;
54 if (p2%2 == 0) {
55 S2(i = 2,j = p2/2) ;
58 S3(i = 2,j = 9) ;
59 S4(i = 2) ;
60 S5(i = 0) ;
61 S6(i = 0,j = 0) ;
62 for (p2=1;p2<=9;p2++) {
63 S6(i = 0,j = p2) ;
65 for (p1=2;p1<=42;p1++) {
66 if ((p1-2)%3 == 0) {
67 i = (p1-2)/3 ;
68 S7 ;
70 if ((p1-1)%3 == 0) {
71 i = (p1-1)/3 ;
72 S6(j = 0) ;
74 if (p1%3 == 0) {
75 S5(i = p1/3) ;
77 for (p2=1;p2<=9;p2++) {
78 if ((p1-1)%3 == 0) {
79 i = (p1-1)/3 ;
80 S6(j = p2) ;
84 S6(i = 14,j = 0) ;
85 for (p2=1;p2<=9;p2++) {
86 S6(i = 14,j = p2) ;
88 S7(i = 14) ;