BeMicro: add more dependencies on the Verilog source
commit2ca2dda2b4a8d2184649da72320b6bc6fb212872
authorTommy Thorn <tommy-git@thorn.ws>
Tue, 30 Nov 2010 01:40:53 +0000 (29 17:40 -0800)
committerTommy Thorn <tommy-git@thorn.ws>
Wed, 1 Dec 2010 05:58:14 +0000 (30 21:58 -0800)
treee09a87b7eb08a8228fbfb8a151a92120f77479b3
parentfa8462a2d7cc796b212bc5359eac8cb99def09dd
BeMicro: add more dependencies on the Verilog source
BeMicro/Makefile