From 2ca2dda2b4a8d2184649da72320b6bc6fb212872 Mon Sep 17 00:00:00 2001 From: Tommy Thorn Date: Mon, 29 Nov 2010 17:40:53 -0800 Subject: [PATCH] BeMicro: add more dependencies on the Verilog source --- BeMicro/Makefile | 9 ++++++++- 1 file changed, 8 insertions(+), 1 deletion(-) diff --git a/BeMicro/Makefile b/BeMicro/Makefile index a4750fb..bfa7b1b 100644 --- a/BeMicro/Makefile +++ b/BeMicro/Makefile @@ -13,13 +13,20 @@ TOPDIR=.. include default.conf QFILTER=|grep -v '^ '|egrep -i 'warning|error' +YARISRC=../shared/rtl/yari-core/yari.v \ + ../shared/rtl/yari-core/stage_I.v \ + ../shared/rtl/yari-core/stage_D.v \ + ../shared/rtl/yari-core/stage_X.v \ + ../shared/rtl/yari-core/stage_M.v \ + ../shared/rtl/yari-core/asm.v \ + ../shared/rtl/yari-core/perfcounters.v all: rtl/yari.sof program: rtl/yari.sof cd rtl; quartus_pgm yari.cdf -rtl/yari.sof: rtl/config.h rtl/icache_ram0.mif rtl/toplevel.v +rtl/yari.sof: rtl/config.h rtl/yari.qsf rtl/icache_ram0.mif rtl/toplevel.v rtl/sram16_ctrl.v $(YARISRC) cd rtl; quartus_map yari.qsf $(QFILTER) cd rtl; quartus_fit yari.qsf $(QFILTER) cd rtl; quartus_asm yari.qsf $(QFILTER) -- 2.11.4.GIT