2 use ieee.std_logic_1164.
all;
3 use ieee.std_logic_arith.
all;
4 use ieee.std_logic_unsigned.
all;
8 a
: in std_logic_vector(3 downto 0);
9 b
: in std_logic_vector(3 downto 0);
10 c
: out std_logic_vector(3 downto 0);
11 d
: out std_logic_vector(3 downto 0);
12 e
: out std_logic_vector(3 downto 0);
13 f
: out std_logic_vector(3 downto 0);
14 g
: out std_logic_vector(3 downto 0);
15 h
: out std_logic_vector(3 downto 0);
16 i
: out std_logic_vector(3 downto 0);
17 j
: out std_logic_vector(3 downto 0);
18 k
: out std_logic_vector(3 downto 0)
22 architecture behav
of bitops
is
31 j
<= b
(3) & a
(1 downto 0) & '1'; -- concatentation
32 k
<= b
(2 downto 1) & b
(2 downto 1); -- replication