Merge branch 'dsp5680xx_cherry' into merging__dsp5680xx_cherry__into__ft2232_gpio_danger
[openocd/dsp568013.git] / src / jtag / core.c
blobfa0ef5c5006a7da5650c3656bd7b05241629676c
1 /***************************************************************************
2 * Copyright (C) 2009 Zachary T Welch *
3 * zw@superlucidity.net *
4 * *
5 * Copyright (C) 2007,2008,2009 Øyvind Harboe *
6 * oyvind.harboe@zylin.com *
7 * *
8 * Copyright (C) 2009 SoftPLC Corporation *
9 * http://softplc.com *
10 * dick@softplc.com *
11 * *
12 * Copyright (C) 2005 by Dominic Rath *
13 * Dominic.Rath@gmx.de *
14 * *
15 * This program is free software; you can redistribute it and/or modify *
16 * it under the terms of the GNU General Public License as published by *
17 * the Free Software Foundation; either version 2 of the License, or *
18 * (at your option) any later version. *
19 * *
20 * This program is distributed in the hope that it will be useful, *
21 * but WITHOUT ANY WARRANTY; without even the implied warranty of *
22 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the *
23 * GNU General Public License for more details. *
24 * *
25 * You should have received a copy of the GNU General Public License *
26 * along with this program; if not, write to the *
27 * Free Software Foundation, Inc., *
28 * 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. *
29 ***************************************************************************/
30 #ifdef HAVE_CONFIG_H
31 #include "config.h"
32 #endif
34 #include "jtag.h"
35 #include "interface.h"
36 #include <transport/transport.h>
38 #ifdef HAVE_STRINGS_H
39 #include <strings.h>
40 #endif
42 /* SVF and XSVF are higher level JTAG command sets (for boundary scan) */
43 #include "svf/svf.h"
44 #include "xsvf/xsvf.h"
46 /// The number of JTAG queue flushes (for profiling and debugging purposes).
47 static int jtag_flush_queue_count;
49 // Sleep this # of ms after flushing the queue
50 static int jtag_flush_queue_sleep = 0;
52 static const char *jtag_only[] = {"jtag", NULL};
54 static void jtag_add_scan_check(struct jtag_tap *active,
55 void (*jtag_add_scan)(struct jtag_tap *active, int in_num_fields, const struct scan_field *in_fields, tap_state_t state),
56 int in_num_fields, struct scan_field *in_fields, tap_state_t state);
58 /**
59 * The jtag_error variable is set when an error occurs while executing
60 * the queue. Application code may set this using jtag_set_error(),
61 * when an error occurs during processing that should be reported during
62 * jtag_execute_queue().
64 * The value is set and cleared, but never read by normal application code.
66 * This value is returned (and cleared) by jtag_execute_queue().
68 static int jtag_error = ERROR_OK;
70 static const char *jtag_event_strings[] =
72 [JTAG_TRST_ASSERTED] = "TAP reset",
73 [JTAG_TAP_EVENT_SETUP] = "TAP setup",
74 [JTAG_TAP_EVENT_ENABLE] = "TAP enabled",
75 [JTAG_TAP_EVENT_DISABLE] = "TAP disabled",
79 * JTAG adapters must initialize with TRST and SRST de-asserted
80 * (they're negative logic, so that means *high*). But some
81 * hardware doesn't necessarily work that way ... so set things
82 * up so that jtag_init() always forces that state.
84 static int jtag_trst = -1;
85 static int jtag_srst = -1;
87 /**
88 * List all TAPs that have been created.
90 static struct jtag_tap *__jtag_all_taps = NULL;
91 /**
92 * The number of TAPs in the __jtag_all_taps list, used to track the
93 * assigned chain position to new TAPs
95 static unsigned jtag_num_taps = 0;
97 static enum reset_types jtag_reset_config = RESET_NONE;
98 tap_state_t cmd_queue_cur_state = TAP_RESET;
100 static bool jtag_verify_capture_ir = true;
101 static int jtag_verify = 1;
103 /* how long the OpenOCD should wait before attempting JTAG communication after reset lines deasserted (in ms) */
104 static int adapter_nsrst_delay = 0; /* default to no nSRST delay */
105 static int jtag_ntrst_delay = 0; /* default to no nTRST delay */
106 static int adapter_nsrst_assert_width = 0; /* width of assertion */
107 static int jtag_ntrst_assert_width = 0; /* width of assertion */
110 * Contains a single callback along with a pointer that will be passed
111 * when an event occurs.
113 struct jtag_event_callback {
114 /// a event callback
115 jtag_event_handler_t callback;
116 /// the private data to pass to the callback
117 void* priv;
118 /// the next callback
119 struct jtag_event_callback* next;
122 /* callbacks to inform high-level handlers about JTAG state changes */
123 static struct jtag_event_callback *jtag_event_callbacks;
125 /* speed in kHz*/
126 static int speed_khz = 0;
127 /* speed to fallback to when RCLK is requested but not supported */
128 static int rclk_fallback_speed_khz = 0;
129 static enum {CLOCK_MODE_UNSELECTED, CLOCK_MODE_KHZ, CLOCK_MODE_RCLK} clock_mode;
130 static int jtag_speed = 0;
132 static struct jtag_interface *jtag = NULL;
135 const struct swd_driver *swd = NULL;
137 /* configuration */
138 struct jtag_interface *jtag_interface = NULL;
140 void jtag_set_flush_queue_sleep(int ms)
142 jtag_flush_queue_sleep = ms;
145 void jtag_set_error(int error)
147 if ((error == ERROR_OK) || (jtag_error != ERROR_OK))
148 return;
149 jtag_error = error;
152 int jtag_error_clear(void)
154 int temp = jtag_error;
155 jtag_error = ERROR_OK;
156 return temp;
159 /************/
161 static bool jtag_poll = 1;
163 bool is_jtag_poll_safe(void)
165 /* Polling can be disabled explicitly with set_enabled(false).
166 * It is also implicitly disabled while TRST is active and
167 * while SRST is gating the JTAG clock.
169 if (!jtag_poll || jtag_trst != 0)
170 return false;
171 return jtag_srst == 0 || (jtag_reset_config & RESET_SRST_NO_GATING);
174 bool jtag_poll_get_enabled(void)
176 return jtag_poll;
179 void jtag_poll_set_enabled(bool value)
181 jtag_poll = value;
184 /************/
186 struct jtag_tap *jtag_all_taps(void)
188 return __jtag_all_taps;
191 unsigned jtag_tap_count(void)
193 return jtag_num_taps;
196 unsigned jtag_tap_count_enabled(void)
198 struct jtag_tap *t = jtag_all_taps();
199 unsigned n = 0;
200 while (t)
202 if (t->enabled)
203 n++;
204 t = t->next_tap;
206 return n;
209 /// Append a new TAP to the chain of all taps.
210 void jtag_tap_add(struct jtag_tap *t)
212 t->abs_chain_position = jtag_num_taps++;
214 struct jtag_tap **tap = &__jtag_all_taps;
215 while (*tap != NULL)
216 tap = &(*tap)->next_tap;
217 *tap = t;
220 /* returns a pointer to the n-th device in the scan chain */
221 struct jtag_tap *jtag_tap_by_position(unsigned n)
223 struct jtag_tap *t = jtag_all_taps();
225 while (t && n-- > 0)
226 t = t->next_tap;
228 return t;
231 struct jtag_tap *jtag_tap_by_string(const char *s)
233 /* try by name first */
234 struct jtag_tap *t = jtag_all_taps();
236 while (t)
238 if (0 == strcmp(t->dotted_name, s))
239 return t;
240 t = t->next_tap;
243 /* no tap found by name, so try to parse the name as a number */
244 unsigned n;
245 if (parse_uint(s, &n) != ERROR_OK)
246 return NULL;
248 /* FIXME remove this numeric fallback code late June 2010, along
249 * with all info in the User's Guide that TAPs have numeric IDs.
250 * Also update "scan_chain" output to not display the numbers.
252 t = jtag_tap_by_position(n);
253 if (t)
254 LOG_WARNING("Specify TAP '%s' by name, not number %u",
255 t->dotted_name, n);
257 return t;
260 struct jtag_tap* jtag_tap_next_enabled(struct jtag_tap* p)
262 p = p ? p->next_tap : jtag_all_taps();
263 while (p)
265 if (p->enabled)
266 return p;
267 p = p->next_tap;
269 return NULL;
272 const char *jtag_tap_name(const struct jtag_tap *tap)
274 return (tap == NULL) ? "(unknown)" : tap->dotted_name;
278 int jtag_register_event_callback(jtag_event_handler_t callback, void *priv)
280 struct jtag_event_callback **callbacks_p = &jtag_event_callbacks;
282 if (callback == NULL)
284 return ERROR_INVALID_ARGUMENTS;
287 if (*callbacks_p)
289 while ((*callbacks_p)->next)
290 callbacks_p = &((*callbacks_p)->next);
291 callbacks_p = &((*callbacks_p)->next);
294 (*callbacks_p) = malloc(sizeof(struct jtag_event_callback));
295 (*callbacks_p)->callback = callback;
296 (*callbacks_p)->priv = priv;
297 (*callbacks_p)->next = NULL;
299 return ERROR_OK;
302 int jtag_unregister_event_callback(jtag_event_handler_t callback, void *priv)
304 struct jtag_event_callback **p = &jtag_event_callbacks, *temp;
306 if (callback == NULL)
308 return ERROR_INVALID_ARGUMENTS;
311 while (*p)
313 if (((*p)->priv != priv) || ((*p)->callback != callback))
315 p = &(*p)->next;
316 continue;
319 temp = *p;
320 *p = (*p)->next;
321 free(temp);
324 return ERROR_OK;
327 int jtag_call_event_callbacks(enum jtag_event event)
329 struct jtag_event_callback *callback = jtag_event_callbacks;
331 LOG_DEBUG("jtag event: %s", jtag_event_strings[event]);
333 while (callback)
335 struct jtag_event_callback *next;
337 /* callback may remove itself */
338 next = callback->next;
339 callback->callback(event, callback->priv);
340 callback = next;
343 return ERROR_OK;
346 static void jtag_checks(void)
348 assert(jtag_trst == 0);
351 static void jtag_prelude(tap_state_t state)
353 jtag_checks();
355 assert(state != TAP_INVALID);
357 cmd_queue_cur_state = state;
360 void jtag_alloc_in_value32(struct scan_field *field)
362 interface_jtag_alloc_in_value32(field);
365 void jtag_add_ir_scan_noverify(struct jtag_tap *active, const struct scan_field *in_fields,
366 tap_state_t state)
368 jtag_prelude(state);
370 int retval = interface_jtag_add_ir_scan(active, in_fields, state);
371 jtag_set_error(retval);
374 static void jtag_add_ir_scan_noverify_callback(struct jtag_tap *active, int dummy, const struct scan_field *in_fields,
375 tap_state_t state)
377 jtag_add_ir_scan_noverify(active, in_fields, state);
380 void jtag_add_ir_scan(struct jtag_tap *active, struct scan_field *in_fields, tap_state_t state)
382 assert(state != TAP_RESET);
384 if (jtag_verify && jtag_verify_capture_ir)
386 /* 8 x 32 bit id's is enough for all invocations */
388 /* if we are to run a verification of the ir scan, we need to get the input back.
389 * We may have to allocate space if the caller didn't ask for the input back.
391 in_fields->check_value = active->expected;
392 in_fields->check_mask = active->expected_mask;
393 jtag_add_scan_check(active, jtag_add_ir_scan_noverify_callback, 1, in_fields, state);
394 } else
396 jtag_add_ir_scan_noverify(active, in_fields, state);
400 void jtag_add_plain_ir_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
401 tap_state_t state)
403 assert(out_bits != NULL);
404 assert(state != TAP_RESET);
406 jtag_prelude(state);
408 int retval = interface_jtag_add_plain_ir_scan(
409 num_bits, out_bits, in_bits, state);
410 jtag_set_error(retval);
413 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
414 uint8_t *in_check_mask, int num_bits);
416 static int jtag_check_value_mask_callback(jtag_callback_data_t data0, jtag_callback_data_t data1, jtag_callback_data_t data2, jtag_callback_data_t data3)
418 return jtag_check_value_inner((uint8_t *)data0, (uint8_t *)data1, (uint8_t *)data2, (int)data3);
421 static void jtag_add_scan_check(struct jtag_tap *active, void (*jtag_add_scan)(struct jtag_tap *active, int in_num_fields, const struct scan_field *in_fields, tap_state_t state),
422 int in_num_fields, struct scan_field *in_fields, tap_state_t state)
424 for (int i = 0; i < in_num_fields; i++)
426 struct scan_field *field = &in_fields[i];
427 field->allocated = 0;
428 field->modified = 0;
429 if (field->check_value || field->in_value)
430 continue;
431 interface_jtag_add_scan_check_alloc(field);
432 field->modified = 1;
435 jtag_add_scan(active, in_num_fields, in_fields, state);
437 for (int i = 0; i < in_num_fields; i++)
439 if ((in_fields[i].check_value != NULL) && (in_fields[i].in_value != NULL))
441 /* this is synchronous for a minidriver */
442 jtag_add_callback4(jtag_check_value_mask_callback, (jtag_callback_data_t)in_fields[i].in_value,
443 (jtag_callback_data_t)in_fields[i].check_value,
444 (jtag_callback_data_t)in_fields[i].check_mask,
445 (jtag_callback_data_t)in_fields[i].num_bits);
447 if (in_fields[i].allocated)
449 free(in_fields[i].in_value);
451 if (in_fields[i].modified)
453 in_fields[i].in_value = NULL;
458 void jtag_add_dr_scan_check(struct jtag_tap *active, int in_num_fields, struct scan_field *in_fields, tap_state_t state)
460 if (jtag_verify)
462 jtag_add_scan_check(active, jtag_add_dr_scan, in_num_fields, in_fields, state);
463 } else
465 jtag_add_dr_scan(active, in_num_fields, in_fields, state);
470 void jtag_add_dr_scan(struct jtag_tap *active, int in_num_fields, const struct scan_field *in_fields,
471 tap_state_t state)
473 assert(state != TAP_RESET);
475 jtag_prelude(state);
477 int retval;
478 retval = interface_jtag_add_dr_scan(active, in_num_fields, in_fields, state);
479 jtag_set_error(retval);
482 void jtag_add_plain_dr_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
483 tap_state_t state)
485 assert(out_bits != NULL);
486 assert(state != TAP_RESET);
488 jtag_prelude(state);
490 int retval;
491 retval = interface_jtag_add_plain_dr_scan(num_bits, out_bits, in_bits, state);
492 jtag_set_error(retval);
495 void jtag_add_tlr(void)
497 jtag_prelude(TAP_RESET);
498 jtag_set_error(interface_jtag_add_tlr());
500 /* NOTE: order here matches TRST path in jtag_add_reset() */
501 jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
502 jtag_notify_event(JTAG_TRST_ASSERTED);
506 * If supported by the underlying adapter, this clocks a raw bit sequence
507 * onto TMS for switching betwen JTAG and SWD modes.
509 * DO NOT use this to bypass the integrity checks and logging provided
510 * by the jtag_add_pathmove() and jtag_add_statemove() calls.
512 * @param nbits How many bits to clock out.
513 * @param seq The bit sequence. The LSB is bit 0 of seq[0].
514 * @param state The JTAG tap state to record on completion. Use
515 * TAP_INVALID to represent being in in SWD mode.
517 * @todo Update naming conventions to stop assuming everything is JTAG.
519 int jtag_add_tms_seq(unsigned nbits, const uint8_t *seq, enum tap_state state)
521 int retval;
523 if (!(jtag->supported & DEBUG_CAP_TMS_SEQ))
524 return ERROR_JTAG_NOT_IMPLEMENTED;
526 jtag_checks();
527 cmd_queue_cur_state = state;
529 retval = interface_add_tms_seq(nbits, seq, state);
530 jtag_set_error(retval);
531 return retval;
534 void jtag_add_pathmove(int num_states, const tap_state_t *path)
536 tap_state_t cur_state = cmd_queue_cur_state;
538 /* the last state has to be a stable state */
539 if (!tap_is_state_stable(path[num_states - 1]))
541 LOG_ERROR("BUG: TAP path doesn't finish in a stable state");
542 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
543 return;
546 for (int i = 0; i < num_states; i++)
548 if (path[i] == TAP_RESET)
550 LOG_ERROR("BUG: TAP_RESET is not a valid state for pathmove sequences");
551 jtag_set_error(ERROR_JTAG_STATE_INVALID);
552 return;
555 if (tap_state_transition(cur_state, true) != path[i]
556 && tap_state_transition(cur_state, false) != path[i])
558 LOG_ERROR("BUG: %s -> %s isn't a valid TAP transition",
559 tap_state_name(cur_state), tap_state_name(path[i]));
560 jtag_set_error(ERROR_JTAG_TRANSITION_INVALID);
561 return;
563 cur_state = path[i];
566 jtag_checks();
568 jtag_set_error(interface_jtag_add_pathmove(num_states, path));
569 cmd_queue_cur_state = path[num_states - 1];
572 int jtag_add_statemove(tap_state_t goal_state)
574 tap_state_t cur_state = cmd_queue_cur_state;
576 if (goal_state != cur_state)
578 LOG_DEBUG("cur_state=%s goal_state=%s",
579 tap_state_name(cur_state),
580 tap_state_name(goal_state));
583 /* If goal is RESET, be paranoid and force that that transition
584 * (e.g. five TCK cycles, TMS high). Else trust "cur_state".
586 if (goal_state == TAP_RESET)
587 jtag_add_tlr();
588 else if (goal_state == cur_state)
589 /* nothing to do */ ;
591 else if (tap_is_state_stable(cur_state) && tap_is_state_stable(goal_state))
593 unsigned tms_bits = tap_get_tms_path(cur_state, goal_state);
594 unsigned tms_count = tap_get_tms_path_len(cur_state, goal_state);
595 tap_state_t moves[8];
596 assert(tms_count < ARRAY_SIZE(moves));
598 for (unsigned i = 0; i < tms_count; i++, tms_bits >>= 1)
600 bool bit = tms_bits & 1;
602 cur_state = tap_state_transition(cur_state, bit);
603 moves[i] = cur_state;
606 jtag_add_pathmove(tms_count, moves);
608 else if (tap_state_transition(cur_state, true) == goal_state
609 || tap_state_transition(cur_state, false) == goal_state)
611 jtag_add_pathmove(1, &goal_state);
614 else
615 return ERROR_FAIL;
617 return ERROR_OK;
620 void jtag_add_runtest(int num_cycles, tap_state_t state)
622 jtag_prelude(state);
623 jtag_set_error(interface_jtag_add_runtest(num_cycles, state));
627 void jtag_add_clocks(int num_cycles)
629 if (!tap_is_state_stable(cmd_queue_cur_state))
631 LOG_ERROR("jtag_add_clocks() called with TAP in unstable state \"%s\"",
632 tap_state_name(cmd_queue_cur_state));
633 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
634 return;
637 if (num_cycles > 0)
639 jtag_checks();
640 jtag_set_error(interface_jtag_add_clocks(num_cycles));
644 void jtag_add_reset(int req_tlr_or_trst, int req_srst)
646 int trst_with_tlr = 0;
647 int new_srst = 0;
648 int new_trst = 0;
650 /* Without SRST, we must use target-specific JTAG operations
651 * on each target; callers should not be requesting SRST when
652 * that signal doesn't exist.
654 * RESET_SRST_PULLS_TRST is a board or chip level quirk, which
655 * can kick in even if the JTAG adapter can't drive TRST.
657 if (req_srst) {
658 if (!(jtag_reset_config & RESET_HAS_SRST)) {
659 LOG_ERROR("BUG: can't assert SRST");
660 jtag_set_error(ERROR_FAIL);
661 return;
663 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) != 0
664 && !req_tlr_or_trst) {
665 LOG_ERROR("BUG: can't assert only SRST");
666 jtag_set_error(ERROR_FAIL);
667 return;
669 new_srst = 1;
672 /* JTAG reset (entry to TAP_RESET state) can always be achieved
673 * using TCK and TMS; that may go through a TAP_{IR,DR}UPDATE
674 * state first. TRST accelerates it, and bypasses those states.
676 * RESET_TRST_PULLS_SRST is a board or chip level quirk, which
677 * can kick in even if the JTAG adapter can't drive SRST.
679 if (req_tlr_or_trst) {
680 if (!(jtag_reset_config & RESET_HAS_TRST))
681 trst_with_tlr = 1;
682 else if ((jtag_reset_config & RESET_TRST_PULLS_SRST) != 0
683 && !req_srst)
684 trst_with_tlr = 1;
685 else
686 new_trst = 1;
689 /* Maybe change TRST and/or SRST signal state */
690 if (jtag_srst != new_srst || jtag_trst != new_trst) {
691 int retval;
693 retval = interface_jtag_add_reset(new_trst, new_srst);
694 if (retval != ERROR_OK)
695 jtag_set_error(retval);
696 else
697 retval = jtag_execute_queue();
699 if (retval != ERROR_OK) {
700 LOG_ERROR("TRST/SRST error");
701 return;
705 /* SRST resets everything hooked up to that signal */
706 if (jtag_srst != new_srst) {
707 jtag_srst = new_srst;
708 if (jtag_srst)
710 LOG_DEBUG("SRST line asserted");
711 if (adapter_nsrst_assert_width)
712 jtag_add_sleep(adapter_nsrst_assert_width * 1000);
714 else {
715 LOG_DEBUG("SRST line released");
716 if (adapter_nsrst_delay)
717 jtag_add_sleep(adapter_nsrst_delay * 1000);
721 /* Maybe enter the JTAG TAP_RESET state ...
722 * - using only TMS, TCK, and the JTAG state machine
723 * - or else more directly, using TRST
725 * TAP_RESET should be invisible to non-debug parts of the system.
727 if (trst_with_tlr) {
728 LOG_DEBUG("JTAG reset with TLR instead of TRST");
729 jtag_add_tlr();
731 } else if (jtag_trst != new_trst) {
732 jtag_trst = new_trst;
733 if (jtag_trst) {
734 LOG_DEBUG("TRST line asserted");
735 tap_set_state(TAP_RESET);
736 if (jtag_ntrst_assert_width)
737 jtag_add_sleep(jtag_ntrst_assert_width * 1000);
738 } else {
739 LOG_DEBUG("TRST line released");
740 if (jtag_ntrst_delay)
741 jtag_add_sleep(jtag_ntrst_delay * 1000);
743 /* We just asserted nTRST, so we're now in TAP_RESET.
744 * Inform possible listeners about this, now that
745 * JTAG instructions and data can be shifted. This
746 * sequence must match jtag_add_tlr().
748 jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
749 jtag_notify_event(JTAG_TRST_ASSERTED);
754 void jtag_add_sleep(uint32_t us)
756 /// @todo Here, keep_alive() appears to be a layering violation!!!
757 keep_alive();
758 jtag_set_error(interface_jtag_add_sleep(us));
761 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
762 uint8_t *in_check_mask, int num_bits)
764 int retval = ERROR_OK;
765 int compare_failed;
767 if (in_check_mask)
768 compare_failed = buf_cmp_mask(captured, in_check_value, in_check_mask, num_bits);
769 else
770 compare_failed = buf_cmp(captured, in_check_value, num_bits);
772 if (compare_failed) {
773 char *captured_str, *in_check_value_str;
774 int bits = (num_bits > DEBUG_JTAG_IOZ)
775 ? DEBUG_JTAG_IOZ
776 : num_bits;
778 /* NOTE: we've lost diagnostic context here -- 'which tap' */
780 captured_str = buf_to_str(captured, bits, 16);
781 in_check_value_str = buf_to_str(in_check_value, bits, 16);
783 LOG_WARNING("Bad value '%s' captured during DR or IR scan:",
784 captured_str);
785 LOG_WARNING(" check_value: 0x%s", in_check_value_str);
787 free(captured_str);
788 free(in_check_value_str);
790 if (in_check_mask) {
791 char *in_check_mask_str;
793 in_check_mask_str = buf_to_str(in_check_mask, bits, 16);
794 LOG_WARNING(" check_mask: 0x%s", in_check_mask_str);
795 free(in_check_mask_str);
798 retval = ERROR_JTAG_QUEUE_FAILED;
800 return retval;
803 void jtag_check_value_mask(struct scan_field *field, uint8_t *value, uint8_t *mask)
805 assert(field->in_value != NULL);
807 if (value == NULL)
809 /* no checking to do */
810 return;
813 jtag_execute_queue_noclear();
815 int retval = jtag_check_value_inner(field->in_value, value, mask, field->num_bits);
816 jtag_set_error(retval);
821 int default_interface_jtag_execute_queue(void)
823 if (NULL == jtag)
825 LOG_ERROR("No JTAG interface configured yet. "
826 "Issue 'init' command in startup scripts "
827 "before communicating with targets.");
828 return ERROR_FAIL;
831 return jtag->execute_queue();
834 void jtag_execute_queue_noclear(void)
836 jtag_flush_queue_count++;
837 jtag_set_error(interface_jtag_execute_queue());
839 if (jtag_flush_queue_sleep > 0)
841 /* For debug purposes it can be useful to test performance
842 * or behavior when delaying after flushing the queue,
843 * e.g. to simulate long roundtrip times.
845 usleep(jtag_flush_queue_sleep * 1000);
849 int jtag_get_flush_queue_count(void)
851 return jtag_flush_queue_count;
854 int jtag_execute_queue(void)
856 jtag_execute_queue_noclear();
857 return jtag_error_clear();
860 static int jtag_reset_callback(enum jtag_event event, void *priv)
862 struct jtag_tap *tap = priv;
864 if (event == JTAG_TRST_ASSERTED)
866 tap->enabled = !tap->disabled_after_reset;
868 /* current instruction is either BYPASS or IDCODE */
869 buf_set_ones(tap->cur_instr, tap->ir_length);
870 tap->bypass = 1;
873 return ERROR_OK;
876 /* sleep at least us microseconds. When we sleep more than 1000ms we
877 * do an alive sleep, i.e. keep GDB alive. Note that we could starve
878 * GDB if we slept for <1000ms many times.
880 void jtag_sleep(uint32_t us)
882 if (us < 1000)
883 usleep(us);
884 else
885 alive_sleep((us+999)/1000);
888 /* Maximum number of enabled JTAG devices we expect in the scan chain,
889 * plus one (to detect garbage at the end). Devices that don't support
890 * IDCODE take up fewer bits, possibly allowing a few more devices.
892 #define JTAG_MAX_CHAIN_SIZE 20
894 #define EXTRACT_MFG(X) (((X) & 0xffe) >> 1)
895 #define EXTRACT_PART(X) (((X) & 0xffff000) >> 12)
896 #define EXTRACT_VER(X) (((X) & 0xf0000000) >> 28)
898 /* A reserved manufacturer ID is used in END_OF_CHAIN_FLAG, so we
899 * know that no valid TAP will have it as an IDCODE value.
901 #define END_OF_CHAIN_FLAG 0x000000ff
903 /* a larger IR length than we ever expect to autoprobe */
904 #define JTAG_IRLEN_MAX 60
906 static int jtag_examine_chain_execute(uint8_t *idcode_buffer, unsigned num_idcode)
908 struct scan_field field = {
909 .num_bits = num_idcode * 32,
910 .out_value = idcode_buffer,
911 .in_value = idcode_buffer,
914 // initialize to the end of chain ID value
915 for (unsigned i = 0; i < JTAG_MAX_CHAIN_SIZE; i++)
916 buf_set_u32(idcode_buffer, i * 32, 32, END_OF_CHAIN_FLAG);
918 jtag_add_plain_dr_scan(field.num_bits, field.out_value, field.in_value, TAP_DRPAUSE);
919 jtag_add_tlr();
920 return jtag_execute_queue();
923 static bool jtag_examine_chain_check(uint8_t *idcodes, unsigned count)
925 uint8_t zero_check = 0x0;
926 uint8_t one_check = 0xff;
928 for (unsigned i = 0; i < count * 4; i++)
930 zero_check |= idcodes[i];
931 one_check &= idcodes[i];
934 /* if there wasn't a single non-zero bit or if all bits were one,
935 * the scan is not valid. We wrote a mix of both values; either
937 * - There's a hardware issue (almost certainly):
938 * + all-zeroes can mean a target stuck in JTAG reset
939 * + all-ones tends to mean no target
940 * - The scan chain is WAY longer than we can handle, *AND* either
941 * + there are several hundreds of TAPs in bypass, or
942 * + at least a few dozen TAPs all have an all-ones IDCODE
944 if (zero_check == 0x00 || one_check == 0xff)
946 LOG_ERROR("JTAG scan chain interrogation failed: all %s",
947 (zero_check == 0x00) ? "zeroes" : "ones");
948 LOG_ERROR("Check JTAG interface, timings, target power, etc.");
949 return false;
951 return true;
954 static void jtag_examine_chain_display(enum log_levels level, const char *msg,
955 const char *name, uint32_t idcode)
957 log_printf_lf(level, __FILE__, __LINE__, __FUNCTION__,
958 "JTAG tap: %s %16.16s: 0x%08x "
959 "(mfg: 0x%3.3x, part: 0x%4.4x, ver: 0x%1.1x)",
960 name, msg,
961 (unsigned int)idcode,
962 (unsigned int)EXTRACT_MFG(idcode),
963 (unsigned int)EXTRACT_PART(idcode),
964 (unsigned int)EXTRACT_VER(idcode));
967 static bool jtag_idcode_is_final(uint32_t idcode)
970 * Some devices, such as AVR8, will output all 1's instead
971 * of TDI input value at end of chain. Allow those values
972 * instead of failing.
974 return idcode == END_OF_CHAIN_FLAG || idcode == 0xFFFFFFFF;
978 * This helper checks that remaining bits in the examined chain data are
979 * all as expected, but a single JTAG device requires only 64 bits to be
980 * read back correctly. This can help identify and diagnose problems
981 * with the JTAG chain earlier, gives more helpful/explicit error messages.
982 * Returns TRUE iff garbage was found.
984 static bool jtag_examine_chain_end(uint8_t *idcodes, unsigned count, unsigned max)
986 bool triggered = false;
987 for (; count < max - 31; count += 32)
989 uint32_t idcode = buf_get_u32(idcodes, count, 32);
991 /* do not trigger the warning if the data looks good */
992 if (jtag_idcode_is_final(idcode))
993 continue;
994 LOG_WARNING("Unexpected idcode after end of chain: %d 0x%08x",
995 count, (unsigned int)idcode);
996 triggered = true;
998 return triggered;
1001 static bool jtag_examine_chain_match_tap(const struct jtag_tap *tap)
1003 uint32_t idcode = tap->idcode;
1005 /* ignore expected BYPASS codes; warn otherwise */
1006 if (0 == tap->expected_ids_cnt && !idcode)
1007 return true;
1009 /* optionally ignore the JTAG version field */
1010 uint32_t mask = tap->ignore_version ? ~(0xff << 24) : ~0;
1012 idcode &= mask;
1014 /* Loop over the expected identification codes and test for a match */
1015 unsigned ii, limit = tap->expected_ids_cnt;
1017 for (ii = 0; ii < limit; ii++)
1019 uint32_t expected = tap->expected_ids[ii] & mask;
1021 if (idcode == expected)
1022 return true;
1024 /* treat "-expected-id 0" as a "don't-warn" wildcard */
1025 if (0 == tap->expected_ids[ii])
1026 return true;
1029 /* If none of the expected ids matched, warn */
1030 jtag_examine_chain_display(LOG_LVL_WARNING, "UNEXPECTED",
1031 tap->dotted_name, tap->idcode);
1032 for (ii = 0; ii < limit; ii++)
1034 char msg[32];
1036 snprintf(msg, sizeof(msg), "expected %u of %u", ii + 1, limit);
1037 jtag_examine_chain_display(LOG_LVL_ERROR, msg,
1038 tap->dotted_name, tap->expected_ids[ii]);
1040 return false;
1043 /* Try to examine chain layout according to IEEE 1149.1 §12
1044 * This is called a "blind interrogation" of the scan chain.
1046 static int jtag_examine_chain(void)
1048 uint8_t idcode_buffer[JTAG_MAX_CHAIN_SIZE * 4];
1049 unsigned bit_count;
1050 int retval;
1051 int tapcount = 0;
1052 bool autoprobe = false;
1054 /* DR scan to collect BYPASS or IDCODE register contents.
1055 * Then make sure the scan data has both ones and zeroes.
1057 LOG_DEBUG("DR scan interrogation for IDCODE/BYPASS");
1058 retval = jtag_examine_chain_execute(idcode_buffer, JTAG_MAX_CHAIN_SIZE);
1059 if (retval != ERROR_OK)
1060 return retval;
1061 if (!jtag_examine_chain_check(idcode_buffer, JTAG_MAX_CHAIN_SIZE))
1062 return ERROR_JTAG_INIT_FAILED;
1064 /* point at the 1st tap */
1065 struct jtag_tap *tap = jtag_tap_next_enabled(NULL);
1067 if (!tap)
1068 autoprobe = true;
1070 for (bit_count = 0;
1071 tap && bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31;
1072 tap = jtag_tap_next_enabled(tap))
1074 uint32_t idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1076 if ((idcode & 1) == 0)
1078 /* Zero for LSB indicates a device in bypass */
1079 LOG_INFO("TAP %s does not have IDCODE",
1080 tap->dotted_name);
1081 idcode = 0;
1082 tap->hasidcode = false;
1084 bit_count += 1;
1086 else
1088 /* Friendly devices support IDCODE */
1089 tap->hasidcode = true;
1090 jtag_examine_chain_display(LOG_LVL_INFO,
1091 "tap/device found",
1092 tap->dotted_name, idcode);
1094 bit_count += 32;
1096 tap->idcode = idcode;
1098 /* ensure the TAP ID matches what was expected */
1099 if (!jtag_examine_chain_match_tap(tap))
1100 retval = ERROR_JTAG_INIT_SOFT_FAIL;
1103 /* Fail if too many TAPs were enabled for us to verify them all. */
1104 if (tap) {
1105 LOG_ERROR("Too many TAPs enabled; '%s' ignored.",
1106 tap->dotted_name);
1107 return ERROR_JTAG_INIT_FAILED;
1110 /* if autoprobing, the tap list is still empty ... populate it! */
1111 while (autoprobe && bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31) {
1112 uint32_t idcode;
1113 char buf[12];
1115 /* Is there another TAP? */
1116 idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1117 if (jtag_idcode_is_final(idcode))
1118 break;
1120 /* Default everything in this TAP except IR length.
1122 * REVISIT create a jtag_alloc(chip, tap) routine, and
1123 * share it with jim_newtap_cmd().
1125 tap = calloc(1, sizeof *tap);
1126 if (!tap)
1127 return ERROR_FAIL;
1129 sprintf(buf, "auto%d", tapcount++);
1130 tap->chip = strdup(buf);
1131 tap->tapname = strdup("tap");
1133 sprintf(buf, "%s.%s", tap->chip, tap->tapname);
1134 tap->dotted_name = strdup(buf);
1136 /* tap->ir_length == 0 ... signifying irlen autoprobe */
1137 tap->ir_capture_mask = 0x03;
1138 tap->ir_capture_value = 0x01;
1140 tap->enabled = true;
1142 if ((idcode & 1) == 0) {
1143 bit_count += 1;
1144 tap->hasidcode = false;
1145 } else {
1146 bit_count += 32;
1147 tap->hasidcode = true;
1148 tap->idcode = idcode;
1150 tap->expected_ids_cnt = 1;
1151 tap->expected_ids = malloc(sizeof(uint32_t));
1152 tap->expected_ids[0] = idcode;
1155 LOG_WARNING("AUTO %s - use \"jtag newtap "
1156 "%s %s -expected-id 0x%8.8" PRIx32 " ...\"",
1157 tap->dotted_name, tap->chip, tap->tapname,
1158 tap->idcode);
1160 jtag_tap_init(tap);
1163 /* After those IDCODE or BYPASS register values should be
1164 * only the data we fed into the scan chain.
1166 if (jtag_examine_chain_end(idcode_buffer, bit_count,
1167 8 * sizeof(idcode_buffer))) {
1168 LOG_ERROR("double-check your JTAG setup (interface, "
1169 "speed, missing TAPs, ...)");
1170 return ERROR_JTAG_INIT_FAILED;
1173 /* Return success or, for backwards compatibility if only
1174 * some IDCODE values mismatched, a soft/continuable fault.
1176 return retval;
1180 * Validate the date loaded by entry to the Capture-IR state, to help
1181 * find errors related to scan chain configuration (wrong IR lengths)
1182 * or communication.
1184 * Entry state can be anything. On non-error exit, all TAPs are in
1185 * bypass mode. On error exits, the scan chain is reset.
1187 static int jtag_validate_ircapture(void)
1189 struct jtag_tap *tap;
1190 int total_ir_length = 0;
1191 uint8_t *ir_test = NULL;
1192 struct scan_field field;
1193 int val;
1194 int chain_pos = 0;
1195 int retval;
1197 /* when autoprobing, accomodate huge IR lengths */
1198 for (tap = NULL, total_ir_length = 0;
1199 (tap = jtag_tap_next_enabled(tap)) != NULL;
1200 total_ir_length += tap->ir_length) {
1201 if (tap->ir_length == 0)
1202 total_ir_length += JTAG_IRLEN_MAX;
1205 /* increase length to add 2 bit sentinel after scan */
1206 total_ir_length += 2;
1208 ir_test = malloc(DIV_ROUND_UP(total_ir_length, 8));
1209 if (ir_test == NULL)
1210 return ERROR_FAIL;
1212 /* after this scan, all TAPs will capture BYPASS instructions */
1213 buf_set_ones(ir_test, total_ir_length);
1215 field.num_bits = total_ir_length;
1216 field.out_value = ir_test;
1217 field.in_value = ir_test;
1219 jtag_add_plain_ir_scan(field.num_bits, field.out_value, field.in_value, TAP_IDLE);
1221 LOG_DEBUG("IR capture validation scan");
1222 retval = jtag_execute_queue();
1223 if (retval != ERROR_OK)
1224 goto done;
1226 tap = NULL;
1227 chain_pos = 0;
1229 for (;;) {
1230 tap = jtag_tap_next_enabled(tap);
1231 if (tap == NULL) {
1232 break;
1235 /* If we're autoprobing, guess IR lengths. They must be at
1236 * least two bits. Guessing will fail if (a) any TAP does
1237 * not conform to the JTAG spec; or (b) when the upper bits
1238 * captured from some conforming TAP are nonzero. Or if
1239 * (c) an IR length is longer than 32 bits -- which is only
1240 * an implementation limit, which could someday be raised.
1242 * REVISIT optimization: if there's a *single* TAP we can
1243 * lift restrictions (a) and (b) by scanning a recognizable
1244 * pattern before the all-ones BYPASS. Check for where the
1245 * pattern starts in the result, instead of an 0...01 value.
1247 * REVISIT alternative approach: escape to some tcl code
1248 * which could provide more knowledge, based on IDCODE; and
1249 * only guess when that has no success.
1251 if (tap->ir_length == 0) {
1252 tap->ir_length = 2;
1253 while ((val = buf_get_u32(ir_test, chain_pos,
1254 tap->ir_length + 1)) == 1
1255 && tap->ir_length <= 32) {
1256 tap->ir_length++;
1258 LOG_WARNING("AUTO %s - use \"... -irlen %d\"",
1259 jtag_tap_name(tap), tap->ir_length);
1262 /* Validate the two LSBs, which must be 01 per JTAG spec.
1264 * Or ... more bits could be provided by TAP declaration.
1265 * Plus, some taps (notably in i.MX series chips) violate
1266 * this part of the JTAG spec, so their capture mask/value
1267 * attributes might disable this test.
1269 val = buf_get_u32(ir_test, chain_pos, tap->ir_length);
1270 if ((val & tap->ir_capture_mask) != tap->ir_capture_value) {
1271 LOG_ERROR("%s: IR capture error; saw 0x%0*x not 0x%0*x",
1272 jtag_tap_name(tap),
1273 (tap->ir_length + 7) / tap->ir_length,
1274 val,
1275 (tap->ir_length + 7) / tap->ir_length,
1276 (unsigned) tap->ir_capture_value);
1278 retval = ERROR_JTAG_INIT_FAILED;
1279 goto done;
1281 LOG_DEBUG("%s: IR capture 0x%0*x", jtag_tap_name(tap),
1282 (tap->ir_length + 7) / tap->ir_length, val);
1283 chain_pos += tap->ir_length;
1286 /* verify the '11' sentinel we wrote is returned at the end */
1287 val = buf_get_u32(ir_test, chain_pos, 2);
1288 if (val != 0x3)
1290 char *cbuf = buf_to_str(ir_test, total_ir_length, 16);
1292 LOG_ERROR("IR capture error at bit %d, saw 0x%s not 0x...3",
1293 chain_pos, cbuf);
1294 free(cbuf);
1295 retval = ERROR_JTAG_INIT_FAILED;
1298 done:
1299 free(ir_test);
1300 if (retval != ERROR_OK) {
1301 jtag_add_tlr();
1302 jtag_execute_queue();
1304 return retval;
1308 void jtag_tap_init(struct jtag_tap *tap)
1310 unsigned ir_len_bits;
1311 unsigned ir_len_bytes;
1313 /* if we're autoprobing, cope with potentially huge ir_length */
1314 ir_len_bits = tap->ir_length ? : JTAG_IRLEN_MAX;
1315 ir_len_bytes = DIV_ROUND_UP(ir_len_bits, 8);
1317 tap->expected = calloc(1, ir_len_bytes);
1318 tap->expected_mask = calloc(1, ir_len_bytes);
1319 tap->cur_instr = malloc(ir_len_bytes);
1321 /// @todo cope better with ir_length bigger than 32 bits
1322 if (ir_len_bits > 32)
1323 ir_len_bits = 32;
1325 buf_set_u32(tap->expected, 0, ir_len_bits, tap->ir_capture_value);
1326 buf_set_u32(tap->expected_mask, 0, ir_len_bits, tap->ir_capture_mask);
1328 // TAP will be in bypass mode after jtag_validate_ircapture()
1329 tap->bypass = 1;
1330 buf_set_ones(tap->cur_instr, tap->ir_length);
1332 // register the reset callback for the TAP
1333 jtag_register_event_callback(&jtag_reset_callback, tap);
1335 LOG_DEBUG("Created Tap: %s @ abs position %d, "
1336 "irlen %d, capture: 0x%x mask: 0x%x", tap->dotted_name,
1337 tap->abs_chain_position, tap->ir_length,
1338 (unsigned) tap->ir_capture_value,
1339 (unsigned) tap->ir_capture_mask);
1340 jtag_tap_add(tap);
1343 void jtag_tap_free(struct jtag_tap *tap)
1345 jtag_unregister_event_callback(&jtag_reset_callback, tap);
1347 /// @todo is anything missing? no memory leaks please
1348 free((void *)tap->expected);
1349 free((void *)tap->expected_ids);
1350 free((void *)tap->chip);
1351 free((void *)tap->tapname);
1352 free((void *)tap->dotted_name);
1353 free(tap);
1357 * Do low-level setup like initializing registers, output signals,
1358 * and clocking.
1360 * TODO (TC@20110524): This function should become jtag-specific initialization
1361 * routine, or separate common wrapper calling transport specific routines...
1362 * TODO (TC@20110524): This function sets some interface parameters such as TCK
1363 * frequency. As it is called only once it will not change TCK speed.
1365 int adapter_init(struct command_context *cmd_ctx)
1367 if (jtag)
1368 return ERROR_OK;
1370 if (!jtag_interface)
1372 /* nothing was previously specified by "interface" command */
1373 LOG_ERROR("Debug Adapter has to be specified, "
1374 "see \"interface\" command");
1375 return ERROR_JTAG_INVALID_INTERFACE;
1378 int retval;
1379 retval = jtag_interface->init();
1380 if (retval != ERROR_OK)
1382 return retval;
1384 jtag = jtag_interface;
1386 /* LEGACY SUPPORT ... adapter drivers must declare what
1387 * transports they allow. Until they all do so, assume
1388 * the legacy drivers are JTAG-only
1390 if (!transports_are_declared()) {
1391 LOG_ERROR("Adapter driver '%s' did not declare "
1392 "which transports it allows; assuming "
1393 "JTAG-only", jtag->name);
1394 retval = allow_transports(cmd_ctx, jtag_only);
1395 if (retval != ERROR_OK)
1396 return retval;
1399 if (CLOCK_MODE_UNSELECTED == clock_mode)
1401 LOG_ERROR("An adapter speed is not selected in the init script."
1402 " Insert a call to adapter_khz or jtag_rclk to proceed.");
1403 return ERROR_JTAG_INIT_FAILED;
1406 int requested_khz = jtag_get_speed_khz();
1407 int actual_khz = requested_khz;
1408 int jtag_speed_var = 0;
1409 retval = jtag_get_speed(&jtag_speed_var);
1410 if (retval != ERROR_OK)
1411 return retval;
1412 retval = jtag->speed(jtag_speed_var);
1413 if (retval != ERROR_OK)
1414 return retval;
1415 retval = jtag_get_speed_readable(&actual_khz);
1416 if (ERROR_OK != retval)
1417 LOG_INFO("adapter-specific clock speed value %d", jtag_speed_var);
1418 else if (actual_khz)
1420 /* Adaptive clocking -- JTAG-specific */
1421 if ((CLOCK_MODE_RCLK == clock_mode)
1422 || ((CLOCK_MODE_KHZ == clock_mode) && !requested_khz))
1424 LOG_INFO("RCLK (adaptive clock speed) not supported - fallback to %d kHz"
1425 , actual_khz);
1427 else
1428 LOG_INFO("clock speed %d kHz", actual_khz);
1430 else
1431 LOG_INFO("RCLK (adaptive clock speed)");
1433 return ERROR_OK;
1436 int jtag_init_inner(struct command_context *cmd_ctx)
1438 struct jtag_tap *tap;
1439 int retval;
1440 bool issue_setup = true;
1442 LOG_DEBUG("Init JTAG chain");
1444 tap = jtag_tap_next_enabled(NULL);
1445 if (tap == NULL) {
1446 /* Once JTAG itself is properly set up, and the scan chain
1447 * isn't absurdly large, IDCODE autoprobe should work fine.
1449 * But ... IRLEN autoprobe can fail even on systems which
1450 * are fully conformant to JTAG. Also, JTAG setup can be
1451 * quite finicky on some systems.
1453 * REVISIT: if TAP autoprobe works OK, then in many cases
1454 * we could escape to tcl code and set up targets based on
1455 * the TAP's IDCODE values.
1457 LOG_WARNING("There are no enabled taps. "
1458 "AUTO PROBING MIGHT NOT WORK!!");
1460 /* REVISIT default clock will often be too fast ... */
1463 jtag_add_tlr();
1464 if ((retval = jtag_execute_queue()) != ERROR_OK)
1465 return retval;
1467 /* Examine DR values first. This discovers problems which will
1468 * prevent communication ... hardware issues like TDO stuck, or
1469 * configuring the wrong number of (enabled) TAPs.
1471 retval = jtag_examine_chain();
1472 switch (retval) {
1473 case ERROR_OK:
1474 /* complete success */
1475 break;
1476 default:
1477 /* For backward compatibility reasons, try coping with
1478 * configuration errors involving only ID mismatches.
1479 * We might be able to talk to the devices.
1481 * Also the device might be powered down during startup.
1483 * After OpenOCD starts, we can try to power on the device
1484 * and run a reset.
1486 LOG_ERROR("Trying to use configured scan chain anyway...");
1487 issue_setup = false;
1488 break;
1491 /* Now look at IR values. Problems here will prevent real
1492 * communication. They mostly mean that the IR length is
1493 * wrong ... or that the IR capture value is wrong. (The
1494 * latter is uncommon, but easily worked around: provide
1495 * ircapture/irmask values during TAP setup.)
1497 retval = jtag_validate_ircapture();
1498 if (retval != ERROR_OK)
1500 /* The target might be powered down. The user
1501 * can power it up and reset it after firing
1502 * up OpenOCD.
1504 issue_setup = false;
1507 if (issue_setup)
1508 jtag_notify_event(JTAG_TAP_EVENT_SETUP);
1509 else
1510 LOG_WARNING("Bypassing JTAG setup events due to errors");
1513 return ERROR_OK;
1516 int adapter_quit(void)
1518 if (!jtag || !jtag->quit)
1519 return ERROR_OK;
1521 // close the JTAG interface
1522 int result = jtag->quit();
1523 if (ERROR_OK != result)
1524 LOG_ERROR("failed: %d", result);
1526 return ERROR_OK;
1530 int jtag_init_reset(struct command_context *cmd_ctx)
1532 int retval;
1534 if ((retval = adapter_init(cmd_ctx)) != ERROR_OK)
1535 return retval;
1537 LOG_DEBUG("Initializing with hard TRST+SRST reset");
1540 * This procedure is used by default when OpenOCD triggers a reset.
1541 * It's now done through an overridable Tcl "init_reset" wrapper.
1543 * This started out as a more powerful "get JTAG working" reset than
1544 * jtag_init_inner(), applying TRST because some chips won't activate
1545 * JTAG without a TRST cycle (presumed to be async, though some of
1546 * those chips synchronize JTAG activation using TCK).
1548 * But some chips only activate JTAG as part of an SRST cycle; SRST
1549 * got mixed in. So it became a hard reset routine, which got used
1550 * in more places, and which coped with JTAG reset being forced as
1551 * part of SRST (srst_pulls_trst).
1553 * And even more corner cases started to surface: TRST and/or SRST
1554 * assertion timings matter; some chips need other JTAG operations;
1555 * TRST/SRST sequences can need to be different from these, etc.
1557 * Systems should override that wrapper to support system-specific
1558 * requirements that this not-fully-generic code doesn't handle.
1560 * REVISIT once Tcl code can read the reset_config modes, this won't
1561 * need to be a C routine at all...
1563 jtag_add_reset(1, 0); /* TAP_RESET, using TMS+TCK or TRST */
1564 if (jtag_reset_config & RESET_HAS_SRST)
1566 jtag_add_reset(1, 1);
1567 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) == 0)
1568 jtag_add_reset(0, 1);
1570 jtag_add_reset(0, 0);
1571 if ((retval = jtag_execute_queue()) != ERROR_OK)
1572 return retval;
1574 /* Check that we can communication on the JTAG chain + eventually we want to
1575 * be able to perform enumeration only after OpenOCD has started
1576 * telnet and GDB server
1578 * That would allow users to more easily perform any magic they need to before
1579 * reset happens.
1581 return jtag_init_inner(cmd_ctx);
1584 int jtag_init(struct command_context *cmd_ctx)
1586 int retval;
1588 if ((retval = adapter_init(cmd_ctx)) != ERROR_OK)
1589 return retval;
1591 /* guard against oddball hardware: force resets to be inactive */
1592 jtag_add_reset(0, 0);
1593 if ((retval = jtag_execute_queue()) != ERROR_OK)
1594 return retval;
1596 if (Jim_Eval_Named(cmd_ctx->interp, "jtag_init", __FILE__, __LINE__) != JIM_OK)
1597 return ERROR_FAIL;
1599 return ERROR_OK;
1602 unsigned jtag_get_speed_khz(void)
1604 return speed_khz;
1607 static int adapter_khz_to_speed(unsigned khz, int* speed)
1609 LOG_DEBUG("convert khz to interface specific speed value");
1610 speed_khz = khz;
1611 if (jtag != NULL)
1613 LOG_DEBUG("have interface set up");
1614 int speed_div1;
1615 int retval = jtag->khz(jtag_get_speed_khz(), &speed_div1);
1616 if (ERROR_OK != retval)
1618 return retval;
1620 *speed = speed_div1;
1622 return ERROR_OK;
1625 static int jtag_rclk_to_speed(unsigned fallback_speed_khz, int* speed)
1627 int retval = adapter_khz_to_speed(0, speed);
1628 if ((ERROR_OK != retval) && fallback_speed_khz)
1630 LOG_DEBUG("trying fallback speed...");
1631 retval = adapter_khz_to_speed(fallback_speed_khz, speed);
1633 return retval;
1636 static int jtag_set_speed(int speed)
1638 jtag_speed = speed;
1639 /* this command can be called during CONFIG,
1640 * in which case jtag isn't initialized */
1641 return jtag ? jtag->speed(speed) : ERROR_OK;
1644 int jtag_config_khz(unsigned khz)
1646 LOG_DEBUG("handle jtag khz");
1647 clock_mode = CLOCK_MODE_KHZ;
1648 int speed = 0;
1649 int retval = adapter_khz_to_speed(khz, &speed);
1650 return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1653 int jtag_config_rclk(unsigned fallback_speed_khz)
1655 LOG_DEBUG("handle jtag rclk");
1656 clock_mode = CLOCK_MODE_RCLK;
1657 rclk_fallback_speed_khz = fallback_speed_khz;
1658 int speed = 0;
1659 int retval = jtag_rclk_to_speed(fallback_speed_khz, &speed);
1660 return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1663 int jtag_get_speed(int *speed)
1665 switch(clock_mode)
1667 case CLOCK_MODE_KHZ:
1668 adapter_khz_to_speed(jtag_get_speed_khz(), speed);
1669 break;
1670 case CLOCK_MODE_RCLK:
1671 jtag_rclk_to_speed(rclk_fallback_speed_khz, speed);
1672 break;
1673 default:
1674 LOG_ERROR("BUG: unknown jtag clock mode");
1675 return ERROR_FAIL;
1677 return ERROR_OK;
1680 int jtag_get_speed_readable(int *khz)
1682 int jtag_speed_var = 0;
1683 int retval = jtag_get_speed(&jtag_speed_var);
1684 if (retval != ERROR_OK)
1685 return retval;
1686 return jtag ? jtag->speed_div(jtag_speed_var, khz) : ERROR_OK;
1689 void jtag_set_verify(bool enable)
1691 jtag_verify = enable;
1694 bool jtag_will_verify()
1696 return jtag_verify;
1699 void jtag_set_verify_capture_ir(bool enable)
1701 jtag_verify_capture_ir = enable;
1704 bool jtag_will_verify_capture_ir()
1706 return jtag_verify_capture_ir;
1709 int jtag_power_dropout(int *dropout)
1711 if (jtag == NULL)
1713 /* TODO: as the jtag interface is not valid all
1714 * we can do at the moment is exit OpenOCD */
1715 LOG_ERROR("No Valid JTAG Interface Configured.");
1716 exit(-1);
1718 return jtag->power_dropout(dropout);
1721 int jtag_srst_asserted(int *srst_asserted)
1723 return jtag->srst_asserted(srst_asserted);
1726 enum reset_types jtag_get_reset_config(void)
1728 return jtag_reset_config;
1730 void jtag_set_reset_config(enum reset_types type)
1732 jtag_reset_config = type;
1735 int jtag_get_trst(void)
1737 return jtag_trst;
1739 int jtag_get_srst(void)
1741 return jtag_srst;
1744 void jtag_set_nsrst_delay(unsigned delay)
1746 adapter_nsrst_delay = delay;
1748 unsigned jtag_get_nsrst_delay(void)
1750 return adapter_nsrst_delay;
1752 void jtag_set_ntrst_delay(unsigned delay)
1754 jtag_ntrst_delay = delay;
1756 unsigned jtag_get_ntrst_delay(void)
1758 return jtag_ntrst_delay;
1762 void jtag_set_nsrst_assert_width(unsigned delay)
1764 adapter_nsrst_assert_width = delay;
1766 unsigned jtag_get_nsrst_assert_width(void)
1768 return adapter_nsrst_assert_width;
1770 void jtag_set_ntrst_assert_width(unsigned delay)
1772 jtag_ntrst_assert_width = delay;
1774 unsigned jtag_get_ntrst_assert_width(void)
1776 return jtag_ntrst_assert_width;
1779 static int jtag_select(struct command_context *ctx)
1781 int retval;
1783 /* NOTE: interface init must already have been done.
1784 * That works with only C code ... no Tcl glue required.
1787 retval = jtag_register_commands(ctx);
1789 if (retval != ERROR_OK)
1790 return retval;
1792 retval = svf_register_commands(ctx);
1794 if (retval != ERROR_OK)
1795 return retval;
1797 return xsvf_register_commands(ctx);
1800 static struct transport jtag_transport = {
1801 .name = "jtag",
1802 .select = jtag_select,
1803 .init = jtag_init,
1806 static void jtag_constructor(void) __attribute__((constructor));
1807 static void jtag_constructor(void)
1809 transport_register(&jtag_transport);
1812 /** Returns true if the current debug session
1813 * is using JTAG as its transport.
1815 bool transport_is_jtag(void)
1817 return get_current_transport() == &jtag_transport;