jtag/core: honour SRST timings in SWD mode
[openocd.git] / src / jtag / core.c
blob74c2731c2ca3777c7e2434b99d369e81d46a8b7b
1 /***************************************************************************
2 * Copyright (C) 2009 Zachary T Welch *
3 * zw@superlucidity.net *
4 * *
5 * Copyright (C) 2007,2008,2009 Øyvind Harboe *
6 * oyvind.harboe@zylin.com *
7 * *
8 * Copyright (C) 2009 SoftPLC Corporation *
9 * http://softplc.com *
10 * dick@softplc.com *
11 * *
12 * Copyright (C) 2005 by Dominic Rath *
13 * Dominic.Rath@gmx.de *
14 * *
15 * This program is free software; you can redistribute it and/or modify *
16 * it under the terms of the GNU General Public License as published by *
17 * the Free Software Foundation; either version 2 of the License, or *
18 * (at your option) any later version. *
19 * *
20 * This program is distributed in the hope that it will be useful, *
21 * but WITHOUT ANY WARRANTY; without even the implied warranty of *
22 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the *
23 * GNU General Public License for more details. *
24 * *
25 * You should have received a copy of the GNU General Public License *
26 * along with this program; if not, write to the *
27 * Free Software Foundation, Inc., *
28 * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. *
29 ***************************************************************************/
31 #ifdef HAVE_CONFIG_H
32 #include "config.h"
33 #endif
35 #include "jtag.h"
36 #include "swd.h"
37 #include "interface.h"
38 #include <transport/transport.h>
40 #ifdef HAVE_STRINGS_H
41 #include <strings.h>
42 #endif
44 /* SVF and XSVF are higher level JTAG command sets (for boundary scan) */
45 #include "svf/svf.h"
46 #include "xsvf/xsvf.h"
48 /** The number of JTAG queue flushes (for profiling and debugging purposes). */
49 static int jtag_flush_queue_count;
51 /* Sleep this # of ms after flushing the queue */
52 static int jtag_flush_queue_sleep;
54 static void jtag_add_scan_check(struct jtag_tap *active,
55 void (*jtag_add_scan)(struct jtag_tap *active,
56 int in_num_fields,
57 const struct scan_field *in_fields,
58 tap_state_t state),
59 int in_num_fields, struct scan_field *in_fields, tap_state_t state);
61 /**
62 * The jtag_error variable is set when an error occurs while executing
63 * the queue. Application code may set this using jtag_set_error(),
64 * when an error occurs during processing that should be reported during
65 * jtag_execute_queue().
67 * The value is set and cleared, but never read by normal application code.
69 * This value is returned (and cleared) by jtag_execute_queue().
71 static int jtag_error = ERROR_OK;
73 static const char *jtag_event_strings[] = {
74 [JTAG_TRST_ASSERTED] = "TAP reset",
75 [JTAG_TAP_EVENT_SETUP] = "TAP setup",
76 [JTAG_TAP_EVENT_ENABLE] = "TAP enabled",
77 [JTAG_TAP_EVENT_DISABLE] = "TAP disabled",
81 * JTAG adapters must initialize with TRST and SRST de-asserted
82 * (they're negative logic, so that means *high*). But some
83 * hardware doesn't necessarily work that way ... so set things
84 * up so that jtag_init() always forces that state.
86 static int jtag_trst = -1;
87 static int jtag_srst = -1;
89 /**
90 * List all TAPs that have been created.
92 static struct jtag_tap *__jtag_all_taps;
94 static enum reset_types jtag_reset_config = RESET_NONE;
95 tap_state_t cmd_queue_cur_state = TAP_RESET;
97 static bool jtag_verify_capture_ir = true;
98 static int jtag_verify = 1;
100 /* how long the OpenOCD should wait before attempting JTAG communication after reset lines
101 *deasserted (in ms) */
102 static int adapter_nsrst_delay; /* default to no nSRST delay */
103 static int jtag_ntrst_delay;/* default to no nTRST delay */
104 static int adapter_nsrst_assert_width; /* width of assertion */
105 static int jtag_ntrst_assert_width; /* width of assertion */
108 * Contains a single callback along with a pointer that will be passed
109 * when an event occurs.
111 struct jtag_event_callback {
112 /** a event callback */
113 jtag_event_handler_t callback;
114 /** the private data to pass to the callback */
115 void *priv;
116 /** the next callback */
117 struct jtag_event_callback *next;
120 /* callbacks to inform high-level handlers about JTAG state changes */
121 static struct jtag_event_callback *jtag_event_callbacks;
123 /* speed in kHz*/
124 static int speed_khz;
125 /* speed to fallback to when RCLK is requested but not supported */
126 static int rclk_fallback_speed_khz;
127 static enum {CLOCK_MODE_UNSELECTED, CLOCK_MODE_KHZ, CLOCK_MODE_RCLK} clock_mode;
128 static int jtag_speed;
130 static struct jtag_interface *jtag;
132 /* configuration */
133 struct jtag_interface *jtag_interface;
135 void jtag_set_flush_queue_sleep(int ms)
137 jtag_flush_queue_sleep = ms;
140 void jtag_set_error(int error)
142 if ((error == ERROR_OK) || (jtag_error != ERROR_OK))
143 return;
144 jtag_error = error;
147 int jtag_error_clear(void)
149 int temp = jtag_error;
150 jtag_error = ERROR_OK;
151 return temp;
154 /************/
156 static bool jtag_poll = 1;
158 bool is_jtag_poll_safe(void)
160 /* Polling can be disabled explicitly with set_enabled(false).
161 * It is also implicitly disabled while TRST is active and
162 * while SRST is gating the JTAG clock.
164 if (!transport_is_jtag())
165 return jtag_poll;
167 if (!jtag_poll || jtag_trst != 0)
168 return false;
169 return jtag_srst == 0 || (jtag_reset_config & RESET_SRST_NO_GATING);
172 bool jtag_poll_get_enabled(void)
174 return jtag_poll;
177 void jtag_poll_set_enabled(bool value)
179 jtag_poll = value;
182 /************/
184 struct jtag_tap *jtag_all_taps(void)
186 return __jtag_all_taps;
189 unsigned jtag_tap_count(void)
191 struct jtag_tap *t = jtag_all_taps();
192 unsigned n = 0;
193 while (t) {
194 n++;
195 t = t->next_tap;
197 return n;
200 unsigned jtag_tap_count_enabled(void)
202 struct jtag_tap *t = jtag_all_taps();
203 unsigned n = 0;
204 while (t) {
205 if (t->enabled)
206 n++;
207 t = t->next_tap;
209 return n;
212 /** Append a new TAP to the chain of all taps. */
213 void jtag_tap_add(struct jtag_tap *t)
215 unsigned jtag_num_taps = 0;
217 struct jtag_tap **tap = &__jtag_all_taps;
218 while (*tap != NULL) {
219 jtag_num_taps++;
220 tap = &(*tap)->next_tap;
222 *tap = t;
223 t->abs_chain_position = jtag_num_taps;
226 /* returns a pointer to the n-th device in the scan chain */
227 struct jtag_tap *jtag_tap_by_position(unsigned n)
229 struct jtag_tap *t = jtag_all_taps();
231 while (t && n-- > 0)
232 t = t->next_tap;
234 return t;
237 struct jtag_tap *jtag_tap_by_string(const char *s)
239 /* try by name first */
240 struct jtag_tap *t = jtag_all_taps();
242 while (t) {
243 if (0 == strcmp(t->dotted_name, s))
244 return t;
245 t = t->next_tap;
248 /* no tap found by name, so try to parse the name as a number */
249 unsigned n;
250 if (parse_uint(s, &n) != ERROR_OK)
251 return NULL;
253 /* FIXME remove this numeric fallback code late June 2010, along
254 * with all info in the User's Guide that TAPs have numeric IDs.
255 * Also update "scan_chain" output to not display the numbers.
257 t = jtag_tap_by_position(n);
258 if (t)
259 LOG_WARNING("Specify TAP '%s' by name, not number %u",
260 t->dotted_name, n);
262 return t;
265 struct jtag_tap *jtag_tap_next_enabled(struct jtag_tap *p)
267 p = p ? p->next_tap : jtag_all_taps();
268 while (p) {
269 if (p->enabled)
270 return p;
271 p = p->next_tap;
273 return NULL;
276 const char *jtag_tap_name(const struct jtag_tap *tap)
278 return (tap == NULL) ? "(unknown)" : tap->dotted_name;
282 int jtag_register_event_callback(jtag_event_handler_t callback, void *priv)
284 struct jtag_event_callback **callbacks_p = &jtag_event_callbacks;
286 if (callback == NULL)
287 return ERROR_COMMAND_SYNTAX_ERROR;
289 if (*callbacks_p) {
290 while ((*callbacks_p)->next)
291 callbacks_p = &((*callbacks_p)->next);
292 callbacks_p = &((*callbacks_p)->next);
295 (*callbacks_p) = malloc(sizeof(struct jtag_event_callback));
296 (*callbacks_p)->callback = callback;
297 (*callbacks_p)->priv = priv;
298 (*callbacks_p)->next = NULL;
300 return ERROR_OK;
303 int jtag_unregister_event_callback(jtag_event_handler_t callback, void *priv)
305 struct jtag_event_callback **p = &jtag_event_callbacks, *temp;
307 if (callback == NULL)
308 return ERROR_COMMAND_SYNTAX_ERROR;
310 while (*p) {
311 if (((*p)->priv != priv) || ((*p)->callback != callback)) {
312 p = &(*p)->next;
313 continue;
316 temp = *p;
317 *p = (*p)->next;
318 free(temp);
321 return ERROR_OK;
324 int jtag_call_event_callbacks(enum jtag_event event)
326 struct jtag_event_callback *callback = jtag_event_callbacks;
328 LOG_DEBUG("jtag event: %s", jtag_event_strings[event]);
330 while (callback) {
331 struct jtag_event_callback *next;
333 /* callback may remove itself */
334 next = callback->next;
335 callback->callback(event, callback->priv);
336 callback = next;
339 return ERROR_OK;
342 static void jtag_checks(void)
344 assert(jtag_trst == 0);
347 static void jtag_prelude(tap_state_t state)
349 jtag_checks();
351 assert(state != TAP_INVALID);
353 cmd_queue_cur_state = state;
356 void jtag_add_ir_scan_noverify(struct jtag_tap *active, const struct scan_field *in_fields,
357 tap_state_t state)
359 jtag_prelude(state);
361 int retval = interface_jtag_add_ir_scan(active, in_fields, state);
362 jtag_set_error(retval);
365 static void jtag_add_ir_scan_noverify_callback(struct jtag_tap *active,
366 int dummy,
367 const struct scan_field *in_fields,
368 tap_state_t state)
370 jtag_add_ir_scan_noverify(active, in_fields, state);
373 /* If fields->in_value is filled out, then the captured IR value will be checked */
374 void jtag_add_ir_scan(struct jtag_tap *active, struct scan_field *in_fields, tap_state_t state)
376 assert(state != TAP_RESET);
378 if (jtag_verify && jtag_verify_capture_ir) {
379 /* 8 x 32 bit id's is enough for all invocations */
381 /* if we are to run a verification of the ir scan, we need to get the input back.
382 * We may have to allocate space if the caller didn't ask for the input back.
384 in_fields->check_value = active->expected;
385 in_fields->check_mask = active->expected_mask;
386 jtag_add_scan_check(active, jtag_add_ir_scan_noverify_callback, 1, in_fields,
387 state);
388 } else
389 jtag_add_ir_scan_noverify(active, in_fields, state);
392 void jtag_add_plain_ir_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
393 tap_state_t state)
395 assert(out_bits != NULL);
396 assert(state != TAP_RESET);
398 jtag_prelude(state);
400 int retval = interface_jtag_add_plain_ir_scan(
401 num_bits, out_bits, in_bits, state);
402 jtag_set_error(retval);
405 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
406 uint8_t *in_check_mask, int num_bits);
408 static int jtag_check_value_mask_callback(jtag_callback_data_t data0,
409 jtag_callback_data_t data1,
410 jtag_callback_data_t data2,
411 jtag_callback_data_t data3)
413 return jtag_check_value_inner((uint8_t *)data0,
414 (uint8_t *)data1,
415 (uint8_t *)data2,
416 (int)data3);
419 static void jtag_add_scan_check(struct jtag_tap *active, void (*jtag_add_scan)(
420 struct jtag_tap *active,
421 int in_num_fields,
422 const struct scan_field *in_fields,
423 tap_state_t state),
424 int in_num_fields, struct scan_field *in_fields, tap_state_t state)
426 jtag_add_scan(active, in_num_fields, in_fields, state);
428 for (int i = 0; i < in_num_fields; i++) {
429 if ((in_fields[i].check_value != NULL) && (in_fields[i].in_value != NULL)) {
430 /* this is synchronous for a minidriver */
431 jtag_add_callback4(jtag_check_value_mask_callback,
432 (jtag_callback_data_t)in_fields[i].in_value,
433 (jtag_callback_data_t)in_fields[i].check_value,
434 (jtag_callback_data_t)in_fields[i].check_mask,
435 (jtag_callback_data_t)in_fields[i].num_bits);
440 void jtag_add_dr_scan_check(struct jtag_tap *active,
441 int in_num_fields,
442 struct scan_field *in_fields,
443 tap_state_t state)
445 if (jtag_verify)
446 jtag_add_scan_check(active, jtag_add_dr_scan, in_num_fields, in_fields, state);
447 else
448 jtag_add_dr_scan(active, in_num_fields, in_fields, state);
452 void jtag_add_dr_scan(struct jtag_tap *active,
453 int in_num_fields,
454 const struct scan_field *in_fields,
455 tap_state_t state)
457 assert(state != TAP_RESET);
459 jtag_prelude(state);
461 int retval;
462 retval = interface_jtag_add_dr_scan(active, in_num_fields, in_fields, state);
463 jtag_set_error(retval);
466 void jtag_add_plain_dr_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
467 tap_state_t state)
469 assert(out_bits != NULL);
470 assert(state != TAP_RESET);
472 jtag_prelude(state);
474 int retval;
475 retval = interface_jtag_add_plain_dr_scan(num_bits, out_bits, in_bits, state);
476 jtag_set_error(retval);
479 void jtag_add_tlr(void)
481 jtag_prelude(TAP_RESET);
482 jtag_set_error(interface_jtag_add_tlr());
484 /* NOTE: order here matches TRST path in jtag_add_reset() */
485 jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
486 jtag_notify_event(JTAG_TRST_ASSERTED);
490 * If supported by the underlying adapter, this clocks a raw bit sequence
491 * onto TMS for switching betwen JTAG and SWD modes.
493 * DO NOT use this to bypass the integrity checks and logging provided
494 * by the jtag_add_pathmove() and jtag_add_statemove() calls.
496 * @param nbits How many bits to clock out.
497 * @param seq The bit sequence. The LSB is bit 0 of seq[0].
498 * @param state The JTAG tap state to record on completion. Use
499 * TAP_INVALID to represent being in in SWD mode.
501 * @todo Update naming conventions to stop assuming everything is JTAG.
503 int jtag_add_tms_seq(unsigned nbits, const uint8_t *seq, enum tap_state state)
505 int retval;
507 if (!(jtag->supported & DEBUG_CAP_TMS_SEQ))
508 return ERROR_JTAG_NOT_IMPLEMENTED;
510 jtag_checks();
511 cmd_queue_cur_state = state;
513 retval = interface_add_tms_seq(nbits, seq, state);
514 jtag_set_error(retval);
515 return retval;
518 void jtag_add_pathmove(int num_states, const tap_state_t *path)
520 tap_state_t cur_state = cmd_queue_cur_state;
522 /* the last state has to be a stable state */
523 if (!tap_is_state_stable(path[num_states - 1])) {
524 LOG_ERROR("BUG: TAP path doesn't finish in a stable state");
525 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
526 return;
529 for (int i = 0; i < num_states; i++) {
530 if (path[i] == TAP_RESET) {
531 LOG_ERROR("BUG: TAP_RESET is not a valid state for pathmove sequences");
532 jtag_set_error(ERROR_JTAG_STATE_INVALID);
533 return;
536 if (tap_state_transition(cur_state, true) != path[i] &&
537 tap_state_transition(cur_state, false) != path[i]) {
538 LOG_ERROR("BUG: %s -> %s isn't a valid TAP transition",
539 tap_state_name(cur_state), tap_state_name(path[i]));
540 jtag_set_error(ERROR_JTAG_TRANSITION_INVALID);
541 return;
543 cur_state = path[i];
546 jtag_checks();
548 jtag_set_error(interface_jtag_add_pathmove(num_states, path));
549 cmd_queue_cur_state = path[num_states - 1];
552 int jtag_add_statemove(tap_state_t goal_state)
554 tap_state_t cur_state = cmd_queue_cur_state;
556 if (goal_state != cur_state) {
557 LOG_DEBUG("cur_state=%s goal_state=%s",
558 tap_state_name(cur_state),
559 tap_state_name(goal_state));
562 /* If goal is RESET, be paranoid and force that that transition
563 * (e.g. five TCK cycles, TMS high). Else trust "cur_state".
565 if (goal_state == TAP_RESET)
566 jtag_add_tlr();
567 else if (goal_state == cur_state)
568 /* nothing to do */;
570 else if (tap_is_state_stable(cur_state) && tap_is_state_stable(goal_state)) {
571 unsigned tms_bits = tap_get_tms_path(cur_state, goal_state);
572 unsigned tms_count = tap_get_tms_path_len(cur_state, goal_state);
573 tap_state_t moves[8];
574 assert(tms_count < ARRAY_SIZE(moves));
576 for (unsigned i = 0; i < tms_count; i++, tms_bits >>= 1) {
577 bool bit = tms_bits & 1;
579 cur_state = tap_state_transition(cur_state, bit);
580 moves[i] = cur_state;
583 jtag_add_pathmove(tms_count, moves);
584 } else if (tap_state_transition(cur_state, true) == goal_state
585 || tap_state_transition(cur_state, false) == goal_state)
586 jtag_add_pathmove(1, &goal_state);
587 else
588 return ERROR_FAIL;
590 return ERROR_OK;
593 void jtag_add_runtest(int num_cycles, tap_state_t state)
595 jtag_prelude(state);
596 jtag_set_error(interface_jtag_add_runtest(num_cycles, state));
600 void jtag_add_clocks(int num_cycles)
602 if (!tap_is_state_stable(cmd_queue_cur_state)) {
603 LOG_ERROR("jtag_add_clocks() called with TAP in unstable state \"%s\"",
604 tap_state_name(cmd_queue_cur_state));
605 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
606 return;
609 if (num_cycles > 0) {
610 jtag_checks();
611 jtag_set_error(interface_jtag_add_clocks(num_cycles));
615 void swd_add_reset(int req_srst)
617 if (req_srst) {
618 if (!(jtag_reset_config & RESET_HAS_SRST)) {
619 LOG_ERROR("BUG: can't assert SRST");
620 jtag_set_error(ERROR_FAIL);
621 return;
623 req_srst = 1;
626 /* Maybe change SRST signal state */
627 if (jtag_srst != req_srst) {
628 int retval;
630 retval = interface_jtag_add_reset(0, req_srst);
631 if (retval != ERROR_OK)
632 jtag_set_error(retval);
633 else
634 retval = jtag_execute_queue();
636 if (retval != ERROR_OK) {
637 LOG_ERROR("TRST/SRST error");
638 return;
641 /* SRST resets everything hooked up to that signal */
642 jtag_srst = req_srst;
643 if (jtag_srst) {
644 LOG_DEBUG("SRST line asserted");
645 if (adapter_nsrst_assert_width)
646 jtag_add_sleep(adapter_nsrst_assert_width * 1000);
647 } else {
648 LOG_DEBUG("SRST line released");
649 if (adapter_nsrst_delay)
650 jtag_add_sleep(adapter_nsrst_delay * 1000);
653 retval = jtag_execute_queue();
654 if (retval != ERROR_OK) {
655 LOG_ERROR("SRST timings error");
656 return;
661 void jtag_add_reset(int req_tlr_or_trst, int req_srst)
663 int trst_with_tlr = 0;
664 int new_srst = 0;
665 int new_trst = 0;
667 /* Without SRST, we must use target-specific JTAG operations
668 * on each target; callers should not be requesting SRST when
669 * that signal doesn't exist.
671 * RESET_SRST_PULLS_TRST is a board or chip level quirk, which
672 * can kick in even if the JTAG adapter can't drive TRST.
674 if (req_srst) {
675 if (!(jtag_reset_config & RESET_HAS_SRST)) {
676 LOG_ERROR("BUG: can't assert SRST");
677 jtag_set_error(ERROR_FAIL);
678 return;
680 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) != 0
681 && !req_tlr_or_trst) {
682 LOG_ERROR("BUG: can't assert only SRST");
683 jtag_set_error(ERROR_FAIL);
684 return;
686 new_srst = 1;
689 /* JTAG reset (entry to TAP_RESET state) can always be achieved
690 * using TCK and TMS; that may go through a TAP_{IR,DR}UPDATE
691 * state first. TRST accelerates it, and bypasses those states.
693 * RESET_TRST_PULLS_SRST is a board or chip level quirk, which
694 * can kick in even if the JTAG adapter can't drive SRST.
696 if (req_tlr_or_trst) {
697 if (!(jtag_reset_config & RESET_HAS_TRST))
698 trst_with_tlr = 1;
699 else if ((jtag_reset_config & RESET_TRST_PULLS_SRST) != 0
700 && !req_srst)
701 trst_with_tlr = 1;
702 else
703 new_trst = 1;
706 /* Maybe change TRST and/or SRST signal state */
707 if (jtag_srst != new_srst || jtag_trst != new_trst) {
708 int retval;
710 retval = interface_jtag_add_reset(new_trst, new_srst);
711 if (retval != ERROR_OK)
712 jtag_set_error(retval);
713 else
714 retval = jtag_execute_queue();
716 if (retval != ERROR_OK) {
717 LOG_ERROR("TRST/SRST error");
718 return;
722 /* SRST resets everything hooked up to that signal */
723 if (jtag_srst != new_srst) {
724 jtag_srst = new_srst;
725 if (jtag_srst) {
726 LOG_DEBUG("SRST line asserted");
727 if (adapter_nsrst_assert_width)
728 jtag_add_sleep(adapter_nsrst_assert_width * 1000);
729 } else {
730 LOG_DEBUG("SRST line released");
731 if (adapter_nsrst_delay)
732 jtag_add_sleep(adapter_nsrst_delay * 1000);
736 /* Maybe enter the JTAG TAP_RESET state ...
737 * - using only TMS, TCK, and the JTAG state machine
738 * - or else more directly, using TRST
740 * TAP_RESET should be invisible to non-debug parts of the system.
742 if (trst_with_tlr) {
743 LOG_DEBUG("JTAG reset with TLR instead of TRST");
744 jtag_add_tlr();
746 } else if (jtag_trst != new_trst) {
747 jtag_trst = new_trst;
748 if (jtag_trst) {
749 LOG_DEBUG("TRST line asserted");
750 tap_set_state(TAP_RESET);
751 if (jtag_ntrst_assert_width)
752 jtag_add_sleep(jtag_ntrst_assert_width * 1000);
753 } else {
754 LOG_DEBUG("TRST line released");
755 if (jtag_ntrst_delay)
756 jtag_add_sleep(jtag_ntrst_delay * 1000);
758 /* We just asserted nTRST, so we're now in TAP_RESET.
759 * Inform possible listeners about this, now that
760 * JTAG instructions and data can be shifted. This
761 * sequence must match jtag_add_tlr().
763 jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
764 jtag_notify_event(JTAG_TRST_ASSERTED);
769 void jtag_add_sleep(uint32_t us)
771 /** @todo Here, keep_alive() appears to be a layering violation!!! */
772 keep_alive();
773 jtag_set_error(interface_jtag_add_sleep(us));
776 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
777 uint8_t *in_check_mask, int num_bits)
779 int retval = ERROR_OK;
780 int compare_failed;
782 if (in_check_mask)
783 compare_failed = buf_cmp_mask(captured, in_check_value, in_check_mask, num_bits);
784 else
785 compare_failed = buf_cmp(captured, in_check_value, num_bits);
787 if (compare_failed) {
788 char *captured_str, *in_check_value_str;
789 int bits = (num_bits > DEBUG_JTAG_IOZ) ? DEBUG_JTAG_IOZ : num_bits;
791 /* NOTE: we've lost diagnostic context here -- 'which tap' */
793 captured_str = buf_to_str(captured, bits, 16);
794 in_check_value_str = buf_to_str(in_check_value, bits, 16);
796 LOG_WARNING("Bad value '%s' captured during DR or IR scan:",
797 captured_str);
798 LOG_WARNING(" check_value: 0x%s", in_check_value_str);
800 free(captured_str);
801 free(in_check_value_str);
803 if (in_check_mask) {
804 char *in_check_mask_str;
806 in_check_mask_str = buf_to_str(in_check_mask, bits, 16);
807 LOG_WARNING(" check_mask: 0x%s", in_check_mask_str);
808 free(in_check_mask_str);
811 retval = ERROR_JTAG_QUEUE_FAILED;
813 return retval;
816 void jtag_check_value_mask(struct scan_field *field, uint8_t *value, uint8_t *mask)
818 assert(field->in_value != NULL);
820 if (value == NULL) {
821 /* no checking to do */
822 return;
825 jtag_execute_queue_noclear();
827 int retval = jtag_check_value_inner(field->in_value, value, mask, field->num_bits);
828 jtag_set_error(retval);
831 int default_interface_jtag_execute_queue(void)
833 if (NULL == jtag) {
834 LOG_ERROR("No JTAG interface configured yet. "
835 "Issue 'init' command in startup scripts "
836 "before communicating with targets.");
837 return ERROR_FAIL;
840 return jtag->execute_queue();
843 void jtag_execute_queue_noclear(void)
845 jtag_flush_queue_count++;
846 jtag_set_error(interface_jtag_execute_queue());
848 if (jtag_flush_queue_sleep > 0) {
849 /* For debug purposes it can be useful to test performance
850 * or behavior when delaying after flushing the queue,
851 * e.g. to simulate long roundtrip times.
853 usleep(jtag_flush_queue_sleep * 1000);
857 int jtag_get_flush_queue_count(void)
859 return jtag_flush_queue_count;
862 int jtag_execute_queue(void)
864 jtag_execute_queue_noclear();
865 return jtag_error_clear();
868 static int jtag_reset_callback(enum jtag_event event, void *priv)
870 struct jtag_tap *tap = priv;
872 if (event == JTAG_TRST_ASSERTED) {
873 tap->enabled = !tap->disabled_after_reset;
875 /* current instruction is either BYPASS or IDCODE */
876 buf_set_ones(tap->cur_instr, tap->ir_length);
877 tap->bypass = 1;
880 return ERROR_OK;
883 /* sleep at least us microseconds. When we sleep more than 1000ms we
884 * do an alive sleep, i.e. keep GDB alive. Note that we could starve
885 * GDB if we slept for <1000ms many times.
887 void jtag_sleep(uint32_t us)
889 if (us < 1000)
890 usleep(us);
891 else
892 alive_sleep((us+999)/1000);
895 #define JTAG_MAX_AUTO_TAPS 20
897 #define EXTRACT_MFG(X) (((X) & 0xffe) >> 1)
898 #define EXTRACT_PART(X) (((X) & 0xffff000) >> 12)
899 #define EXTRACT_VER(X) (((X) & 0xf0000000) >> 28)
901 /* A reserved manufacturer ID is used in END_OF_CHAIN_FLAG, so we
902 * know that no valid TAP will have it as an IDCODE value.
904 #define END_OF_CHAIN_FLAG 0xffffffff
906 /* a larger IR length than we ever expect to autoprobe */
907 #define JTAG_IRLEN_MAX 60
909 static int jtag_examine_chain_execute(uint8_t *idcode_buffer, unsigned num_idcode)
911 struct scan_field field = {
912 .num_bits = num_idcode * 32,
913 .out_value = idcode_buffer,
914 .in_value = idcode_buffer,
917 /* initialize to the end of chain ID value */
918 for (unsigned i = 0; i < num_idcode; i++)
919 buf_set_u32(idcode_buffer, i * 32, 32, END_OF_CHAIN_FLAG);
921 jtag_add_plain_dr_scan(field.num_bits, field.out_value, field.in_value, TAP_DRPAUSE);
922 jtag_add_tlr();
923 return jtag_execute_queue();
926 static bool jtag_examine_chain_check(uint8_t *idcodes, unsigned count)
928 uint8_t zero_check = 0x0;
929 uint8_t one_check = 0xff;
931 for (unsigned i = 0; i < count * 4; i++) {
932 zero_check |= idcodes[i];
933 one_check &= idcodes[i];
936 /* if there wasn't a single non-zero bit or if all bits were one,
937 * the scan is not valid. We wrote a mix of both values; either
939 * - There's a hardware issue (almost certainly):
940 * + all-zeroes can mean a target stuck in JTAG reset
941 * + all-ones tends to mean no target
942 * - The scan chain is WAY longer than we can handle, *AND* either
943 * + there are several hundreds of TAPs in bypass, or
944 * + at least a few dozen TAPs all have an all-ones IDCODE
946 if (zero_check == 0x00 || one_check == 0xff) {
947 LOG_ERROR("JTAG scan chain interrogation failed: all %s",
948 (zero_check == 0x00) ? "zeroes" : "ones");
949 LOG_ERROR("Check JTAG interface, timings, target power, etc.");
950 return false;
952 return true;
955 static void jtag_examine_chain_display(enum log_levels level, const char *msg,
956 const char *name, uint32_t idcode)
958 log_printf_lf(level, __FILE__, __LINE__, __func__,
959 "JTAG tap: %s %16.16s: 0x%08x "
960 "(mfg: 0x%3.3x, part: 0x%4.4x, ver: 0x%1.1x)",
961 name, msg,
962 (unsigned int)idcode,
963 (unsigned int)EXTRACT_MFG(idcode),
964 (unsigned int)EXTRACT_PART(idcode),
965 (unsigned int)EXTRACT_VER(idcode));
968 static bool jtag_idcode_is_final(uint32_t idcode)
971 * Some devices, such as AVR8, will output all 1's instead
972 * of TDI input value at end of chain. Allow those values
973 * instead of failing.
975 return idcode == END_OF_CHAIN_FLAG;
979 * This helper checks that remaining bits in the examined chain data are
980 * all as expected, but a single JTAG device requires only 64 bits to be
981 * read back correctly. This can help identify and diagnose problems
982 * with the JTAG chain earlier, gives more helpful/explicit error messages.
983 * Returns TRUE iff garbage was found.
985 static bool jtag_examine_chain_end(uint8_t *idcodes, unsigned count, unsigned max)
987 bool triggered = false;
988 for (; count < max - 31; count += 32) {
989 uint32_t idcode = buf_get_u32(idcodes, count, 32);
991 /* do not trigger the warning if the data looks good */
992 if (jtag_idcode_is_final(idcode))
993 continue;
994 LOG_WARNING("Unexpected idcode after end of chain: %d 0x%08x",
995 count, (unsigned int)idcode);
996 triggered = true;
998 return triggered;
1001 static bool jtag_examine_chain_match_tap(const struct jtag_tap *tap)
1004 if (tap->expected_ids_cnt == 0 || !tap->hasidcode)
1005 return true;
1007 /* optionally ignore the JTAG version field - bits 28-31 of IDCODE */
1008 uint32_t mask = tap->ignore_version ? ~(0xf << 28) : ~0;
1009 uint32_t idcode = tap->idcode & mask;
1011 /* Loop over the expected identification codes and test for a match */
1012 for (unsigned ii = 0; ii < tap->expected_ids_cnt; ii++) {
1013 uint32_t expected = tap->expected_ids[ii] & mask;
1015 if (idcode == expected)
1016 return true;
1018 /* treat "-expected-id 0" as a "don't-warn" wildcard */
1019 if (0 == tap->expected_ids[ii])
1020 return true;
1023 /* If none of the expected ids matched, warn */
1024 jtag_examine_chain_display(LOG_LVL_WARNING, "UNEXPECTED",
1025 tap->dotted_name, tap->idcode);
1026 for (unsigned ii = 0; ii < tap->expected_ids_cnt; ii++) {
1027 char msg[32];
1029 snprintf(msg, sizeof(msg), "expected %u of %u", ii + 1, tap->expected_ids_cnt);
1030 jtag_examine_chain_display(LOG_LVL_ERROR, msg,
1031 tap->dotted_name, tap->expected_ids[ii]);
1033 return false;
1036 /* Try to examine chain layout according to IEEE 1149.1 §12
1037 * This is called a "blind interrogation" of the scan chain.
1039 static int jtag_examine_chain(void)
1041 int retval;
1042 unsigned max_taps = jtag_tap_count();
1044 /* Autoprobe up to this many. */
1045 if (max_taps < JTAG_MAX_AUTO_TAPS)
1046 max_taps = JTAG_MAX_AUTO_TAPS;
1048 /* Add room for end-of-chain marker. */
1049 max_taps++;
1051 uint8_t *idcode_buffer = malloc(max_taps * 4);
1052 if (idcode_buffer == NULL)
1053 return ERROR_JTAG_INIT_FAILED;
1055 /* DR scan to collect BYPASS or IDCODE register contents.
1056 * Then make sure the scan data has both ones and zeroes.
1058 LOG_DEBUG("DR scan interrogation for IDCODE/BYPASS");
1059 retval = jtag_examine_chain_execute(idcode_buffer, max_taps);
1060 if (retval != ERROR_OK)
1061 goto out;
1062 if (!jtag_examine_chain_check(idcode_buffer, max_taps)) {
1063 retval = ERROR_JTAG_INIT_FAILED;
1064 goto out;
1067 /* Point at the 1st predefined tap, if any */
1068 struct jtag_tap *tap = jtag_tap_next_enabled(NULL);
1070 unsigned bit_count = 0;
1071 unsigned autocount = 0;
1072 for (unsigned i = 0; i < max_taps; i++) {
1073 assert(bit_count < max_taps * 32);
1074 uint32_t idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1076 /* No predefined TAP? Auto-probe. */
1077 if (tap == NULL) {
1078 /* Is there another TAP? */
1079 if (jtag_idcode_is_final(idcode))
1080 break;
1082 /* Default everything in this TAP except IR length.
1084 * REVISIT create a jtag_alloc(chip, tap) routine, and
1085 * share it with jim_newtap_cmd().
1087 tap = calloc(1, sizeof *tap);
1088 if (!tap) {
1089 retval = ERROR_FAIL;
1090 goto out;
1093 tap->chip = alloc_printf("auto%u", autocount++);
1094 tap->tapname = strdup("tap");
1095 tap->dotted_name = alloc_printf("%s.%s", tap->chip, tap->tapname);
1097 tap->ir_length = 0; /* ... signifying irlen autoprobe */
1098 tap->ir_capture_mask = 0x03;
1099 tap->ir_capture_value = 0x01;
1101 tap->enabled = true;
1103 jtag_tap_init(tap);
1106 if ((idcode & 1) == 0) {
1107 /* Zero for LSB indicates a device in bypass */
1108 LOG_INFO("TAP %s does not have IDCODE", tap->dotted_name);
1109 tap->hasidcode = false;
1110 tap->idcode = 0;
1112 bit_count += 1;
1113 } else {
1114 /* Friendly devices support IDCODE */
1115 tap->hasidcode = true;
1116 tap->idcode = idcode;
1117 jtag_examine_chain_display(LOG_LVL_INFO, "tap/device found", tap->dotted_name, idcode);
1119 bit_count += 32;
1122 /* ensure the TAP ID matches what was expected */
1123 if (!jtag_examine_chain_match_tap(tap))
1124 retval = ERROR_JTAG_INIT_SOFT_FAIL;
1126 tap = jtag_tap_next_enabled(tap);
1129 /* After those IDCODE or BYPASS register values should be
1130 * only the data we fed into the scan chain.
1132 if (jtag_examine_chain_end(idcode_buffer, bit_count, max_taps * 32)) {
1133 LOG_ERROR("double-check your JTAG setup (interface, speed, ...)");
1134 retval = ERROR_JTAG_INIT_FAILED;
1135 goto out;
1138 /* Return success or, for backwards compatibility if only
1139 * some IDCODE values mismatched, a soft/continuable fault.
1141 out:
1142 free(idcode_buffer);
1143 return retval;
1147 * Validate the date loaded by entry to the Capture-IR state, to help
1148 * find errors related to scan chain configuration (wrong IR lengths)
1149 * or communication.
1151 * Entry state can be anything. On non-error exit, all TAPs are in
1152 * bypass mode. On error exits, the scan chain is reset.
1154 static int jtag_validate_ircapture(void)
1156 struct jtag_tap *tap;
1157 int total_ir_length = 0;
1158 uint8_t *ir_test = NULL;
1159 struct scan_field field;
1160 uint64_t val;
1161 int chain_pos = 0;
1162 int retval;
1164 /* when autoprobing, accomodate huge IR lengths */
1165 for (tap = NULL, total_ir_length = 0;
1166 (tap = jtag_tap_next_enabled(tap)) != NULL;
1167 total_ir_length += tap->ir_length) {
1168 if (tap->ir_length == 0)
1169 total_ir_length += JTAG_IRLEN_MAX;
1172 /* increase length to add 2 bit sentinel after scan */
1173 total_ir_length += 2;
1175 ir_test = malloc(DIV_ROUND_UP(total_ir_length, 8));
1176 if (ir_test == NULL)
1177 return ERROR_FAIL;
1179 /* after this scan, all TAPs will capture BYPASS instructions */
1180 buf_set_ones(ir_test, total_ir_length);
1182 field.num_bits = total_ir_length;
1183 field.out_value = ir_test;
1184 field.in_value = ir_test;
1186 jtag_add_plain_ir_scan(field.num_bits, field.out_value, field.in_value, TAP_IDLE);
1188 LOG_DEBUG("IR capture validation scan");
1189 retval = jtag_execute_queue();
1190 if (retval != ERROR_OK)
1191 goto done;
1193 tap = NULL;
1194 chain_pos = 0;
1196 for (;; ) {
1197 tap = jtag_tap_next_enabled(tap);
1198 if (tap == NULL)
1199 break;
1201 /* If we're autoprobing, guess IR lengths. They must be at
1202 * least two bits. Guessing will fail if (a) any TAP does
1203 * not conform to the JTAG spec; or (b) when the upper bits
1204 * captured from some conforming TAP are nonzero. Or if
1205 * (c) an IR length is longer than JTAG_IRLEN_MAX bits,
1206 * an implementation limit, which could someday be raised.
1208 * REVISIT optimization: if there's a *single* TAP we can
1209 * lift restrictions (a) and (b) by scanning a recognizable
1210 * pattern before the all-ones BYPASS. Check for where the
1211 * pattern starts in the result, instead of an 0...01 value.
1213 * REVISIT alternative approach: escape to some tcl code
1214 * which could provide more knowledge, based on IDCODE; and
1215 * only guess when that has no success.
1217 if (tap->ir_length == 0) {
1218 tap->ir_length = 2;
1219 while ((val = buf_get_u64(ir_test, chain_pos, tap->ir_length + 1)) == 1
1220 && tap->ir_length < JTAG_IRLEN_MAX) {
1221 tap->ir_length++;
1223 LOG_WARNING("AUTO %s - use \"jtag newtap " "%s %s -irlen %d "
1224 "-expected-id 0x%08" PRIx32 "\"",
1225 tap->dotted_name, tap->chip, tap->tapname, tap->ir_length, tap->idcode);
1228 /* Validate the two LSBs, which must be 01 per JTAG spec.
1230 * Or ... more bits could be provided by TAP declaration.
1231 * Plus, some taps (notably in i.MX series chips) violate
1232 * this part of the JTAG spec, so their capture mask/value
1233 * attributes might disable this test.
1235 val = buf_get_u64(ir_test, chain_pos, tap->ir_length);
1236 if ((val & tap->ir_capture_mask) != tap->ir_capture_value) {
1237 LOG_ERROR("%s: IR capture error; saw 0x%0*" PRIx64 " not 0x%0*" PRIx32,
1238 jtag_tap_name(tap),
1239 (tap->ir_length + 7) / tap->ir_length, val,
1240 (tap->ir_length + 7) / tap->ir_length, tap->ir_capture_value);
1242 retval = ERROR_JTAG_INIT_FAILED;
1243 goto done;
1245 LOG_DEBUG("%s: IR capture 0x%0*" PRIx64, jtag_tap_name(tap),
1246 (tap->ir_length + 7) / tap->ir_length, val);
1247 chain_pos += tap->ir_length;
1250 /* verify the '11' sentinel we wrote is returned at the end */
1251 val = buf_get_u64(ir_test, chain_pos, 2);
1252 if (val != 0x3) {
1253 char *cbuf = buf_to_str(ir_test, total_ir_length, 16);
1255 LOG_ERROR("IR capture error at bit %d, saw 0x%s not 0x...3",
1256 chain_pos, cbuf);
1257 free(cbuf);
1258 retval = ERROR_JTAG_INIT_FAILED;
1261 done:
1262 free(ir_test);
1263 if (retval != ERROR_OK) {
1264 jtag_add_tlr();
1265 jtag_execute_queue();
1267 return retval;
1270 void jtag_tap_init(struct jtag_tap *tap)
1272 unsigned ir_len_bits;
1273 unsigned ir_len_bytes;
1275 /* if we're autoprobing, cope with potentially huge ir_length */
1276 ir_len_bits = tap->ir_length ? : JTAG_IRLEN_MAX;
1277 ir_len_bytes = DIV_ROUND_UP(ir_len_bits, 8);
1279 tap->expected = calloc(1, ir_len_bytes);
1280 tap->expected_mask = calloc(1, ir_len_bytes);
1281 tap->cur_instr = malloc(ir_len_bytes);
1283 /** @todo cope better with ir_length bigger than 32 bits */
1284 if (ir_len_bits > 32)
1285 ir_len_bits = 32;
1287 buf_set_u32(tap->expected, 0, ir_len_bits, tap->ir_capture_value);
1288 buf_set_u32(tap->expected_mask, 0, ir_len_bits, tap->ir_capture_mask);
1290 /* TAP will be in bypass mode after jtag_validate_ircapture() */
1291 tap->bypass = 1;
1292 buf_set_ones(tap->cur_instr, tap->ir_length);
1294 /* register the reset callback for the TAP */
1295 jtag_register_event_callback(&jtag_reset_callback, tap);
1296 jtag_tap_add(tap);
1298 LOG_DEBUG("Created Tap: %s @ abs position %d, "
1299 "irlen %d, capture: 0x%x mask: 0x%x", tap->dotted_name,
1300 tap->abs_chain_position, tap->ir_length,
1301 (unsigned) tap->ir_capture_value,
1302 (unsigned) tap->ir_capture_mask);
1305 void jtag_tap_free(struct jtag_tap *tap)
1307 jtag_unregister_event_callback(&jtag_reset_callback, tap);
1309 free(tap->expected);
1310 free(tap->expected_mask);
1311 free(tap->expected_ids);
1312 free(tap->cur_instr);
1313 free(tap->chip);
1314 free(tap->tapname);
1315 free(tap->dotted_name);
1316 free(tap);
1320 * Do low-level setup like initializing registers, output signals,
1321 * and clocking.
1323 int adapter_init(struct command_context *cmd_ctx)
1325 if (jtag)
1326 return ERROR_OK;
1328 if (!jtag_interface) {
1329 /* nothing was previously specified by "interface" command */
1330 LOG_ERROR("Debug Adapter has to be specified, "
1331 "see \"interface\" command");
1332 return ERROR_JTAG_INVALID_INTERFACE;
1335 int retval;
1336 retval = jtag_interface->init();
1337 if (retval != ERROR_OK)
1338 return retval;
1339 jtag = jtag_interface;
1341 /* LEGACY SUPPORT ... adapter drivers must declare what
1342 * transports they allow. Until they all do so, assume
1343 * the legacy drivers are JTAG-only
1345 if (!transports_are_declared()) {
1346 LOG_ERROR("Adapter driver '%s' did not declare "
1347 "which transports it allows; assuming "
1348 "JTAG-only", jtag->name);
1349 retval = allow_transports(cmd_ctx, jtag_only);
1350 if (retval != ERROR_OK)
1351 return retval;
1354 if (jtag->speed == NULL) {
1355 LOG_INFO("This adapter doesn't support configurable speed");
1356 return ERROR_OK;
1359 if (CLOCK_MODE_UNSELECTED == clock_mode) {
1360 LOG_ERROR("An adapter speed is not selected in the init script."
1361 " Insert a call to adapter_khz or jtag_rclk to proceed.");
1362 return ERROR_JTAG_INIT_FAILED;
1365 int requested_khz = jtag_get_speed_khz();
1366 int actual_khz = requested_khz;
1367 int jtag_speed_var = 0;
1368 retval = jtag_get_speed(&jtag_speed_var);
1369 if (retval != ERROR_OK)
1370 return retval;
1371 retval = jtag->speed(jtag_speed_var);
1372 if (retval != ERROR_OK)
1373 return retval;
1374 retval = jtag_get_speed_readable(&actual_khz);
1375 if (ERROR_OK != retval)
1376 LOG_INFO("adapter-specific clock speed value %d", jtag_speed_var);
1377 else if (actual_khz) {
1378 /* Adaptive clocking -- JTAG-specific */
1379 if ((CLOCK_MODE_RCLK == clock_mode)
1380 || ((CLOCK_MODE_KHZ == clock_mode) && !requested_khz)) {
1381 LOG_INFO("RCLK (adaptive clock speed) not supported - fallback to %d kHz"
1382 , actual_khz);
1383 } else
1384 LOG_INFO("clock speed %d kHz", actual_khz);
1385 } else
1386 LOG_INFO("RCLK (adaptive clock speed)");
1388 return ERROR_OK;
1391 int jtag_init_inner(struct command_context *cmd_ctx)
1393 struct jtag_tap *tap;
1394 int retval;
1395 bool issue_setup = true;
1397 LOG_DEBUG("Init JTAG chain");
1399 tap = jtag_tap_next_enabled(NULL);
1400 if (tap == NULL) {
1401 /* Once JTAG itself is properly set up, and the scan chain
1402 * isn't absurdly large, IDCODE autoprobe should work fine.
1404 * But ... IRLEN autoprobe can fail even on systems which
1405 * are fully conformant to JTAG. Also, JTAG setup can be
1406 * quite finicky on some systems.
1408 * REVISIT: if TAP autoprobe works OK, then in many cases
1409 * we could escape to tcl code and set up targets based on
1410 * the TAP's IDCODE values.
1412 LOG_WARNING("There are no enabled taps. "
1413 "AUTO PROBING MIGHT NOT WORK!!");
1415 /* REVISIT default clock will often be too fast ... */
1418 jtag_add_tlr();
1419 retval = jtag_execute_queue();
1420 if (retval != ERROR_OK)
1421 return retval;
1423 /* Examine DR values first. This discovers problems which will
1424 * prevent communication ... hardware issues like TDO stuck, or
1425 * configuring the wrong number of (enabled) TAPs.
1427 retval = jtag_examine_chain();
1428 switch (retval) {
1429 case ERROR_OK:
1430 /* complete success */
1431 break;
1432 default:
1433 /* For backward compatibility reasons, try coping with
1434 * configuration errors involving only ID mismatches.
1435 * We might be able to talk to the devices.
1437 * Also the device might be powered down during startup.
1439 * After OpenOCD starts, we can try to power on the device
1440 * and run a reset.
1442 LOG_ERROR("Trying to use configured scan chain anyway...");
1443 issue_setup = false;
1444 break;
1447 /* Now look at IR values. Problems here will prevent real
1448 * communication. They mostly mean that the IR length is
1449 * wrong ... or that the IR capture value is wrong. (The
1450 * latter is uncommon, but easily worked around: provide
1451 * ircapture/irmask values during TAP setup.)
1453 retval = jtag_validate_ircapture();
1454 if (retval != ERROR_OK) {
1455 /* The target might be powered down. The user
1456 * can power it up and reset it after firing
1457 * up OpenOCD.
1459 issue_setup = false;
1462 if (issue_setup)
1463 jtag_notify_event(JTAG_TAP_EVENT_SETUP);
1464 else
1465 LOG_WARNING("Bypassing JTAG setup events due to errors");
1468 return ERROR_OK;
1471 int adapter_quit(void)
1473 if (!jtag || !jtag->quit)
1474 return ERROR_OK;
1476 /* close the JTAG interface */
1477 int result = jtag->quit();
1478 if (ERROR_OK != result)
1479 LOG_ERROR("failed: %d", result);
1481 return ERROR_OK;
1484 int swd_init_reset(struct command_context *cmd_ctx)
1486 int retval = adapter_init(cmd_ctx);
1487 if (retval != ERROR_OK)
1488 return retval;
1490 LOG_DEBUG("Initializing with hard SRST reset");
1492 if (jtag_reset_config & RESET_HAS_SRST)
1493 swd_add_reset(1);
1494 swd_add_reset(0);
1495 retval = jtag_execute_queue();
1496 return retval;
1499 int jtag_init_reset(struct command_context *cmd_ctx)
1501 int retval = adapter_init(cmd_ctx);
1502 if (retval != ERROR_OK)
1503 return retval;
1505 LOG_DEBUG("Initializing with hard TRST+SRST reset");
1508 * This procedure is used by default when OpenOCD triggers a reset.
1509 * It's now done through an overridable Tcl "init_reset" wrapper.
1511 * This started out as a more powerful "get JTAG working" reset than
1512 * jtag_init_inner(), applying TRST because some chips won't activate
1513 * JTAG without a TRST cycle (presumed to be async, though some of
1514 * those chips synchronize JTAG activation using TCK).
1516 * But some chips only activate JTAG as part of an SRST cycle; SRST
1517 * got mixed in. So it became a hard reset routine, which got used
1518 * in more places, and which coped with JTAG reset being forced as
1519 * part of SRST (srst_pulls_trst).
1521 * And even more corner cases started to surface: TRST and/or SRST
1522 * assertion timings matter; some chips need other JTAG operations;
1523 * TRST/SRST sequences can need to be different from these, etc.
1525 * Systems should override that wrapper to support system-specific
1526 * requirements that this not-fully-generic code doesn't handle.
1528 * REVISIT once Tcl code can read the reset_config modes, this won't
1529 * need to be a C routine at all...
1531 if (jtag_reset_config & RESET_HAS_SRST) {
1532 jtag_add_reset(1, 1);
1533 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) == 0)
1534 jtag_add_reset(0, 1);
1535 } else {
1536 jtag_add_reset(1, 0); /* TAP_RESET, using TMS+TCK or TRST */
1539 /* some targets enable us to connect with srst asserted */
1540 if (jtag_reset_config & RESET_CNCT_UNDER_SRST) {
1541 if (jtag_reset_config & RESET_SRST_NO_GATING)
1542 jtag_add_reset(0, 1);
1543 else {
1544 LOG_WARNING("\'srst_nogate\' reset_config option is required");
1545 jtag_add_reset(0, 0);
1547 } else
1548 jtag_add_reset(0, 0);
1549 retval = jtag_execute_queue();
1550 if (retval != ERROR_OK)
1551 return retval;
1553 /* Check that we can communication on the JTAG chain + eventually we want to
1554 * be able to perform enumeration only after OpenOCD has started
1555 * telnet and GDB server
1557 * That would allow users to more easily perform any magic they need to before
1558 * reset happens.
1560 return jtag_init_inner(cmd_ctx);
1563 int jtag_init(struct command_context *cmd_ctx)
1565 int retval = adapter_init(cmd_ctx);
1566 if (retval != ERROR_OK)
1567 return retval;
1569 /* guard against oddball hardware: force resets to be inactive */
1570 jtag_add_reset(0, 0);
1572 /* some targets enable us to connect with srst asserted */
1573 if (jtag_reset_config & RESET_CNCT_UNDER_SRST) {
1574 if (jtag_reset_config & RESET_SRST_NO_GATING)
1575 jtag_add_reset(0, 1);
1576 else
1577 LOG_WARNING("\'srst_nogate\' reset_config option is required");
1579 retval = jtag_execute_queue();
1580 if (retval != ERROR_OK)
1581 return retval;
1583 if (Jim_Eval_Named(cmd_ctx->interp, "jtag_init", __FILE__, __LINE__) != JIM_OK)
1584 return ERROR_FAIL;
1586 return ERROR_OK;
1589 unsigned jtag_get_speed_khz(void)
1591 return speed_khz;
1594 static int adapter_khz_to_speed(unsigned khz, int *speed)
1596 LOG_DEBUG("convert khz to interface specific speed value");
1597 speed_khz = khz;
1598 if (jtag != NULL) {
1599 LOG_DEBUG("have interface set up");
1600 int speed_div1;
1601 int retval = jtag->khz(jtag_get_speed_khz(), &speed_div1);
1602 if (ERROR_OK != retval)
1603 return retval;
1604 *speed = speed_div1;
1606 return ERROR_OK;
1609 static int jtag_rclk_to_speed(unsigned fallback_speed_khz, int *speed)
1611 int retval = adapter_khz_to_speed(0, speed);
1612 if ((ERROR_OK != retval) && fallback_speed_khz) {
1613 LOG_DEBUG("trying fallback speed...");
1614 retval = adapter_khz_to_speed(fallback_speed_khz, speed);
1616 return retval;
1619 static int jtag_set_speed(int speed)
1621 jtag_speed = speed;
1622 /* this command can be called during CONFIG,
1623 * in which case jtag isn't initialized */
1624 return jtag ? jtag->speed(speed) : ERROR_OK;
1627 int jtag_config_khz(unsigned khz)
1629 LOG_DEBUG("handle jtag khz");
1630 clock_mode = CLOCK_MODE_KHZ;
1631 int speed = 0;
1632 int retval = adapter_khz_to_speed(khz, &speed);
1633 return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1636 int jtag_config_rclk(unsigned fallback_speed_khz)
1638 LOG_DEBUG("handle jtag rclk");
1639 clock_mode = CLOCK_MODE_RCLK;
1640 rclk_fallback_speed_khz = fallback_speed_khz;
1641 int speed = 0;
1642 int retval = jtag_rclk_to_speed(fallback_speed_khz, &speed);
1643 return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1646 int jtag_get_speed(int *speed)
1648 switch (clock_mode) {
1649 case CLOCK_MODE_KHZ:
1650 adapter_khz_to_speed(jtag_get_speed_khz(), speed);
1651 break;
1652 case CLOCK_MODE_RCLK:
1653 jtag_rclk_to_speed(rclk_fallback_speed_khz, speed);
1654 break;
1655 default:
1656 LOG_ERROR("BUG: unknown jtag clock mode");
1657 return ERROR_FAIL;
1659 return ERROR_OK;
1662 int jtag_get_speed_readable(int *khz)
1664 int jtag_speed_var = 0;
1665 int retval = jtag_get_speed(&jtag_speed_var);
1666 if (retval != ERROR_OK)
1667 return retval;
1668 return jtag ? jtag->speed_div(jtag_speed_var, khz) : ERROR_OK;
1671 void jtag_set_verify(bool enable)
1673 jtag_verify = enable;
1676 bool jtag_will_verify()
1678 return jtag_verify;
1681 void jtag_set_verify_capture_ir(bool enable)
1683 jtag_verify_capture_ir = enable;
1686 bool jtag_will_verify_capture_ir()
1688 return jtag_verify_capture_ir;
1691 int jtag_power_dropout(int *dropout)
1693 if (jtag == NULL) {
1694 /* TODO: as the jtag interface is not valid all
1695 * we can do at the moment is exit OpenOCD */
1696 LOG_ERROR("No Valid JTAG Interface Configured.");
1697 exit(-1);
1699 return jtag->power_dropout(dropout);
1702 int jtag_srst_asserted(int *srst_asserted)
1704 return jtag->srst_asserted(srst_asserted);
1707 enum reset_types jtag_get_reset_config(void)
1709 return jtag_reset_config;
1711 void jtag_set_reset_config(enum reset_types type)
1713 jtag_reset_config = type;
1716 int jtag_get_trst(void)
1718 return jtag_trst;
1720 int jtag_get_srst(void)
1722 return jtag_srst;
1725 void jtag_set_nsrst_delay(unsigned delay)
1727 adapter_nsrst_delay = delay;
1729 unsigned jtag_get_nsrst_delay(void)
1731 return adapter_nsrst_delay;
1733 void jtag_set_ntrst_delay(unsigned delay)
1735 jtag_ntrst_delay = delay;
1737 unsigned jtag_get_ntrst_delay(void)
1739 return jtag_ntrst_delay;
1743 void jtag_set_nsrst_assert_width(unsigned delay)
1745 adapter_nsrst_assert_width = delay;
1747 unsigned jtag_get_nsrst_assert_width(void)
1749 return adapter_nsrst_assert_width;
1751 void jtag_set_ntrst_assert_width(unsigned delay)
1753 jtag_ntrst_assert_width = delay;
1755 unsigned jtag_get_ntrst_assert_width(void)
1757 return jtag_ntrst_assert_width;
1760 static int jtag_select(struct command_context *ctx)
1762 int retval;
1764 /* NOTE: interface init must already have been done.
1765 * That works with only C code ... no Tcl glue required.
1768 retval = jtag_register_commands(ctx);
1770 if (retval != ERROR_OK)
1771 return retval;
1773 retval = svf_register_commands(ctx);
1775 if (retval != ERROR_OK)
1776 return retval;
1778 return xsvf_register_commands(ctx);
1781 static struct transport jtag_transport = {
1782 .name = "jtag",
1783 .select = jtag_select,
1784 .init = jtag_init,
1787 static void jtag_constructor(void) __attribute__((constructor));
1788 static void jtag_constructor(void)
1790 transport_register(&jtag_transport);
1793 /** Returns true if the current debug session
1794 * is using JTAG as its transport.
1796 bool transport_is_jtag(void)
1798 return get_current_transport() == &jtag_transport;
1801 void adapter_assert_reset(void)
1803 if (transport_is_jtag()) {
1804 if (jtag_reset_config & RESET_SRST_PULLS_TRST)
1805 jtag_add_reset(1, 1);
1806 else
1807 jtag_add_reset(0, 1);
1808 } else if (transport_is_swd())
1809 swd_add_reset(1);
1810 else if (get_current_transport() != NULL)
1811 LOG_ERROR("reset is not supported on %s",
1812 get_current_transport()->name);
1813 else
1814 LOG_ERROR("transport is not selected");
1817 void adapter_deassert_reset(void)
1819 if (transport_is_jtag())
1820 jtag_add_reset(0, 0);
1821 else if (transport_is_swd())
1822 swd_add_reset(0);
1823 else if (get_current_transport() != NULL)
1824 LOG_ERROR("reset is not supported on %s",
1825 get_current_transport()->name);
1826 else
1827 LOG_ERROR("transport is not selected");
1830 int adapter_config_trace(bool enabled, enum tpio_pin_protocol pin_protocol,
1831 uint32_t port_size, unsigned int *trace_freq)
1833 if (jtag->config_trace)
1834 return jtag->config_trace(enabled, pin_protocol, port_size,
1835 trace_freq);
1836 else if (enabled) {
1837 LOG_ERROR("The selected interface does not support tracing");
1838 return ERROR_FAIL;
1841 return ERROR_OK;
1844 int adapter_poll_trace(uint8_t *buf, size_t *size)
1846 if (jtag->poll_trace)
1847 return jtag->poll_trace(buf, size);
1849 return ERROR_FAIL;