Silence -O3 warning
[openocd.git] / src / jtag / core.c
blob22d0b8c302e8a09c6b09c127840fffbf1019e47e
1 /***************************************************************************
2 * Copyright (C) 2009 Zachary T Welch *
3 * zw@superlucidity.net *
4 * *
5 * Copyright (C) 2007,2008,2009 Øyvind Harboe *
6 * oyvind.harboe@zylin.com *
7 * *
8 * Copyright (C) 2009 SoftPLC Corporation *
9 * http://softplc.com *
10 * dick@softplc.com *
11 * *
12 * Copyright (C) 2005 by Dominic Rath *
13 * Dominic.Rath@gmx.de *
14 * *
15 * This program is free software; you can redistribute it and/or modify *
16 * it under the terms of the GNU General Public License as published by *
17 * the Free Software Foundation; either version 2 of the License, or *
18 * (at your option) any later version. *
19 * *
20 * This program is distributed in the hope that it will be useful, *
21 * but WITHOUT ANY WARRANTY; without even the implied warranty of *
22 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the *
23 * GNU General Public License for more details. *
24 * *
25 * You should have received a copy of the GNU General Public License *
26 * along with this program; if not, write to the *
27 * Free Software Foundation, Inc., *
28 * 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. *
29 ***************************************************************************/
30 #ifdef HAVE_CONFIG_H
31 #include "config.h"
32 #endif
34 #include "jtag.h"
35 #include "interface.h"
36 #include "transport.h"
38 #ifdef HAVE_STRINGS_H
39 #include <strings.h>
40 #endif
42 /* SVF and XSVF are higher level JTAG command sets (for boundary scan) */
43 #include "svf/svf.h"
44 #include "xsvf/xsvf.h"
46 /// The number of JTAG queue flushes (for profiling and debugging purposes).
47 static int jtag_flush_queue_count;
49 // Sleep this # of ms after flushing the queue
50 static int jtag_flush_queue_sleep = 0;
52 static void jtag_add_scan_check(struct jtag_tap *active,
53 void (*jtag_add_scan)(struct jtag_tap *active, int in_num_fields, const struct scan_field *in_fields, tap_state_t state),
54 int in_num_fields, struct scan_field *in_fields, tap_state_t state);
56 /**
57 * The jtag_error variable is set when an error occurs while executing
58 * the queue. Application code may set this using jtag_set_error(),
59 * when an error occurs during processing that should be reported during
60 * jtag_execute_queue().
62 * The value is set and cleared, but never read by normal application code.
64 * This value is returned (and cleared) by jtag_execute_queue().
66 static int jtag_error = ERROR_OK;
68 static const char *jtag_event_strings[] =
70 [JTAG_TRST_ASSERTED] = "TAP reset",
71 [JTAG_TAP_EVENT_SETUP] = "TAP setup",
72 [JTAG_TAP_EVENT_ENABLE] = "TAP enabled",
73 [JTAG_TAP_EVENT_DISABLE] = "TAP disabled",
77 * JTAG adapters must initialize with TRST and SRST de-asserted
78 * (they're negative logic, so that means *high*). But some
79 * hardware doesn't necessarily work that way ... so set things
80 * up so that jtag_init() always forces that state.
82 static int jtag_trst = -1;
83 static int jtag_srst = -1;
85 /**
86 * List all TAPs that have been created.
88 static struct jtag_tap *__jtag_all_taps = NULL;
89 /**
90 * The number of TAPs in the __jtag_all_taps list, used to track the
91 * assigned chain position to new TAPs
93 static unsigned jtag_num_taps = 0;
95 static enum reset_types jtag_reset_config = RESET_NONE;
96 tap_state_t cmd_queue_cur_state = TAP_RESET;
98 static bool jtag_verify_capture_ir = true;
99 static int jtag_verify = 1;
101 /* how long the OpenOCD should wait before attempting JTAG communication after reset lines deasserted (in ms) */
102 static int adapter_nsrst_delay = 0; /* default to no nSRST delay */
103 static int jtag_ntrst_delay = 0; /* default to no nTRST delay */
104 static int adapter_nsrst_assert_width = 0; /* width of assertion */
105 static int jtag_ntrst_assert_width = 0; /* width of assertion */
108 * Contains a single callback along with a pointer that will be passed
109 * when an event occurs.
111 struct jtag_event_callback {
112 /// a event callback
113 jtag_event_handler_t callback;
114 /// the private data to pass to the callback
115 void* priv;
116 /// the next callback
117 struct jtag_event_callback* next;
120 /* callbacks to inform high-level handlers about JTAG state changes */
121 static struct jtag_event_callback *jtag_event_callbacks;
123 /* speed in kHz*/
124 static int speed_khz = 0;
125 /* speed to fallback to when RCLK is requested but not supported */
126 static int rclk_fallback_speed_khz = 0;
127 static enum {CLOCK_MODE_UNSELECTED, CLOCK_MODE_KHZ, CLOCK_MODE_RCLK} clock_mode;
128 static int jtag_speed = 0;
130 static struct jtag_interface *jtag = NULL;
133 const struct swd_driver *swd = NULL;
135 /* configuration */
136 struct jtag_interface *jtag_interface = NULL;
138 void jtag_set_flush_queue_sleep(int ms)
140 jtag_flush_queue_sleep = ms;
143 void jtag_set_error(int error)
145 if ((error == ERROR_OK) || (jtag_error != ERROR_OK))
146 return;
147 jtag_error = error;
150 int jtag_error_clear(void)
152 int temp = jtag_error;
153 jtag_error = ERROR_OK;
154 return temp;
157 /************/
159 static bool jtag_poll = 1;
161 bool is_jtag_poll_safe(void)
163 /* Polling can be disabled explicitly with set_enabled(false).
164 * It is also implicitly disabled while TRST is active and
165 * while SRST is gating the JTAG clock.
167 if (!jtag_poll || jtag_trst != 0)
168 return false;
169 return jtag_srst == 0 || (jtag_reset_config & RESET_SRST_NO_GATING);
172 bool jtag_poll_get_enabled(void)
174 return jtag_poll;
177 void jtag_poll_set_enabled(bool value)
179 jtag_poll = value;
182 /************/
184 struct jtag_tap *jtag_all_taps(void)
186 return __jtag_all_taps;
189 unsigned jtag_tap_count(void)
191 return jtag_num_taps;
194 unsigned jtag_tap_count_enabled(void)
196 struct jtag_tap *t = jtag_all_taps();
197 unsigned n = 0;
198 while (t)
200 if (t->enabled)
201 n++;
202 t = t->next_tap;
204 return n;
207 /// Append a new TAP to the chain of all taps.
208 void jtag_tap_add(struct jtag_tap *t)
210 t->abs_chain_position = jtag_num_taps++;
212 struct jtag_tap **tap = &__jtag_all_taps;
213 while (*tap != NULL)
214 tap = &(*tap)->next_tap;
215 *tap = t;
218 /* returns a pointer to the n-th device in the scan chain */
219 struct jtag_tap *jtag_tap_by_position(unsigned n)
221 struct jtag_tap *t = jtag_all_taps();
223 while (t && n-- > 0)
224 t = t->next_tap;
226 return t;
229 struct jtag_tap *jtag_tap_by_string(const char *s)
231 /* try by name first */
232 struct jtag_tap *t = jtag_all_taps();
234 while (t)
236 if (0 == strcmp(t->dotted_name, s))
237 return t;
238 t = t->next_tap;
241 /* no tap found by name, so try to parse the name as a number */
242 unsigned n;
243 if (parse_uint(s, &n) != ERROR_OK)
244 return NULL;
246 /* FIXME remove this numeric fallback code late June 2010, along
247 * with all info in the User's Guide that TAPs have numeric IDs.
248 * Also update "scan_chain" output to not display the numbers.
250 t = jtag_tap_by_position(n);
251 if (t)
252 LOG_WARNING("Specify TAP '%s' by name, not number %u",
253 t->dotted_name, n);
255 return t;
258 struct jtag_tap* jtag_tap_next_enabled(struct jtag_tap* p)
260 p = p ? p->next_tap : jtag_all_taps();
261 while (p)
263 if (p->enabled)
264 return p;
265 p = p->next_tap;
267 return NULL;
270 const char *jtag_tap_name(const struct jtag_tap *tap)
272 return (tap == NULL) ? "(unknown)" : tap->dotted_name;
276 int jtag_register_event_callback(jtag_event_handler_t callback, void *priv)
278 struct jtag_event_callback **callbacks_p = &jtag_event_callbacks;
280 if (callback == NULL)
282 return ERROR_INVALID_ARGUMENTS;
285 if (*callbacks_p)
287 while ((*callbacks_p)->next)
288 callbacks_p = &((*callbacks_p)->next);
289 callbacks_p = &((*callbacks_p)->next);
292 (*callbacks_p) = malloc(sizeof(struct jtag_event_callback));
293 (*callbacks_p)->callback = callback;
294 (*callbacks_p)->priv = priv;
295 (*callbacks_p)->next = NULL;
297 return ERROR_OK;
300 int jtag_unregister_event_callback(jtag_event_handler_t callback, void *priv)
302 struct jtag_event_callback **p = &jtag_event_callbacks, *temp;
304 if (callback == NULL)
306 return ERROR_INVALID_ARGUMENTS;
309 while (*p)
311 if (((*p)->priv != priv) || ((*p)->callback != callback))
313 p = &(*p)->next;
314 continue;
317 temp = *p;
318 *p = (*p)->next;
319 free(temp);
322 return ERROR_OK;
325 int jtag_call_event_callbacks(enum jtag_event event)
327 struct jtag_event_callback *callback = jtag_event_callbacks;
329 LOG_DEBUG("jtag event: %s", jtag_event_strings[event]);
331 while (callback)
333 struct jtag_event_callback *next;
335 /* callback may remove itself */
336 next = callback->next;
337 callback->callback(event, callback->priv);
338 callback = next;
341 return ERROR_OK;
344 static void jtag_checks(void)
346 assert(jtag_trst == 0);
349 static void jtag_prelude(tap_state_t state)
351 jtag_checks();
353 assert(state != TAP_INVALID);
355 cmd_queue_cur_state = state;
358 void jtag_alloc_in_value32(struct scan_field *field)
360 interface_jtag_alloc_in_value32(field);
363 void jtag_add_ir_scan_noverify(struct jtag_tap *active, const struct scan_field *in_fields,
364 tap_state_t state)
366 jtag_prelude(state);
368 int retval = interface_jtag_add_ir_scan(active, in_fields, state);
369 jtag_set_error(retval);
372 static void jtag_add_ir_scan_noverify_callback(struct jtag_tap *active, int dummy, const struct scan_field *in_fields,
373 tap_state_t state)
375 jtag_add_ir_scan_noverify(active, in_fields, state);
378 void jtag_add_ir_scan(struct jtag_tap *active, struct scan_field *in_fields, tap_state_t state)
380 assert(state != TAP_RESET);
382 if (jtag_verify && jtag_verify_capture_ir)
384 /* 8 x 32 bit id's is enough for all invocations */
386 /* if we are to run a verification of the ir scan, we need to get the input back.
387 * We may have to allocate space if the caller didn't ask for the input back.
389 in_fields->check_value = active->expected;
390 in_fields->check_mask = active->expected_mask;
391 jtag_add_scan_check(active, jtag_add_ir_scan_noverify_callback, 1, in_fields, state);
392 } else
394 jtag_add_ir_scan_noverify(active, in_fields, state);
398 void jtag_add_plain_ir_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
399 tap_state_t state)
401 assert(out_bits != NULL);
402 assert(state != TAP_RESET);
404 jtag_prelude(state);
406 int retval = interface_jtag_add_plain_ir_scan(
407 num_bits, out_bits, in_bits, state);
408 jtag_set_error(retval);
411 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
412 uint8_t *in_check_mask, int num_bits);
414 static int jtag_check_value_mask_callback(jtag_callback_data_t data0, jtag_callback_data_t data1, jtag_callback_data_t data2, jtag_callback_data_t data3)
416 return jtag_check_value_inner((uint8_t *)data0, (uint8_t *)data1, (uint8_t *)data2, (int)data3);
419 static void jtag_add_scan_check(struct jtag_tap *active, void (*jtag_add_scan)(struct jtag_tap *active, int in_num_fields, const struct scan_field *in_fields, tap_state_t state),
420 int in_num_fields, struct scan_field *in_fields, tap_state_t state)
422 for (int i = 0; i < in_num_fields; i++)
424 struct scan_field *field = &in_fields[i];
425 field->allocated = 0;
426 field->modified = 0;
427 if (field->check_value || field->in_value)
428 continue;
429 interface_jtag_add_scan_check_alloc(field);
430 field->modified = 1;
433 jtag_add_scan(active, in_num_fields, in_fields, state);
435 for (int i = 0; i < in_num_fields; i++)
437 if ((in_fields[i].check_value != NULL) && (in_fields[i].in_value != NULL))
439 /* this is synchronous for a minidriver */
440 jtag_add_callback4(jtag_check_value_mask_callback, (jtag_callback_data_t)in_fields[i].in_value,
441 (jtag_callback_data_t)in_fields[i].check_value,
442 (jtag_callback_data_t)in_fields[i].check_mask,
443 (jtag_callback_data_t)in_fields[i].num_bits);
445 if (in_fields[i].allocated)
447 free(in_fields[i].in_value);
449 if (in_fields[i].modified)
451 in_fields[i].in_value = NULL;
456 void jtag_add_dr_scan_check(struct jtag_tap *active, int in_num_fields, struct scan_field *in_fields, tap_state_t state)
458 if (jtag_verify)
460 jtag_add_scan_check(active, jtag_add_dr_scan, in_num_fields, in_fields, state);
461 } else
463 jtag_add_dr_scan(active, in_num_fields, in_fields, state);
468 void jtag_add_dr_scan(struct jtag_tap *active, int in_num_fields, const struct scan_field *in_fields,
469 tap_state_t state)
471 assert(state != TAP_RESET);
473 jtag_prelude(state);
475 int retval;
476 retval = interface_jtag_add_dr_scan(active, in_num_fields, in_fields, state);
477 jtag_set_error(retval);
480 void jtag_add_plain_dr_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
481 tap_state_t state)
483 assert(out_bits != NULL);
484 assert(state != TAP_RESET);
486 jtag_prelude(state);
488 int retval;
489 retval = interface_jtag_add_plain_dr_scan(num_bits, out_bits, in_bits, state);
490 jtag_set_error(retval);
493 void jtag_add_tlr(void)
495 jtag_prelude(TAP_RESET);
496 jtag_set_error(interface_jtag_add_tlr());
498 /* NOTE: order here matches TRST path in jtag_add_reset() */
499 jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
500 jtag_notify_event(JTAG_TRST_ASSERTED);
504 * If supported by the underlying adapter, this clocks a raw bit sequence
505 * onto TMS for switching betwen JTAG and SWD modes.
507 * DO NOT use this to bypass the integrity checks and logging provided
508 * by the jtag_add_pathmove() and jtag_add_statemove() calls.
510 * @param nbits How many bits to clock out.
511 * @param seq The bit sequence. The LSB is bit 0 of seq[0].
512 * @param state The JTAG tap state to record on completion. Use
513 * TAP_INVALID to represent being in in SWD mode.
515 * @todo Update naming conventions to stop assuming everything is JTAG.
517 int jtag_add_tms_seq(unsigned nbits, const uint8_t *seq, enum tap_state state)
519 int retval;
521 if (!(jtag->supported & DEBUG_CAP_TMS_SEQ))
522 return ERROR_JTAG_NOT_IMPLEMENTED;
524 jtag_checks();
525 cmd_queue_cur_state = state;
527 retval = interface_add_tms_seq(nbits, seq, state);
528 jtag_set_error(retval);
529 return retval;
532 void jtag_add_pathmove(int num_states, const tap_state_t *path)
534 tap_state_t cur_state = cmd_queue_cur_state;
536 /* the last state has to be a stable state */
537 if (!tap_is_state_stable(path[num_states - 1]))
539 LOG_ERROR("BUG: TAP path doesn't finish in a stable state");
540 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
541 return;
544 for (int i = 0; i < num_states; i++)
546 if (path[i] == TAP_RESET)
548 LOG_ERROR("BUG: TAP_RESET is not a valid state for pathmove sequences");
549 jtag_set_error(ERROR_JTAG_STATE_INVALID);
550 return;
553 if (tap_state_transition(cur_state, true) != path[i]
554 && tap_state_transition(cur_state, false) != path[i])
556 LOG_ERROR("BUG: %s -> %s isn't a valid TAP transition",
557 tap_state_name(cur_state), tap_state_name(path[i]));
558 jtag_set_error(ERROR_JTAG_TRANSITION_INVALID);
559 return;
561 cur_state = path[i];
564 jtag_checks();
566 jtag_set_error(interface_jtag_add_pathmove(num_states, path));
567 cmd_queue_cur_state = path[num_states - 1];
570 int jtag_add_statemove(tap_state_t goal_state)
572 tap_state_t cur_state = cmd_queue_cur_state;
574 if (goal_state != cur_state)
576 LOG_DEBUG("cur_state=%s goal_state=%s",
577 tap_state_name(cur_state),
578 tap_state_name(goal_state));
581 /* If goal is RESET, be paranoid and force that that transition
582 * (e.g. five TCK cycles, TMS high). Else trust "cur_state".
584 if (goal_state == TAP_RESET)
585 jtag_add_tlr();
586 else if (goal_state == cur_state)
587 /* nothing to do */ ;
589 else if (tap_is_state_stable(cur_state) && tap_is_state_stable(goal_state))
591 unsigned tms_bits = tap_get_tms_path(cur_state, goal_state);
592 unsigned tms_count = tap_get_tms_path_len(cur_state, goal_state);
593 tap_state_t moves[8];
594 assert(tms_count < ARRAY_SIZE(moves));
596 for (unsigned i = 0; i < tms_count; i++, tms_bits >>= 1)
598 bool bit = tms_bits & 1;
600 cur_state = tap_state_transition(cur_state, bit);
601 moves[i] = cur_state;
604 jtag_add_pathmove(tms_count, moves);
606 else if (tap_state_transition(cur_state, true) == goal_state
607 || tap_state_transition(cur_state, false) == goal_state)
609 jtag_add_pathmove(1, &goal_state);
612 else
613 return ERROR_FAIL;
615 return ERROR_OK;
618 void jtag_add_runtest(int num_cycles, tap_state_t state)
620 jtag_prelude(state);
621 jtag_set_error(interface_jtag_add_runtest(num_cycles, state));
625 void jtag_add_clocks(int num_cycles)
627 if (!tap_is_state_stable(cmd_queue_cur_state))
629 LOG_ERROR("jtag_add_clocks() called with TAP in unstable state \"%s\"",
630 tap_state_name(cmd_queue_cur_state));
631 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
632 return;
635 if (num_cycles > 0)
637 jtag_checks();
638 jtag_set_error(interface_jtag_add_clocks(num_cycles));
642 void jtag_add_reset(int req_tlr_or_trst, int req_srst)
644 int trst_with_tlr = 0;
645 int new_srst = 0;
646 int new_trst = 0;
648 /* Without SRST, we must use target-specific JTAG operations
649 * on each target; callers should not be requesting SRST when
650 * that signal doesn't exist.
652 * RESET_SRST_PULLS_TRST is a board or chip level quirk, which
653 * can kick in even if the JTAG adapter can't drive TRST.
655 if (req_srst) {
656 if (!(jtag_reset_config & RESET_HAS_SRST)) {
657 LOG_ERROR("BUG: can't assert SRST");
658 jtag_set_error(ERROR_FAIL);
659 return;
661 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) != 0
662 && !req_tlr_or_trst) {
663 LOG_ERROR("BUG: can't assert only SRST");
664 jtag_set_error(ERROR_FAIL);
665 return;
667 new_srst = 1;
670 /* JTAG reset (entry to TAP_RESET state) can always be achieved
671 * using TCK and TMS; that may go through a TAP_{IR,DR}UPDATE
672 * state first. TRST accelerates it, and bypasses those states.
674 * RESET_TRST_PULLS_SRST is a board or chip level quirk, which
675 * can kick in even if the JTAG adapter can't drive SRST.
677 if (req_tlr_or_trst) {
678 if (!(jtag_reset_config & RESET_HAS_TRST))
679 trst_with_tlr = 1;
680 else if ((jtag_reset_config & RESET_TRST_PULLS_SRST) != 0
681 && !req_srst)
682 trst_with_tlr = 1;
683 else
684 new_trst = 1;
687 /* Maybe change TRST and/or SRST signal state */
688 if (jtag_srst != new_srst || jtag_trst != new_trst) {
689 int retval;
691 retval = interface_jtag_add_reset(new_trst, new_srst);
692 if (retval != ERROR_OK)
693 jtag_set_error(retval);
694 else
695 retval = jtag_execute_queue();
697 if (retval != ERROR_OK) {
698 LOG_ERROR("TRST/SRST error");
699 return;
703 /* SRST resets everything hooked up to that signal */
704 if (jtag_srst != new_srst) {
705 jtag_srst = new_srst;
706 if (jtag_srst)
708 LOG_DEBUG("SRST line asserted");
709 if (adapter_nsrst_assert_width)
710 jtag_add_sleep(adapter_nsrst_assert_width * 1000);
712 else {
713 LOG_DEBUG("SRST line released");
714 if (adapter_nsrst_delay)
715 jtag_add_sleep(adapter_nsrst_delay * 1000);
719 /* Maybe enter the JTAG TAP_RESET state ...
720 * - using only TMS, TCK, and the JTAG state machine
721 * - or else more directly, using TRST
723 * TAP_RESET should be invisible to non-debug parts of the system.
725 if (trst_with_tlr) {
726 LOG_DEBUG("JTAG reset with TLR instead of TRST");
727 jtag_add_tlr();
729 } else if (jtag_trst != new_trst) {
730 jtag_trst = new_trst;
731 if (jtag_trst) {
732 LOG_DEBUG("TRST line asserted");
733 tap_set_state(TAP_RESET);
734 if (jtag_ntrst_assert_width)
735 jtag_add_sleep(jtag_ntrst_assert_width * 1000);
736 } else {
737 LOG_DEBUG("TRST line released");
738 if (jtag_ntrst_delay)
739 jtag_add_sleep(jtag_ntrst_delay * 1000);
741 /* We just asserted nTRST, so we're now in TAP_RESET.
742 * Inform possible listeners about this, now that
743 * JTAG instructions and data can be shifted. This
744 * sequence must match jtag_add_tlr().
746 jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
747 jtag_notify_event(JTAG_TRST_ASSERTED);
752 void jtag_add_sleep(uint32_t us)
754 /// @todo Here, keep_alive() appears to be a layering violation!!!
755 keep_alive();
756 jtag_set_error(interface_jtag_add_sleep(us));
759 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
760 uint8_t *in_check_mask, int num_bits)
762 int retval = ERROR_OK;
763 int compare_failed;
765 if (in_check_mask)
766 compare_failed = buf_cmp_mask(captured, in_check_value, in_check_mask, num_bits);
767 else
768 compare_failed = buf_cmp(captured, in_check_value, num_bits);
770 if (compare_failed) {
771 char *captured_str, *in_check_value_str;
772 int bits = (num_bits > DEBUG_JTAG_IOZ)
773 ? DEBUG_JTAG_IOZ
774 : num_bits;
776 /* NOTE: we've lost diagnostic context here -- 'which tap' */
778 captured_str = buf_to_str(captured, bits, 16);
779 in_check_value_str = buf_to_str(in_check_value, bits, 16);
781 LOG_WARNING("Bad value '%s' captured during DR or IR scan:",
782 captured_str);
783 LOG_WARNING(" check_value: 0x%s", in_check_value_str);
785 free(captured_str);
786 free(in_check_value_str);
788 if (in_check_mask) {
789 char *in_check_mask_str;
791 in_check_mask_str = buf_to_str(in_check_mask, bits, 16);
792 LOG_WARNING(" check_mask: 0x%s", in_check_mask_str);
793 free(in_check_mask_str);
796 retval = ERROR_JTAG_QUEUE_FAILED;
798 return retval;
801 void jtag_check_value_mask(struct scan_field *field, uint8_t *value, uint8_t *mask)
803 assert(field->in_value != NULL);
805 if (value == NULL)
807 /* no checking to do */
808 return;
811 jtag_execute_queue_noclear();
813 int retval = jtag_check_value_inner(field->in_value, value, mask, field->num_bits);
814 jtag_set_error(retval);
819 int default_interface_jtag_execute_queue(void)
821 if (NULL == jtag)
823 LOG_ERROR("No JTAG interface configured yet. "
824 "Issue 'init' command in startup scripts "
825 "before communicating with targets.");
826 return ERROR_FAIL;
829 return jtag->execute_queue();
832 void jtag_execute_queue_noclear(void)
834 jtag_flush_queue_count++;
835 jtag_set_error(interface_jtag_execute_queue());
837 if (jtag_flush_queue_sleep > 0)
839 /* For debug purposes it can be useful to test performance
840 * or behavior when delaying after flushing the queue,
841 * e.g. to simulate long roundtrip times.
843 usleep(jtag_flush_queue_sleep * 1000);
847 int jtag_get_flush_queue_count(void)
849 return jtag_flush_queue_count;
852 int jtag_execute_queue(void)
854 jtag_execute_queue_noclear();
855 return jtag_error_clear();
858 static int jtag_reset_callback(enum jtag_event event, void *priv)
860 struct jtag_tap *tap = priv;
862 if (event == JTAG_TRST_ASSERTED)
864 tap->enabled = !tap->disabled_after_reset;
866 /* current instruction is either BYPASS or IDCODE */
867 buf_set_ones(tap->cur_instr, tap->ir_length);
868 tap->bypass = 1;
871 return ERROR_OK;
874 /* sleep at least us microseconds. When we sleep more than 1000ms we
875 * do an alive sleep, i.e. keep GDB alive. Note that we could starve
876 * GDB if we slept for <1000ms many times.
878 void jtag_sleep(uint32_t us)
880 if (us < 1000)
881 usleep(us);
882 else
883 alive_sleep((us+999)/1000);
886 /* Maximum number of enabled JTAG devices we expect in the scan chain,
887 * plus one (to detect garbage at the end). Devices that don't support
888 * IDCODE take up fewer bits, possibly allowing a few more devices.
890 #define JTAG_MAX_CHAIN_SIZE 20
892 #define EXTRACT_MFG(X) (((X) & 0xffe) >> 1)
893 #define EXTRACT_PART(X) (((X) & 0xffff000) >> 12)
894 #define EXTRACT_VER(X) (((X) & 0xf0000000) >> 28)
896 /* A reserved manufacturer ID is used in END_OF_CHAIN_FLAG, so we
897 * know that no valid TAP will have it as an IDCODE value.
899 #define END_OF_CHAIN_FLAG 0x000000ff
901 /* a larger IR length than we ever expect to autoprobe */
902 #define JTAG_IRLEN_MAX 60
904 static int jtag_examine_chain_execute(uint8_t *idcode_buffer, unsigned num_idcode)
906 struct scan_field field = {
907 .num_bits = num_idcode * 32,
908 .out_value = idcode_buffer,
909 .in_value = idcode_buffer,
912 // initialize to the end of chain ID value
913 for (unsigned i = 0; i < JTAG_MAX_CHAIN_SIZE; i++)
914 buf_set_u32(idcode_buffer, i * 32, 32, END_OF_CHAIN_FLAG);
916 jtag_add_plain_dr_scan(field.num_bits, field.out_value, field.in_value, TAP_DRPAUSE);
917 jtag_add_tlr();
918 return jtag_execute_queue();
921 static bool jtag_examine_chain_check(uint8_t *idcodes, unsigned count)
923 uint8_t zero_check = 0x0;
924 uint8_t one_check = 0xff;
926 for (unsigned i = 0; i < count * 4; i++)
928 zero_check |= idcodes[i];
929 one_check &= idcodes[i];
932 /* if there wasn't a single non-zero bit or if all bits were one,
933 * the scan is not valid. We wrote a mix of both values; either
935 * - There's a hardware issue (almost certainly):
936 * + all-zeroes can mean a target stuck in JTAG reset
937 * + all-ones tends to mean no target
938 * - The scan chain is WAY longer than we can handle, *AND* either
939 * + there are several hundreds of TAPs in bypass, or
940 * + at least a few dozen TAPs all have an all-ones IDCODE
942 if (zero_check == 0x00 || one_check == 0xff)
944 LOG_ERROR("JTAG scan chain interrogation failed: all %s",
945 (zero_check == 0x00) ? "zeroes" : "ones");
946 LOG_ERROR("Check JTAG interface, timings, target power, etc.");
947 return false;
949 return true;
952 static void jtag_examine_chain_display(enum log_levels level, const char *msg,
953 const char *name, uint32_t idcode)
955 log_printf_lf(level, __FILE__, __LINE__, __FUNCTION__,
956 "JTAG tap: %s %16.16s: 0x%08x "
957 "(mfg: 0x%3.3x, part: 0x%4.4x, ver: 0x%1.1x)",
958 name, msg,
959 (unsigned int)idcode,
960 (unsigned int)EXTRACT_MFG(idcode),
961 (unsigned int)EXTRACT_PART(idcode),
962 (unsigned int)EXTRACT_VER(idcode));
965 static bool jtag_idcode_is_final(uint32_t idcode)
968 * Some devices, such as AVR8, will output all 1's instead
969 * of TDI input value at end of chain. Allow those values
970 * instead of failing.
972 return idcode == END_OF_CHAIN_FLAG || idcode == 0xFFFFFFFF;
976 * This helper checks that remaining bits in the examined chain data are
977 * all as expected, but a single JTAG device requires only 64 bits to be
978 * read back correctly. This can help identify and diagnose problems
979 * with the JTAG chain earlier, gives more helpful/explicit error messages.
980 * Returns TRUE iff garbage was found.
982 static bool jtag_examine_chain_end(uint8_t *idcodes, unsigned count, unsigned max)
984 bool triggered = false;
985 for (; count < max - 31; count += 32)
987 uint32_t idcode = buf_get_u32(idcodes, count, 32);
989 /* do not trigger the warning if the data looks good */
990 if (jtag_idcode_is_final(idcode))
991 continue;
992 LOG_WARNING("Unexpected idcode after end of chain: %d 0x%08x",
993 count, (unsigned int)idcode);
994 triggered = true;
996 return triggered;
999 static bool jtag_examine_chain_match_tap(const struct jtag_tap *tap)
1001 uint32_t idcode = tap->idcode;
1003 /* ignore expected BYPASS codes; warn otherwise */
1004 if (0 == tap->expected_ids_cnt && !idcode)
1005 return true;
1007 /* optionally ignore the JTAG version field */
1008 uint32_t mask = tap->ignore_version ? ~(0xff << 24) : ~0;
1010 idcode &= mask;
1012 /* Loop over the expected identification codes and test for a match */
1013 unsigned ii, limit = tap->expected_ids_cnt;
1015 for (ii = 0; ii < limit; ii++)
1017 uint32_t expected = tap->expected_ids[ii] & mask;
1019 if (idcode == expected)
1020 return true;
1022 /* treat "-expected-id 0" as a "don't-warn" wildcard */
1023 if (0 == tap->expected_ids[ii])
1024 return true;
1027 /* If none of the expected ids matched, warn */
1028 jtag_examine_chain_display(LOG_LVL_WARNING, "UNEXPECTED",
1029 tap->dotted_name, tap->idcode);
1030 for (ii = 0; ii < limit; ii++)
1032 char msg[32];
1034 snprintf(msg, sizeof(msg), "expected %u of %u", ii + 1, limit);
1035 jtag_examine_chain_display(LOG_LVL_ERROR, msg,
1036 tap->dotted_name, tap->expected_ids[ii]);
1038 return false;
1041 /* Try to examine chain layout according to IEEE 1149.1 §12
1042 * This is called a "blind interrogation" of the scan chain.
1044 static int jtag_examine_chain(void)
1046 uint8_t idcode_buffer[JTAG_MAX_CHAIN_SIZE * 4];
1047 unsigned bit_count;
1048 int retval;
1049 int tapcount = 0;
1050 bool autoprobe = false;
1052 /* DR scan to collect BYPASS or IDCODE register contents.
1053 * Then make sure the scan data has both ones and zeroes.
1055 LOG_DEBUG("DR scan interrogation for IDCODE/BYPASS");
1056 retval = jtag_examine_chain_execute(idcode_buffer, JTAG_MAX_CHAIN_SIZE);
1057 if (retval != ERROR_OK)
1058 return retval;
1059 if (!jtag_examine_chain_check(idcode_buffer, JTAG_MAX_CHAIN_SIZE))
1060 return ERROR_JTAG_INIT_FAILED;
1062 /* point at the 1st tap */
1063 struct jtag_tap *tap = jtag_tap_next_enabled(NULL);
1065 if (!tap)
1066 autoprobe = true;
1068 for (bit_count = 0;
1069 tap && bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31;
1070 tap = jtag_tap_next_enabled(tap))
1072 uint32_t idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1074 if ((idcode & 1) == 0)
1076 /* Zero for LSB indicates a device in bypass */
1077 LOG_INFO("TAP %s does not have IDCODE",
1078 tap->dotted_name);
1079 idcode = 0;
1080 tap->hasidcode = false;
1082 bit_count += 1;
1084 else
1086 /* Friendly devices support IDCODE */
1087 tap->hasidcode = true;
1088 jtag_examine_chain_display(LOG_LVL_INFO,
1089 "tap/device found",
1090 tap->dotted_name, idcode);
1092 bit_count += 32;
1094 tap->idcode = idcode;
1096 /* ensure the TAP ID matches what was expected */
1097 if (!jtag_examine_chain_match_tap(tap))
1098 retval = ERROR_JTAG_INIT_SOFT_FAIL;
1101 /* Fail if too many TAPs were enabled for us to verify them all. */
1102 if (tap) {
1103 LOG_ERROR("Too many TAPs enabled; '%s' ignored.",
1104 tap->dotted_name);
1105 return ERROR_JTAG_INIT_FAILED;
1108 /* if autoprobing, the tap list is still empty ... populate it! */
1109 while (autoprobe && bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31) {
1110 uint32_t idcode;
1111 char buf[12];
1113 /* Is there another TAP? */
1114 idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1115 if (jtag_idcode_is_final(idcode))
1116 break;
1118 /* Default everything in this TAP except IR length.
1120 * REVISIT create a jtag_alloc(chip, tap) routine, and
1121 * share it with jim_newtap_cmd().
1123 tap = calloc(1, sizeof *tap);
1124 if (!tap)
1125 return ERROR_FAIL;
1127 sprintf(buf, "auto%d", tapcount++);
1128 tap->chip = strdup(buf);
1129 tap->tapname = strdup("tap");
1131 sprintf(buf, "%s.%s", tap->chip, tap->tapname);
1132 tap->dotted_name = strdup(buf);
1134 /* tap->ir_length == 0 ... signifying irlen autoprobe */
1135 tap->ir_capture_mask = 0x03;
1136 tap->ir_capture_value = 0x01;
1138 tap->enabled = true;
1140 if ((idcode & 1) == 0) {
1141 bit_count += 1;
1142 tap->hasidcode = false;
1143 } else {
1144 bit_count += 32;
1145 tap->hasidcode = true;
1146 tap->idcode = idcode;
1148 tap->expected_ids_cnt = 1;
1149 tap->expected_ids = malloc(sizeof(uint32_t));
1150 tap->expected_ids[0] = idcode;
1153 LOG_WARNING("AUTO %s - use \"jtag newtap "
1154 "%s %s -expected-id 0x%8.8" PRIx32 " ...\"",
1155 tap->dotted_name, tap->chip, tap->tapname,
1156 tap->idcode);
1158 jtag_tap_init(tap);
1161 /* After those IDCODE or BYPASS register values should be
1162 * only the data we fed into the scan chain.
1164 if (jtag_examine_chain_end(idcode_buffer, bit_count,
1165 8 * sizeof(idcode_buffer))) {
1166 LOG_ERROR("double-check your JTAG setup (interface, "
1167 "speed, missing TAPs, ...)");
1168 return ERROR_JTAG_INIT_FAILED;
1171 /* Return success or, for backwards compatibility if only
1172 * some IDCODE values mismatched, a soft/continuable fault.
1174 return retval;
1178 * Validate the date loaded by entry to the Capture-IR state, to help
1179 * find errors related to scan chain configuration (wrong IR lengths)
1180 * or communication.
1182 * Entry state can be anything. On non-error exit, all TAPs are in
1183 * bypass mode. On error exits, the scan chain is reset.
1185 static int jtag_validate_ircapture(void)
1187 struct jtag_tap *tap;
1188 int total_ir_length = 0;
1189 uint8_t *ir_test = NULL;
1190 struct scan_field field;
1191 int val;
1192 int chain_pos = 0;
1193 int retval;
1195 /* when autoprobing, accomodate huge IR lengths */
1196 for (tap = NULL, total_ir_length = 0;
1197 (tap = jtag_tap_next_enabled(tap)) != NULL;
1198 total_ir_length += tap->ir_length) {
1199 if (tap->ir_length == 0)
1200 total_ir_length += JTAG_IRLEN_MAX;
1203 /* increase length to add 2 bit sentinel after scan */
1204 total_ir_length += 2;
1206 ir_test = malloc(DIV_ROUND_UP(total_ir_length, 8));
1207 if (ir_test == NULL)
1208 return ERROR_FAIL;
1210 /* after this scan, all TAPs will capture BYPASS instructions */
1211 buf_set_ones(ir_test, total_ir_length);
1213 field.num_bits = total_ir_length;
1214 field.out_value = ir_test;
1215 field.in_value = ir_test;
1217 jtag_add_plain_ir_scan(field.num_bits, field.out_value, field.in_value, TAP_IDLE);
1219 LOG_DEBUG("IR capture validation scan");
1220 retval = jtag_execute_queue();
1221 if (retval != ERROR_OK)
1222 goto done;
1224 tap = NULL;
1225 chain_pos = 0;
1227 for (;;) {
1228 tap = jtag_tap_next_enabled(tap);
1229 if (tap == NULL) {
1230 break;
1233 /* If we're autoprobing, guess IR lengths. They must be at
1234 * least two bits. Guessing will fail if (a) any TAP does
1235 * not conform to the JTAG spec; or (b) when the upper bits
1236 * captured from some conforming TAP are nonzero. Or if
1237 * (c) an IR length is longer than 32 bits -- which is only
1238 * an implementation limit, which could someday be raised.
1240 * REVISIT optimization: if there's a *single* TAP we can
1241 * lift restrictions (a) and (b) by scanning a recognizable
1242 * pattern before the all-ones BYPASS. Check for where the
1243 * pattern starts in the result, instead of an 0...01 value.
1245 * REVISIT alternative approach: escape to some tcl code
1246 * which could provide more knowledge, based on IDCODE; and
1247 * only guess when that has no success.
1249 if (tap->ir_length == 0) {
1250 tap->ir_length = 2;
1251 while ((val = buf_get_u32(ir_test, chain_pos,
1252 tap->ir_length + 1)) == 1
1253 && tap->ir_length <= 32) {
1254 tap->ir_length++;
1256 LOG_WARNING("AUTO %s - use \"... -irlen %d\"",
1257 jtag_tap_name(tap), tap->ir_length);
1260 /* Validate the two LSBs, which must be 01 per JTAG spec.
1262 * Or ... more bits could be provided by TAP declaration.
1263 * Plus, some taps (notably in i.MX series chips) violate
1264 * this part of the JTAG spec, so their capture mask/value
1265 * attributes might disable this test.
1267 val = buf_get_u32(ir_test, chain_pos, tap->ir_length);
1268 if ((val & tap->ir_capture_mask) != tap->ir_capture_value) {
1269 LOG_ERROR("%s: IR capture error; saw 0x%0*x not 0x%0*x",
1270 jtag_tap_name(tap),
1271 (tap->ir_length + 7) / tap->ir_length,
1272 val,
1273 (tap->ir_length + 7) / tap->ir_length,
1274 (unsigned) tap->ir_capture_value);
1276 retval = ERROR_JTAG_INIT_FAILED;
1277 goto done;
1279 LOG_DEBUG("%s: IR capture 0x%0*x", jtag_tap_name(tap),
1280 (tap->ir_length + 7) / tap->ir_length, val);
1281 chain_pos += tap->ir_length;
1284 /* verify the '11' sentinel we wrote is returned at the end */
1285 val = buf_get_u32(ir_test, chain_pos, 2);
1286 if (val != 0x3)
1288 char *cbuf = buf_to_str(ir_test, total_ir_length, 16);
1290 LOG_ERROR("IR capture error at bit %d, saw 0x%s not 0x...3",
1291 chain_pos, cbuf);
1292 free(cbuf);
1293 retval = ERROR_JTAG_INIT_FAILED;
1296 done:
1297 free(ir_test);
1298 if (retval != ERROR_OK) {
1299 jtag_add_tlr();
1300 jtag_execute_queue();
1302 return retval;
1306 void jtag_tap_init(struct jtag_tap *tap)
1308 unsigned ir_len_bits;
1309 unsigned ir_len_bytes;
1311 /* if we're autoprobing, cope with potentially huge ir_length */
1312 ir_len_bits = tap->ir_length ? : JTAG_IRLEN_MAX;
1313 ir_len_bytes = DIV_ROUND_UP(ir_len_bits, 8);
1315 tap->expected = calloc(1, ir_len_bytes);
1316 tap->expected_mask = calloc(1, ir_len_bytes);
1317 tap->cur_instr = malloc(ir_len_bytes);
1319 /// @todo cope better with ir_length bigger than 32 bits
1320 if (ir_len_bits > 32)
1321 ir_len_bits = 32;
1323 buf_set_u32(tap->expected, 0, ir_len_bits, tap->ir_capture_value);
1324 buf_set_u32(tap->expected_mask, 0, ir_len_bits, tap->ir_capture_mask);
1326 // TAP will be in bypass mode after jtag_validate_ircapture()
1327 tap->bypass = 1;
1328 buf_set_ones(tap->cur_instr, tap->ir_length);
1330 // register the reset callback for the TAP
1331 jtag_register_event_callback(&jtag_reset_callback, tap);
1333 LOG_DEBUG("Created Tap: %s @ abs position %d, "
1334 "irlen %d, capture: 0x%x mask: 0x%x", tap->dotted_name,
1335 tap->abs_chain_position, tap->ir_length,
1336 (unsigned) tap->ir_capture_value,
1337 (unsigned) tap->ir_capture_mask);
1338 jtag_tap_add(tap);
1341 void jtag_tap_free(struct jtag_tap *tap)
1343 jtag_unregister_event_callback(&jtag_reset_callback, tap);
1345 /// @todo is anything missing? no memory leaks please
1346 free((void *)tap->expected);
1347 free((void *)tap->expected_ids);
1348 free((void *)tap->chip);
1349 free((void *)tap->tapname);
1350 free((void *)tap->dotted_name);
1351 free(tap);
1355 * Do low-level setup like initializing registers, output signals,
1356 * and clocking.
1358 int adapter_init(struct command_context *cmd_ctx)
1360 if (jtag)
1361 return ERROR_OK;
1363 if (!jtag_interface)
1365 /* nothing was previously specified by "interface" command */
1366 LOG_ERROR("Debug Adapter has to be specified, "
1367 "see \"interface\" command");
1368 return ERROR_JTAG_INVALID_INTERFACE;
1371 int retval;
1372 retval = jtag_interface->init();
1373 if (retval != ERROR_OK)
1375 return retval;
1377 jtag = jtag_interface;
1379 /* LEGACY SUPPORT ... adapter drivers must declare what
1380 * transports they allow. Until they all do so, assume
1381 * the legacy drivers are JTAG-only
1383 if (!transports_are_declared()) {
1384 LOG_ERROR("Adapter driver '%s' did not declare "
1385 "which transports it allows; assuming "
1386 "JTAG-only", jtag->name);
1387 retval = allow_transports(cmd_ctx, jtag_only);
1388 if (retval != ERROR_OK)
1389 return retval;
1392 if (CLOCK_MODE_UNSELECTED == clock_mode)
1394 LOG_ERROR("An adapter speed is not selected in the init script."
1395 " Insert a call to adapter_khz or jtag_rclk to proceed.");
1396 return ERROR_JTAG_INIT_FAILED;
1399 int requested_khz = jtag_get_speed_khz();
1400 int actual_khz = requested_khz;
1401 int jtag_speed_var;
1402 retval = jtag_get_speed(&jtag_speed_var);
1403 if (retval != ERROR_OK)
1404 return retval;
1405 retval = jtag->speed(jtag_speed_var);
1406 if (retval != ERROR_OK)
1407 return retval;
1408 retval = jtag_get_speed_readable(&actual_khz);
1409 if (ERROR_OK != retval)
1410 LOG_INFO("adapter-specific clock speed value %d", jtag_speed_var);
1411 else if (actual_khz)
1413 /* Adaptive clocking -- JTAG-specific */
1414 if ((CLOCK_MODE_RCLK == clock_mode)
1415 || ((CLOCK_MODE_KHZ == clock_mode) && !requested_khz))
1417 LOG_INFO("RCLK (adaptive clock speed) not supported - fallback to %d kHz"
1418 , actual_khz);
1420 else
1421 LOG_INFO("clock speed %d kHz", actual_khz);
1423 else
1424 LOG_INFO("RCLK (adaptive clock speed)");
1426 return ERROR_OK;
1429 int jtag_init_inner(struct command_context *cmd_ctx)
1431 struct jtag_tap *tap;
1432 int retval;
1433 bool issue_setup = true;
1435 LOG_DEBUG("Init JTAG chain");
1437 tap = jtag_tap_next_enabled(NULL);
1438 if (tap == NULL) {
1439 /* Once JTAG itself is properly set up, and the scan chain
1440 * isn't absurdly large, IDCODE autoprobe should work fine.
1442 * But ... IRLEN autoprobe can fail even on systems which
1443 * are fully conformant to JTAG. Also, JTAG setup can be
1444 * quite finicky on some systems.
1446 * REVISIT: if TAP autoprobe works OK, then in many cases
1447 * we could escape to tcl code and set up targets based on
1448 * the TAP's IDCODE values.
1450 LOG_WARNING("There are no enabled taps. "
1451 "AUTO PROBING MIGHT NOT WORK!!");
1453 /* REVISIT default clock will often be too fast ... */
1456 jtag_add_tlr();
1457 if ((retval = jtag_execute_queue()) != ERROR_OK)
1458 return retval;
1460 /* Examine DR values first. This discovers problems which will
1461 * prevent communication ... hardware issues like TDO stuck, or
1462 * configuring the wrong number of (enabled) TAPs.
1464 retval = jtag_examine_chain();
1465 switch (retval) {
1466 case ERROR_OK:
1467 /* complete success */
1468 break;
1469 default:
1470 /* For backward compatibility reasons, try coping with
1471 * configuration errors involving only ID mismatches.
1472 * We might be able to talk to the devices.
1474 * Also the device might be powered down during startup.
1476 * After OpenOCD starts, we can try to power on the device
1477 * and run a reset.
1479 LOG_ERROR("Trying to use configured scan chain anyway...");
1480 issue_setup = false;
1481 break;
1484 /* Now look at IR values. Problems here will prevent real
1485 * communication. They mostly mean that the IR length is
1486 * wrong ... or that the IR capture value is wrong. (The
1487 * latter is uncommon, but easily worked around: provide
1488 * ircapture/irmask values during TAP setup.)
1490 retval = jtag_validate_ircapture();
1491 if (retval != ERROR_OK)
1493 /* The target might be powered down. The user
1494 * can power it up and reset it after firing
1495 * up OpenOCD.
1497 issue_setup = false;
1500 if (issue_setup)
1501 jtag_notify_event(JTAG_TAP_EVENT_SETUP);
1502 else
1503 LOG_WARNING("Bypassing JTAG setup events due to errors");
1506 return ERROR_OK;
1509 int adapter_quit(void)
1511 if (!jtag || !jtag->quit)
1512 return ERROR_OK;
1514 // close the JTAG interface
1515 int result = jtag->quit();
1516 if (ERROR_OK != result)
1517 LOG_ERROR("failed: %d", result);
1519 return ERROR_OK;
1523 int jtag_init_reset(struct command_context *cmd_ctx)
1525 int retval;
1527 if ((retval = adapter_init(cmd_ctx)) != ERROR_OK)
1528 return retval;
1530 LOG_DEBUG("Initializing with hard TRST+SRST reset");
1533 * This procedure is used by default when OpenOCD triggers a reset.
1534 * It's now done through an overridable Tcl "init_reset" wrapper.
1536 * This started out as a more powerful "get JTAG working" reset than
1537 * jtag_init_inner(), applying TRST because some chips won't activate
1538 * JTAG without a TRST cycle (presumed to be async, though some of
1539 * those chips synchronize JTAG activation using TCK).
1541 * But some chips only activate JTAG as part of an SRST cycle; SRST
1542 * got mixed in. So it became a hard reset routine, which got used
1543 * in more places, and which coped with JTAG reset being forced as
1544 * part of SRST (srst_pulls_trst).
1546 * And even more corner cases started to surface: TRST and/or SRST
1547 * assertion timings matter; some chips need other JTAG operations;
1548 * TRST/SRST sequences can need to be different from these, etc.
1550 * Systems should override that wrapper to support system-specific
1551 * requirements that this not-fully-generic code doesn't handle.
1553 * REVISIT once Tcl code can read the reset_config modes, this won't
1554 * need to be a C routine at all...
1556 jtag_add_reset(1, 0); /* TAP_RESET, using TMS+TCK or TRST */
1557 if (jtag_reset_config & RESET_HAS_SRST)
1559 jtag_add_reset(1, 1);
1560 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) == 0)
1561 jtag_add_reset(0, 1);
1563 jtag_add_reset(0, 0);
1564 if ((retval = jtag_execute_queue()) != ERROR_OK)
1565 return retval;
1567 /* Check that we can communication on the JTAG chain + eventually we want to
1568 * be able to perform enumeration only after OpenOCD has started
1569 * telnet and GDB server
1571 * That would allow users to more easily perform any magic they need to before
1572 * reset happens.
1574 return jtag_init_inner(cmd_ctx);
1577 int jtag_init(struct command_context *cmd_ctx)
1579 int retval;
1581 if ((retval = adapter_init(cmd_ctx)) != ERROR_OK)
1582 return retval;
1584 /* guard against oddball hardware: force resets to be inactive */
1585 jtag_add_reset(0, 0);
1586 if ((retval = jtag_execute_queue()) != ERROR_OK)
1587 return retval;
1589 if (Jim_Eval_Named(cmd_ctx->interp, "jtag_init", __FILE__, __LINE__) != JIM_OK)
1590 return ERROR_FAIL;
1592 return ERROR_OK;
1595 unsigned jtag_get_speed_khz(void)
1597 return speed_khz;
1600 static int adapter_khz_to_speed(unsigned khz, int* speed)
1602 LOG_DEBUG("convert khz to interface specific speed value");
1603 speed_khz = khz;
1604 if (jtag != NULL)
1606 LOG_DEBUG("have interface set up");
1607 int speed_div1;
1608 int retval = jtag->khz(jtag_get_speed_khz(), &speed_div1);
1609 if (ERROR_OK != retval)
1611 return retval;
1613 *speed = speed_div1;
1615 return ERROR_OK;
1618 static int jtag_rclk_to_speed(unsigned fallback_speed_khz, int* speed)
1620 int retval = adapter_khz_to_speed(0, speed);
1621 if ((ERROR_OK != retval) && fallback_speed_khz)
1623 LOG_DEBUG("trying fallback speed...");
1624 retval = adapter_khz_to_speed(fallback_speed_khz, speed);
1626 return retval;
1629 static int jtag_set_speed(int speed)
1631 jtag_speed = speed;
1632 /* this command can be called during CONFIG,
1633 * in which case jtag isn't initialized */
1634 return jtag ? jtag->speed(speed) : ERROR_OK;
1637 int jtag_config_khz(unsigned khz)
1639 LOG_DEBUG("handle jtag khz");
1640 clock_mode = CLOCK_MODE_KHZ;
1641 int speed = 0;
1642 int retval = adapter_khz_to_speed(khz, &speed);
1643 return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1646 int jtag_config_rclk(unsigned fallback_speed_khz)
1648 LOG_DEBUG("handle jtag rclk");
1649 clock_mode = CLOCK_MODE_RCLK;
1650 rclk_fallback_speed_khz = fallback_speed_khz;
1651 int speed = 0;
1652 int retval = jtag_rclk_to_speed(fallback_speed_khz, &speed);
1653 return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1656 int jtag_get_speed(int *speed)
1658 switch(clock_mode)
1660 case CLOCK_MODE_KHZ:
1661 adapter_khz_to_speed(jtag_get_speed_khz(), speed);
1662 break;
1663 case CLOCK_MODE_RCLK:
1664 jtag_rclk_to_speed(rclk_fallback_speed_khz, speed);
1665 break;
1666 default:
1667 LOG_ERROR("BUG: unknown jtag clock mode");
1668 return ERROR_FAIL;
1670 return ERROR_OK;
1673 int jtag_get_speed_readable(int *khz)
1675 int jtag_speed_var = 0;
1676 int retval = jtag_get_speed(&jtag_speed_var);
1677 if (retval != ERROR_OK)
1678 return retval;
1679 return jtag ? jtag->speed_div(jtag_speed_var, khz) : ERROR_OK;
1682 void jtag_set_verify(bool enable)
1684 jtag_verify = enable;
1687 bool jtag_will_verify()
1689 return jtag_verify;
1692 void jtag_set_verify_capture_ir(bool enable)
1694 jtag_verify_capture_ir = enable;
1697 bool jtag_will_verify_capture_ir()
1699 return jtag_verify_capture_ir;
1702 int jtag_power_dropout(int *dropout)
1704 if (jtag == NULL)
1706 /* TODO: as the jtag interface is not valid all
1707 * we can do at the moment is exit OpenOCD */
1708 LOG_ERROR("No Valid JTAG Interface Configured.");
1709 exit(-1);
1711 return jtag->power_dropout(dropout);
1714 int jtag_srst_asserted(int *srst_asserted)
1716 return jtag->srst_asserted(srst_asserted);
1719 enum reset_types jtag_get_reset_config(void)
1721 return jtag_reset_config;
1723 void jtag_set_reset_config(enum reset_types type)
1725 jtag_reset_config = type;
1728 int jtag_get_trst(void)
1730 return jtag_trst;
1732 int jtag_get_srst(void)
1734 return jtag_srst;
1737 void jtag_set_nsrst_delay(unsigned delay)
1739 adapter_nsrst_delay = delay;
1741 unsigned jtag_get_nsrst_delay(void)
1743 return adapter_nsrst_delay;
1745 void jtag_set_ntrst_delay(unsigned delay)
1747 jtag_ntrst_delay = delay;
1749 unsigned jtag_get_ntrst_delay(void)
1751 return jtag_ntrst_delay;
1755 void jtag_set_nsrst_assert_width(unsigned delay)
1757 adapter_nsrst_assert_width = delay;
1759 unsigned jtag_get_nsrst_assert_width(void)
1761 return adapter_nsrst_assert_width;
1763 void jtag_set_ntrst_assert_width(unsigned delay)
1765 jtag_ntrst_assert_width = delay;
1767 unsigned jtag_get_ntrst_assert_width(void)
1769 return jtag_ntrst_assert_width;
1772 static int jtag_select(struct command_context *ctx)
1774 int retval;
1776 /* NOTE: interface init must already have been done.
1777 * That works with only C code ... no Tcl glue required.
1780 retval = jtag_register_commands(ctx);
1782 if (retval != ERROR_OK)
1783 return retval;
1785 retval = svf_register_commands(ctx);
1787 if (retval != ERROR_OK)
1788 return retval;
1790 return xsvf_register_commands(ctx);
1793 static struct transport jtag_transport = {
1794 .name = "jtag",
1795 .select = jtag_select,
1796 .init = jtag_init,
1799 static void jtag_constructor(void) __attribute__((constructor));
1800 static void jtag_constructor(void)
1802 transport_register(&jtag_transport);
1805 /** Returns true if the current debug session
1806 * is using JTAG as its transport.
1808 bool transport_is_jtag(void)
1810 return get_current_transport() == &jtag_transport;