Merge branch '2888_cleanup'
[midnight-commander.git] / misc / syntax / vhdl.syntax
blobb5f8baa6959a062fb990ca19f13eba92c6ab1ec7
1 # Adam Pribyl, based on ADA
2 # modified: Andrew Borodin
3 # missing
4 # literal, on,  (something else)
6 caseinsensitive
8 context default
10 #wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_
12     keyword whole with yellow
13     keyword whole select yellow
14     keyword whole use yellow
15     keyword whole is yellow
16     keyword whole of yellow
17     keyword whole length yellow
18     keyword whole range yellow
19     keyword whole left yellow
20     keyword whole right yellow
21     keyword whole delta yellow
22     keyword whole return yellow
23     keyword whole next yellow
24     keyword whole null yellow
25     keyword whole array yellow
26     keyword whole downto yellow
27     keyword whole to yellow
28     keyword whole foreign yellow
30 # comment
31     keyword -- magenta
33 # expressions
34     keyword := brightgreen
35     keyword . brightgreen
36     keyword ; brightgreen
37     keyword : brightgreen
38     keyword , brightgreen
39     keyword ' brightgreen
40     keyword | brightgreen
41     keyword ( brightgreen
42     keyword ) brightgreen
43     keyword [ brightgreen
44     keyword ] brightgreen
45     keyword \+ brightgreen
46     keyword - brightgreen
47     keyword / brightgreen
48     keyword \* brightgreen
49     keyword \*\* brightgreen
50     keyword # brightgreen
51     keyword & brightgreen
52     keyword => brightgreen
53     keyword < brightgreen
54     keyword > brightgreen
55     keyword <= brightgreen
56     keyword >= brightgreen
57     keyword = brightgreen
58     keyword /= brightgreen
60 # operators and functions
61     keyword whole sll green
62     keyword whole srl green
63     keyword whole sla green
64     keyword whole sra green
65     keyword whole rol green
66     keyword whole ror green
67     keyword whole rem green
68     keyword whole mod green
69     keyword whole not green
70     keyword whole and green
71     keyword whole nand green
72     keyword whole or green
73     keyword whole xor green
74     keyword whole nor green
75     keyword whole xnor green
76     keyword whole abs green
77     keyword whole new green
79     keyword whole begin yellow
80     keyword whole end yellow
81     keyword whole exit yellow
82     keyword whole for yellow
83     keyword whole while yellow
84     keyword whole if yellow
85     keyword whole then yellow
86     keyword whole else yellow
87     keyword whole elsif yellow
88     keyword whole case yellow
89     keyword whole when yellow
90     keyword whole with yellow
91     keyword whole select yellow
92     keyword whole assert yellow
93     keyword    whole wait yellow
94     keyword    whole open yellow
95     keyword whole loop yellow
96     keyword whole until yellow
97     keyword whole others yellow
98     keyword whole all yellow
99     keyword whole block yellow
100     keyword whole guarded yellow
101     keyword whole after yellow
102     keyword whole transport yellow
103     keyword whole inertial yellow
104     keyword whole reject yellow
105     keyword whole unaffected yellow
106     keyword whole disconnect yellow
108 # types and related stuff
109     keyword whole integer cyan
110     keyword whole natural cyan
111     keyword whole positive cyan
112     keyword whole string cyan
113     keyword whole character cyan
114     keyword whole boolean cyan
115     keyword whole real cyan
116     keyword whole bit cyan
117     keyword whole bit_vector cyan
118     keyword whole time cyan
119     keyword whole units cyan
120     keyword whole std_logic cyan
121     keyword whole std_logic_vector cyan
122     keyword whole severity_level cyan
124 # boolean values
125     keyword whole true brightred
126     keyword whole false brightred
127 # time values
128     keyword whole fs brightred
129     keyword whole ps brightred
130     keyword whole ns brightred
131     keyword whole us brightred
132     keyword whole ms brightred
133     keyword whole sec brightred
134     keyword whole min brightred
135     keyword whole hr brightred
137 # declarations
138     keyword whole type brightcyan
139     keyword whole subtype brightcyan
141     keyword whole variable yellow
142     keyword whole shared yellow
143     keyword whole signal yellow
144     keyword whole constant yellow
145     keyword whole group yellow
146     keyword whole file yellow
147     keyword whole register yellow
149     keyword whole port yellow
150     keyword whole map yellow
151     keyword whole label yellow
152     keyword whole record yellow
153     keyword whole generic yellow
154     keyword whole alias yellow
155     keyword whole attribute yellow
157 # ports
158     keyword whole in white
159     keyword whole out white
160     keyword whole inout white
161     keyword whole buffer white
162     keyword whole linkage white
163     keyword whole bus white
165 # library units
166     keyword whole library yellow
167     keyword whole entity yellow
168     keyword whole architecture yellow
169     keyword whole package yellow
170     keyword whole body yellow
171     keyword whole procedure yellow
172     keyword whole function yellow
173     keyword whole pure yellow
174     keyword whole impure yellow
175     keyword whole configuration yellow
176     keyword whole component yellow
177     keyword whole generic yellow
178     keyword whole process yellow
179     keyword whole postponded yellow
180     keyword whole generate yellow
182 # reports
183     keyword whole report red
184     keyword whole severity red
185     keyword whole note red
186     keyword whole warning red
187     keyword whole error red
188     keyword whole failure red
190 # comment
191 context exclusive -- \n        magenta
193 context " " green