Merge branch '2888_cleanup'
[midnight-commander.git] / misc / syntax / perl.syntax
blob43c5e518957c121cb2908834b532b51f8117a6f0
1 context default
3 # subroutine prototypes
4     keyword (\[$%&\*;@[\\]\]) brightcyan
6     keyword $_ red
7     keyword $. red
8     keyword $/ red
9     keyword $, red
10     keyword $" red
11     keyword $\\ red
12     keyword $# red
13     keyword $\* red
14     keyword $? red
15     keyword $] red
16     keyword $[ red
17     keyword $; red
18     keyword $! red
19     keyword $@ red
20     keyword $: red
21     keyword $0 red
22     keyword $$ red
23     keyword $< red
24     keyword $> red
25     keyword $( red
26     keyword $) red
28     keyword $% red
29     keyword $= red
30     keyword $- red
31     keyword $~ red
32     keyword $| red
33     keyword $& red
34     keyword $` red
35     keyword $' red
36     keyword $\+ red
37     keyword $\{0123456789\}\{0123456789\} red
38     keyword $\{0123456789\} red
40     keyword $^A red
41     keyword $^D red
42     keyword $^E red
43     keyword $^I red
44     keyword $^L red
45     keyword $^P red
46     keyword $^T red
47     keyword $^W red
48     keyword $^X red
49     keyword $^A red
51     keyword @EXPORT_OK red
52     keyword @EXPORT red
53     keyword @INC red
54     keyword @ISA red
55     keyword @_ red
56     keyword @ENV red
57     keyword @OVERLOAD red
58     keyword @SIG red
61     keyword <+> brightred
62     keyword -> yellow
63     keyword => yellow
64     keyword > yellow
65     keyword < yellow
66     keyword \+ yellow
67     keyword - yellow
68     keyword \* yellow
69     keyword / yellow
70     keyword = yellow
71     keyword != yellow
72     keyword == yellow
73     keyword && yellow
74     keyword || yellow
75     keyword ! yellow
76     keyword whole ge yellow
77     keyword whole le yellow
78     keyword whole gt yellow
79     keyword whole lt yellow
80     keyword whole eq yellow
81     keyword whole ne yellow
82     keyword whole cmp yellow
83     keyword ~ yellow
84     keyword { brightcyan
85     keyword } brightcyan
86     keyword ( brightcyan
87     keyword ) brightcyan
88     keyword [ brightcyan
89     keyword ] brightcyan
90     keyword , brightcyan
91     keyword .. brightcyan
92     keyword : brightcyan
93     keyword ; brightmagenta
95     keyword whole sub yellow
96     keyword whole STDIN brightred
97     keyword whole STDOUT brightred
98     keyword whole STDERR brightred
99     keyword whole STDARGV brightred
100     keyword whole DATA brightred
102     keyword wholeright $+ brightgreen
103     keyword wholeright %+ brightcyan
104     keyword wholeright @+ white
105     keyword \\" brightred
106     keyword \\' brightred
108     keyword % yellow
109     keyword & brightmagenta
111     keyword whole and magenta
112     keyword whole bless magenta
113     keyword whole break magenta
114     keyword whole caller magenta
115     keyword whole do magenta
116     keyword whole else magenta
117     keyword whole elsif magenta
118     keyword whole foreach magenta
119     keyword whole for magenta
120     keyword whole goto magenta
121     keyword whole if magenta
122     keyword whole import magenta
123     keyword whole last magenta
124     keyword whole next magenta
125     keyword whole not magenta
126     keyword whole or magenta
127     keyword whole package magenta
128     keyword whole require magenta
129     keyword whole return magenta
130     keyword whole unless magenta
131     keyword whole untie magenta
132     keyword whole until magenta
133     keyword whole use magenta
134     keyword whole while magenta
136     keyword whole diagnostics brightcyan
137     keyword whole integer brightcyan
138     keyword whole less brightcyan
139     keyword whole lib brightcyan
140     keyword whole ops brightcyan
141     keyword whole overload brightcyan
142     keyword whole sigtrap brightcyan
143     keyword whole strict brightcyan
144     keyword whole vars brightcyan
145     keyword whole warnings brightcyan
147     keyword whole abs yellow
148     keyword whole atan2 yellow
149     keyword whole chr yellow
150     keyword whole cos yellow
151     keyword whole exp yellow
152     keyword whole gmtime yellow
153     keyword whole hex yellow
154     keyword whole int yellow
155     keyword whole localtime yellow
156     keyword whole log yellow
157     keyword whole oct yellow
158     keyword whole ord yellow
159     keyword whole pack yellow
160     keyword whole rand yellow
161     keyword whole sin yellow
162     keyword whole sqrt yellow
163     keyword whole srand yellow
164     keyword whole time yellow
165     keyword whole unpack yellow
166     keyword whole vec yellow
168     keyword whole chomp yellow
169     keyword whole chop yellow
170     keyword whole crypt yellow
171     keyword whole eval yellow
172     keyword whole index yellow
173     keyword whole lc yellow
174     keyword whole lcfirst yellow
175     keyword whole length yellow
176     keyword whole quotemeta yellow
177     keyword whole rindex yellow
178     keyword whole substr yellow
179     keyword whole uc yellow
180     keyword whole ucfirst yellow
182     keyword whole delete yellow
183     keyword whole each yellow
184     keyword whole exists yellow
185     keyword whole grep yellow
186     keyword whole join yellow
187     keyword whole keys yellow
188     keyword whole map yellow
189     keyword whole pop yellow
190     keyword whole push yellow
191     keyword whole reverse yellow
192     keyword whole scalar yellow
193     keyword whole shift yellow
194     keyword whole sort yellow
195     keyword whole splice yellow
196     keyword whole split yellow
197     keyword whole unshift yellow
198     keyword whole values yellow
200     keyword whole chmod yellow
201     keyword whole chown yellow
202     keyword whole link yellow
203     keyword whole lstat yellow
204     keyword whole mkdir yellow
205     keyword whole readlink yellow
206     keyword whole rename yellow
207     keyword whole rmdir yellow
208     keyword whole stat yellow
209     keyword whole symlink yellow
210     keyword whole truncate yellow
211     keyword whole unlink yellow
212     keyword whole utime yellow
214     keyword whole binmode yellow
215     keyword whole close yellow
216     keyword whole dbmclose yellow
217     keyword whole dbmopen yellow
218     keyword whole eof yellow
219     keyword whole fcntl yellow
220     keyword whole fileno yellow
221     keyword whole flock yellow
222     keyword whole getc yellow
223     keyword whole ioctl yellow
224     keyword whole open yellow
225     keyword whole pipe yellow
226     keyword whole print yellow
227     keyword whole printf yellow
228     keyword whole read yellow
229     keyword whole seek yellow
230     keyword whole select yellow
231     keyword whole sprintf yellow
232     keyword whole sysopen yellow
233     keyword whole sysread yellow
234     keyword whole syswrite yellow
235     keyword whole tell yellow
237     keyword whole formline yellow
238     keyword whole write yellow
240     keyword whole closedir yellow
241     keyword whole opendir yellow
242     keyword whole readdir yellow
243     keyword whole rewinddir yellow
244     keyword whole seekdir yellow
245     keyword whole telldir yellow
247     keyword whole alarm yellow
248     keyword whole chdir yellow
249     keyword whole chroot yellow
250     keyword whole die yellow
251     keyword whole exec yellow
252     keyword whole exit yellow
253     keyword whole fork yellow
254     keyword whole getlogin yellow
255     keyword whole getpgrp yellow
256     keyword whole getppid yellow
257     keyword whole getpriority yellow
258     keyword whole glob yellow
259     keyword whole kill yellow
260     keyword whole setpgrp yellow
261     keyword whole setpriority yellow
262     keyword whole sleep yellow
263     keyword whole syscall yellow
264     keyword whole system yellow
265     keyword whole times yellow
266     keyword whole umask yellow
267     keyword whole waitpid yellow
268     keyword whole wait yellow
269     keyword whole warn yellow
271     keyword whole accept yellow
272     keyword whole bind yellow
273     keyword whole connect yellow
274     keyword whole getpeername yellow
275     keyword whole getsockname yellow
276     keyword whole getsockopt yellow
277     keyword whole listen yellow
278     keyword whole recv yellow
279     keyword whole send yellow
280     keyword whole setsockopt yellow
281     keyword whole shutdown yellow
282     keyword whole socketpair yellow
283     keyword whole socket yellow
285     keyword whole msgctl yellow
286     keyword whole msgget yellow
287     keyword whole msgrcv yellow
288     keyword whole msgsnd yellow
289     keyword whole semctl yellow
290     keyword whole semget yellow
291     keyword whole semop yellow
292     keyword whole shmctl yellow
293     keyword whole shmget yellow
294     keyword whole shmread yellow
295     keyword whole shmwrite yellow
297     keyword whole defined yellow
298     keyword whole dump yellow
299     keyword whole eval yellow
300     keyword whole local yellow
301     keyword whole my yellow
302     keyword whole our yellow
303     keyword whole ref yellow
304     keyword whole reset yellow
305     keyword whole scalar yellow
306     keyword whole undef yellow
307     keyword whole wantarray yellow
309     keyword whole endgrent yellow
310     keyword whole endpwent yellow
311     keyword whole getgrent yellow
312     keyword whole getgrgid yellow
313     keyword whole getgrnam yellow
314     keyword whole getpwent yellow
315     keyword whole getpwnam yellow
316     keyword whole getpwuid yellow
317     keyword whole setgrent yellow
318     keyword whole setpwent yellow
320     keyword whole endhostent yellow
321     keyword whole gethostbyaddr yellow
322     keyword whole gethostbyname yellow
323     keyword whole gethostent yellow
324     keyword whole sethostent yellow
326     keyword whole endnetent yellow
327     keyword whole endprotoent yellow
328     keyword whole endservent yellow
329     keyword whole getnetbyaddr yellow
330     keyword whole getnetbyname yellow
331     keyword whole getnetent yellow
332     keyword whole getprotobyname yellow
333     keyword whole getprotobynumber yellow
334     keyword whole getprotoent yellow
335     keyword whole getservbyname yellow
336     keyword whole getservbyport yellow
337     keyword whole getservent yellow
338     keyword whole serservent yellow
339     keyword whole setnetent yellow
340     keyword whole setprotoent yellow
342 context linestart #! \n brightcyan black
344 context exclusive whole <\[\s\\\]EOF EOF green
346 context # \n brown
347     spellcheck
349 context exclusive linestart = linestart =cut brown
350     spellcheck
352 context " " green
353     keyword \\" brightgreen
354     keyword \\\\ brightgreen
356 context ' ' brightgreen
357     keyword \\' green
358     keyword \\\\ green
360 context exclusive qw( ) green
362 context exclusive ` ` white black
364 context whole __END__ guacomale_pudding brown