some coherence with the english version manual adjusted...
[midnight-commander.git] / syntax / perl.syntax
blob815c28da94ca65b67e27bddd52a3f04edcc3a78f
1 context default
2     keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/bin/perl brightcyan black
3     keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/bin/perl brightcyan black
4     keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/\[abcdefghijklmnopqrstuvwxyz\]/bin/perl brightcyan black
5     keyword whole linestart #!\[\s\]/\[abcdefghijklmnopqrstuvwxyz\]/bin/perl brightcyan black
6     keyword whole linestart #!\[\s\]/bin/perl brightcyan black
8     keyword $_ red/orange
9     keyword $. red/orange
10     keyword $/ red/orange
11     keyword $, red/orange
12     keyword $" red/orange
13     keyword $\\ red/orange
14     keyword $# red/orange
15     keyword $\* red/orange
16     keyword $? red/orange
17     keyword $] red/orange
18     keyword $[ red/orange
19     keyword $; red/orange
20     keyword $! red/orange
21     keyword $@ red/orange
22     keyword $: red/orange
23     keyword $0 red/orange
24     keyword $$ red/orange
25     keyword $< red/orange
26     keyword $> red/orange
27     keyword $( red/orange
28     keyword $) red/orange
30     keyword $% red/orange
31     keyword $= red/orange
32     keyword $- red/orange
33     keyword $~ red/orange
34     keyword $| red/orange
35     keyword $& red/orange
36     keyword $` red/orange
37     keyword $' red/orange
38     keyword $\+ red/orange
39     keyword $\{0123456789\}\{0123456789\} red/orange
40     keyword $\{0123456789\} red/orange
42     keyword $^A red/orange
43     keyword $^D red/orange
44     keyword $^E red/orange
45     keyword $^I red/orange
46     keyword $^L red/orange
47     keyword $^P red/orange
48     keyword $^T red/orange
49     keyword $^W red/orange
50     keyword $^X red/orange
51     keyword $^A red/orange
53     keyword @EXPORT red/orange
54     keyword @EXPORT_OK red/orange
55     keyword @INC red/orange
56     keyword @ISA red/orange
57     keyword @_ red/orange
58     keyword @ENV red/orange
59     keyword @OVERLOAD red/orange
60     keyword @SIG red/orange
63     keyword <+> brightred
64     keyword -> yellow
65     keyword => yellow
66     keyword > yellow
67     keyword < yellow
68     keyword \+ yellow
69     keyword - yellow
70     keyword \* yellow
71     keyword / yellow
72     keyword % yellow
73     keyword = yellow
74     keyword != yellow
75     keyword == yellow
76     keyword && yellow
77     keyword || yellow
78     keyword ! yellow
79     keyword whole ge yellow
80     keyword whole le yellow
81     keyword whole gt yellow
82     keyword whole lt yellow
83     keyword whole eq yellow
84     keyword whole ne yellow
85     keyword whole cmp yellow
86     keyword ~ yellow
87     keyword { brightcyan
88     keyword } brightcyan
89     keyword ( brightcyan
90     keyword ) brightcyan
91     keyword [ brightcyan
92     keyword ] brightcyan
93     keyword , brightcyan
94     keyword .. brightcyan
95     keyword : brightcyan
96     keyword ; brightmagenta
98     keyword whole sub yellow
99     keyword whole STDIN brightred
100     keyword whole STDOUT brightred
101     keyword whole STDERR brightred
102     keyword whole STDARGV brightred
103     keyword whole DATA brightred
105     keyword & brightmagenta
106     keyword wholeright $+ brightgreen/PaleGreen
107     keyword wholeright %+ brightcyan
108     keyword wholeright @+ white
109     keyword \\" brightred
110     keyword \\' brightred
112     keyword whole and magenta
113     keyword whole or magenta
114     keyword whole not magenta
115     keyword whole do magenta
116     keyword whole if magenta
117     keyword whole until magenta
118     keyword whole elsif magenta
119     keyword whole else magenta
120     keyword whole unless magenta
121     keyword whole while magenta
122     keyword whole foreach magenta
123     keyword whole for magenta
124     keyword whole goto magenta
125     keyword whole last magenta
126     keyword whole next magenta
127     keyword whole bless magenta
128     keyword whole caller magenta
129     keyword whole import magenta
130     keyword whole package magenta
131     keyword whole require magenta
132     keyword whole return magenta
133     keyword whole untie magenta
134     keyword whole use magenta
136     keyword whole diagnostics brightcyan
137     keyword whole integer brightcyan
138     keyword whole less brightcyan
139     keyword whole lib brightcyan
140     keyword whole ops brightcyan
141     keyword whole overload brightcyan
142     keyword whole sigtrap brightcyan
143     keyword whole strict brightcyan
144     keyword whole vars brightcyan
146     keyword whole abs yellow
147     keyword whole atan2 yellow
148     keyword whole cos yellow
149     keyword whole exp yellow
150     keyword whole int yellow
151     keyword whole log yellow
152     keyword whole rand yellow
153     keyword whole sin yellow
154     keyword whole sqrt yellow
155     keyword whole srand yellow
156     keyword whole time yellow
157     keyword whole chr yellow
158     keyword whole gmtime yellow
159     keyword whole hex yellow
160     keyword whole localtime yellow
161     keyword whole oct yellow
162     keyword whole ord yellow
163     keyword whole vec yellow
164     keyword whole pack yellow
165     keyword whole unpack yellow
167     keyword whole chomp yellow/YellowGreen
168     keyword whole chop yellow/YellowGreen
169     keyword whole crypt yellow/YellowGreen
170     keyword whole eval yellow/YellowGreen
171     keyword whole index yellow/YellowGreen
172     keyword whole length yellow/YellowGreen
173     keyword whole lc yellow/YellowGreen
174     keyword whole lcfirst yellow/YellowGreen
175     keyword whole quotemeta yellow/YellowGreen
176     keyword whole rindex yellow/YellowGreen
177     keyword whole substr yellow/YellowGreen
178     keyword whole uc yellow/YellowGreen
179     keyword whole ucfirst yellow/YellowGreen
181     keyword whole delete yellow
182     keyword whole each yellow
183     keyword whole exists yellow
184     keyword whole grep yellow
185     keyword whole join yellow
186     keyword whole keys yellow
187     keyword whole map yellow
188     keyword whole pop yellow
189     keyword whole push yellow
190     keyword whole reverse yellow
191     keyword whole scalar yellow
192     keyword whole shift yellow
193     keyword whole sort yellow
194     keyword whole splice yellow
195     keyword whole split yellow
196     keyword whole unshift yellow
197     keyword whole values yellow
199     keyword whole chmod yellow
200     keyword whole chown yellow
201     keyword whole truncate yellow
202     keyword whole link yellow
203     keyword whole lstat yellow
204     keyword whole mkdir yellow
205     keyword whole readlink yellow
206     keyword whole rename yellow
207     keyword whole rmdir yellow
208     keyword whole stat yellow
209     keyword whole symlink yellow
210     keyword whole unlink yellow
211     keyword whole utime yellow
213     keyword whole binmade yellow
214     keyword whole close yellow
215     keyword whole dbmclose yellow
216     keyword whole dbmopen yellow
217     keyword whole binmade yellow
218     keyword whole eof yellow
219     keyword whole fcntl yellow
220     keyword whole fileno yellow
221     keyword whole flock yellow
222     keyword whole getc yellow
223     keyword whole ioctl yellow
224     keyword whole open yellow
225     keyword whole pipe yellow
226     keyword whole print yellow
227     keyword whole printf yellow
228     keyword whole read yellow
229     keyword whole seek yellow
230     keyword whole select yellow
231     keyword whole sprintf yellow
232     keyword whole sysopen yellow
233     keyword whole sysread yellow
234     keyword whole syswrite yellow
235     keyword whole tell yellow
237     keyword whole formline yellow
238     keyword whole write yellow
240     keyword whole closedir yellow
241     keyword whole opendir yellow
242     keyword whole readdir yellow
243     keyword whole rewinddir yellow
244     keyword whole seekdir yellow
245     keyword whole telldir yellow
247     keyword whole alarm yellow
248     keyword whole chdir yellow
249     keyword whole chroot yellow
250     keyword whole die yellow
251     keyword whole exec yellow
252     keyword whole exit yellow
253     keyword whole fork yellow
254     keyword whole getlogin yellow
255     keyword whole getpgrp yellow
256     keyword whole getppid yellow
257     keyword whole getpriority yellow
258     keyword whole glob yellow
259     keyword whole kill yellow
260     keyword whole setpgrp yellow
261     keyword whole setpriority yellow
262     keyword whole sleep yellow
263     keyword whole syscall yellow
264     keyword whole system yellow
265     keyword whole times yellow
266     keyword whole umask yellow
267     keyword whole wait yellow
268     keyword whole waitpid yellow
269     keyword whole warn yellow
271     keyword whole accept yellow
272     keyword whole bind yellow
273     keyword whole connect yellow
274     keyword whole getpeername yellow
275     keyword whole getsockname yellow
276     keyword whole getsockopt yellow
277     keyword whole listen yellow
278     keyword whole recv yellow
279     keyword whole send yellow
280     keyword whole setsockopt yellow
281     keyword whole shutdown yellow
282     keyword whole socket yellow
283     keyword whole socketpair yellow
285     keyword whole msgctl yellow
286     keyword whole msgget yellow
287     keyword whole msgsnd yellow
288     keyword whole msgrcv yellow
289     keyword whole semctl yellow
290     keyword whole semget yellow
291     keyword whole semop yellow
292     keyword whole shmctl yellow
293     keyword whole shmget yellow
294     keyword whole shmread yellow
295     keyword whole shmwrite yellow
297     keyword whole defined yellow
298     keyword whole dump yellow
299     keyword whole eval yellow
300     keyword whole local yellow
301     keyword whole my yellow
302     keyword whole ref yellow
303     keyword whole reset yellow
304     keyword whole scalar yellow
305     keyword whole undef yellow
306     keyword whole wantarray yellow
308     keyword whole endpwent yellow
309     keyword whole getpwent yellow
310     keyword whole getpwnam yellow
311     keyword whole getpwuid yellow
312     keyword whole setpwent yellow
313     keyword whole endgrent yellow
314     keyword whole getgrgid yellow
315     keyword whole getgrnam yellow
316     keyword whole getgrent yellow
317     keyword whole setgrent yellow
319     keyword whole endhostent yellow
320     keyword whole gethostbyaddr yellow
321     keyword whole gethostbyname yellow
322     keyword whole gethostent yellow
323     keyword whole sethostent yellow
325     keyword whole endnetent yellow
326     keyword whole getnetbyaddr yellow
327     keyword whole getnetbyname yellow
328     keyword whole getnetent yellow
329     keyword whole setnetent yellow
330     keyword whole endservent yellow
331     keyword whole getservbyname yellow
332     keyword whole getservbyport yellow
333     keyword whole getservent yellow
334     keyword whole serservent yellow
335     keyword whole endprotoent yellow
336     keyword whole getprotobyname yellow
337     keyword whole getprotobynumber yellow
338     keyword whole getprotoent yellow
339     keyword whole setprotoent yellow
341 context exclusive whole <\[\s\\\]EOF EOF green
342 context # \n brown
343     spellcheck
344 context linestart = =cut brown
345 context " " green
346     keyword \\" brightgreen
347     keyword \\\\ brightgreen
348 context ' ' brightgreen
349     keyword \\' green
350     keyword \\\\ green
352 context exclusive ` ` white black
354 context whole __END__ guacomale_pudding brown