conveyor graphics fix
[jetset.git] / x11gfx.d
blobbd2813a3cd10f76d5056b7c150473959992df779
1 /* coded by Ketmar // Invisible Vector <ketmar@ketmar.no-ip.org>
2 * Understanding is not required. Only obedience.
3 * Based on Jet-Set Willy, v1.0.1 by <Florent.Guillaume@ens.fr>
4 * Linux port and preliminary sound by jmd@dcs.ed.ac.uk
6 * This program is free software: you can redistribute it and/or modify
7 * it under the terms of the GNU General Public License as published by
8 * the Free Software Foundation, either version 3 of the License, or
9 * (at your option) any later version.
11 * This program is distributed in the hope that it will be useful,
12 * but WITHOUT ANY WARRANTY; without even the implied warranty of
13 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
14 * GNU General Public License for more details.
16 * You should have received a copy of the GNU General Public License
17 * along with this program. If not, see <http://www.gnu.org/licenses/>.
19 module x11gfx;
21 import arsd.simpledisplay;
22 //import arsd.color;
25 // ////////////////////////////////////////////////////////////////////////// //
26 // 0:b; 1:g; 2:r; 3: nothing
27 __gshared int vbufW = 256, vbufH = 192; // 128
28 __gshared uint[] vbuf;
29 __gshared bool blit2x = true;
30 enum BlitType { Normal, BlackWhite, Green, Red }
31 __gshared int blitType = BlitType.Normal;
32 __gshared int blitShine = 0; // adds this to non-black colors
33 __gshared Image vbimg;
34 __gshared SimpleWindow vbwin;
37 // ////////////////////////////////////////////////////////////////////////// //
38 void x11gfxDeinit () {
39 flushGui();
40 if (vbimg !is null) delete vbimg;
41 if (vbwin !is null) { if (!vbwin.closed) vbwin.close(); delete vbwin; }
42 if (vbuf !is null) delete vbuf;
43 vbimg = null;
44 vbwin = null;
45 vbuf = null;
46 flushGui();
50 SimpleWindow x11gfxInit (string title) {
51 if (vbufW < 1 || vbufH < 1 || vbufW > 4096 || vbufH > 4096) assert(0, "invalid dimensions");
52 initVBuf();
53 vbwin = new SimpleWindow(vbufW*(blit2x ? 2 : 1), vbufH*(blit2x ? 2 : 1), title, OpenGlOptions.no, Resizablity.fixedSize);
54 vbimg = new Image(vbufW*(blit2x ? 2 : 1), vbufH*(blit2x ? 2 : 1));
55 return vbwin;
59 void x11gfxBlit () {
60 if (vbwin is null || vbwin.closed) return;
61 auto painter = vbwin.draw();
62 painter.drawImage(Point(0, 0), vbimg);
66 // ////////////////////////////////////////////////////////////////////////// //
67 final class X11Image {
68 int width, height;
69 VColor[] data;
71 this (int w, int h) {
72 assert(w > 0 && w <= 4096);
73 assert(h > 0 && h <= 4096);
74 width = w;
75 height = h;
76 data.length = w*h;
77 data[] = Transparent;
80 VColor getPixel (int x, int y) const {
81 return (x >= 0 && y >= 0 && x < width && y < height ? data[y*width+x] : Transparent);
84 void setPixel (int x, int y, VColor c) {
85 if (x >= 0 && y >= 0 && x < width && y < height) data[y*width+x] = c;
88 void blitFast (int x, int y) const {
89 if (width < 1 || height < 1) return;
90 if (x <= -width || y <= -height) return;
91 if (x >= vbufW || y >= vbufH) return;
92 auto src = cast(const(VColor)*)data.ptr;
93 if (x >= 0 && y >= 0 && x+width < vbufW && y+height < vbufH) {
94 auto d = cast(uint*)vbuf.ptr;
95 d += vbufW*y+x;
96 foreach (int dy; 0..height) {
97 d[0..width] = src[0..width];
98 src += width;
99 d += vbufW;
101 } else {
102 foreach (int dy; 0..height) {
103 foreach (int dx; 0..width) {
104 .setPixel(x+dx, y+dy, *src++);
110 void blit (int x, int y) const {
111 if (width < 1 || height < 1) return;
112 if (x <= -width || y <= -height) return;
113 if (x >= vbufW || y >= vbufH) return;
114 auto src = cast(const(VColor)*)data.ptr;
115 foreach (int dy; 0..height) {
116 foreach (int dx; 0..width) {
117 putPixel(x+dx, y+dy, *src++);
124 private void initVBuf () {
125 vbuf.length = vbufW*vbufH;
126 vbuf[] = 0;
130 private {
131 void blit2xImpl(string op, bool scanlines=true) (Image img) {
132 enum ShineMixin = q{
133 if (blitShine && c0) {
135 immutable ubyte xc0 = clampToByte(cast(int)(c0&0xff ? cast(int)(c0&0xff)+blitShine : 0));
136 immutable ubyte xc1 = clampToByte(cast(int)((c0>>8)&0xff ? cast(int)((c0>>8)&0xff)+blitShine : 0));
137 immutable ubyte xc2 = clampToByte(cast(int)((c0>>16)&0xff ? cast(int)((c0>>16)&0xff)+blitShine : 0));
139 immutable ubyte xc0 = clampToByte(cast(int)(cast(int)(c0&0xff)+blitShine));
140 immutable ubyte xc1 = clampToByte(cast(int)(cast(int)((c0>>8)&0xff)+blitShine));
141 immutable ubyte xc2 = clampToByte(cast(int)(cast(int)((c0>>16)&0xff)+blitShine));
142 c0 = xc0|(xc1<<8)|(xc2<<16);
145 static if (UsingSimpledisplayX11) {
146 auto s = cast(const(ubyte)*)vbuf.ptr;
147 immutable iw = img.width;
148 auto dd = cast(uint*)img.getDataPointer;
149 foreach (immutable int dy; 0..vbufH) {
150 if (dy*2+1 >= img.height) return;
151 auto d = dd+iw*(dy*2);
152 foreach (immutable int dx; 0..vbufW) {
153 if (dx+1 < iw) {
154 static if (op.length) mixin(op);
155 mixin(ShineMixin);
156 static if (scanlines) {
157 immutable uint c1 = ((((c0&0x00ff00ff)*6)>>3)&0x00ff00ff)|(((c0&0x0000ff00)*6)>>3)&0x0000ff00;
158 } else {
159 alias c1 = c0;
161 d[0] = d[1] = c0;
162 d[iw+0] = d[iw+1] = c1;
163 d += 2;
164 s += 4;
168 } else {
169 // this sux
170 immutable bpp = img.bytesPerPixel();
171 immutable rofs = img.redByteOffset;
172 immutable gofs = img.greenByteOffset;
173 immutable bofs = img.blueByteOffset;
174 immutable nlo = img.adjustmentForNextLine;
175 auto s = cast(const(ubyte)*)vbuf.ptr;
176 immutable iw = img.width;
177 auto dd = cast(ubyte*)img.getDataPointer;
178 foreach (immutable int dy; 0..vbufH) {
179 if (dy*2+1 >= img.height) return;
180 auto d = dd+img.offsetForPixel(0, dy*2);
181 foreach (immutable int dx; 0..vbufW) {
182 if (dx+1 < iw) {
183 static if (op.length) mixin(op);
184 mixin(ShineMixin);
185 static if (scanlines) {
186 immutable uint c1 = ((((c0&0x00ff00ff)*6)>>3)&0x00ff00ff)|(((c0&0x0000ff00)*6)>>3)&0x0000ff00;
187 } else {
188 alias c1 = c0;
190 d[bofs] = d[bofs+bpp] = c0&0xff;
191 d[gofs] = d[gofs+bpp] = (c0>>8)&0xff;
192 d[rofs] = d[rofs+bpp] = (c0>>16)&0xff;
193 d[bofs+nlo] = d[bofs+nlo+bpp] = c0&0xff;
194 d[gofs+nlo] = d[gofs+nlo+bpp] = (c0>>8)&0xff;
195 d[rofs+nlo] = d[rofs+nlo+bpp] = (c0>>16)&0xff;
196 d += bpp*2;
197 s += 4;
204 alias blit2xTV = blit2xImpl!"uint c0 = (cast(immutable(uint)*)s)[0];";
205 alias blit2xTVBW = blit2xImpl!"immutable ubyte i = cast(ubyte)((s[0]*28+s[1]*151+s[2]*77)/256); uint c0 = (i<<16)|(i<<8)|i;";
206 alias blit2xTVGreen = blit2xImpl!"immutable ubyte i = cast(ubyte)((s[0]*28+s[1]*151+s[2]*77)/256); uint c0 = i<<8;";
207 alias blit2xTVRed = blit2xImpl!"immutable ubyte i = cast(ubyte)((s[0]*28+s[1]*151+s[2]*77)/256); uint c0 = i<<16;";
211 void realizeVBuf (/*Image img*/) {
212 if (vbimg is null) return;
213 Image img = vbimg;
215 auto sp = vbuf.ptr;
216 auto dp = cast(uint*)img.getDataPointer;
217 import core.stdc.string : memcpy;
218 memcpy(dp, sp, vbufW*vbufH*4);
220 if (blit2x) {
221 if (img.width < vbufW*2 || img.height < vbufH*2) return;
222 switch (blitType) {
223 case BlitType.BlackWhite: blit2xTVBW(img); break;
224 case BlitType.Green: blit2xTVGreen(img); break;
225 case BlitType.Red: blit2xTVRed(img); break;
226 default: blit2xTV(img); break;
228 } else {
229 if (img.width < vbufW || img.height < vbufH) return;
230 static if (UsingSimpledisplayX11) {
231 auto dp = cast(uint*)img.getDataPointer;
232 dp[0..vbufW*vbufH] = vbuf.ptr[0..vbufW*vbufH];
233 } else {
234 // this sux
235 auto sp = cast(ubyte*)vbuf.ptr;
236 auto dp = cast(ubyte*)img.getDataPointer;
237 immutable bpp = img.bytesPerPixel();
238 immutable rofs = img.redByteOffset;
239 immutable gofs = img.greenByteOffset;
240 immutable bofs = img.blueByteOffset;
241 foreach (immutable y; 0..vbufH) {
242 auto d = dp+img.offsetForTopLeftPixel;
243 foreach (immutable x; 0..vbufW) {
244 d[bofs] = *sp++;
245 d[gofs] = *sp++;
246 d[rofs] = *sp++;
247 ++sp;
248 d += bpp;
256 // ////////////////////////////////////////////////////////////////////////// //
257 ubyte clampToByte(T) (T n) @safe pure nothrow @nogc
258 if (__traits(isIntegral, T) && (T.sizeof == 2 || T.sizeof == 4))
260 static if (__VERSION__ > 2067) pragma(inline, true);
261 n &= -cast(int)(n >= 0);
262 return cast(ubyte)(n|((255-cast(int)n)>>31));
265 ubyte clampToByte(T) (T n) @safe pure nothrow @nogc
266 if (__traits(isIntegral, T) && T.sizeof == 1)
268 static if (__VERSION__ > 2067) pragma(inline, true);
269 return cast(ubyte)n;
273 // ////////////////////////////////////////////////////////////////////////// //
274 alias VColor = uint;
276 /// vlRGBA struct to ease color components extraction/replacing
277 align(1) struct vlRGBA {
278 align(1):
279 ubyte b, g, r, a;
281 static assert(vlRGBA.sizeof == VColor.sizeof);
284 enum : VColor {
285 vlAMask = 0xff000000u,
286 vlRMask = 0x00ff0000u,
287 vlGMask = 0x0000ff00u,
288 vlBMask = 0x000000ffu
291 enum : VColor {
292 vlAShift = 24,
293 vlRShift = 16,
294 vlGShift = 8,
295 vlBShift = 0
299 enum VColor Transparent = vlAMask; /// completely transparent pixel color
302 bool isTransparent(T : VColor) (T col) @safe pure nothrow @nogc {
303 static if (__VERSION__ > 2067) pragma(inline, true);
304 return ((col&vlAMask) == vlAMask);
307 bool isOpaque(T : VColor) (T col) @safe pure nothrow @nogc {
308 static if (__VERSION__ > 2067) pragma(inline, true);
309 return ((col&vlAMask) == 0);
312 // a=0: opaque
313 VColor rgbcol(TR, TG, TB, TA=ubyte) (TR r, TG g, TB b, TA a=0) @safe pure nothrow @nogc
314 if (__traits(isIntegral, TR) && __traits(isIntegral, TG) && __traits(isIntegral, TB) && __traits(isIntegral, TA)) {
315 static if (__VERSION__ > 2067) pragma(inline, true);
316 return
317 (clampToByte(a)<<vlAShift)|
318 (clampToByte(r)<<vlRShift)|
319 (clampToByte(g)<<vlGShift)|
320 (clampToByte(b)<<vlBShift);
323 alias rgbacol = rgbcol;
326 // generate some templates
327 private enum genRGBGetSet(string cname) =
328 "ubyte rgb"~cname~"() (VColor clr) @safe pure nothrow @nogc {\n"~
329 " static if (__VERSION__ > 2067) pragma(inline, true);\n"~
330 " return ((clr>>vl"~cname[0]~"Shift)&0xff);\n"~
331 "}\n"~
332 "VColor rgbSet"~cname~"(T) (VColor clr, T v) @safe pure nothrow @nogc if (__traits(isIntegral, T)) {\n"~
333 " static if (__VERSION__ > 2067) pragma(inline, true);\n"~
334 " return (clr&~vl"~cname[0]~"Mask)|(clampToByte(v)<<vl"~cname[0]~"Shift);\n"~
335 "}\n";
337 mixin(genRGBGetSet!"Alpha");
338 mixin(genRGBGetSet!"Red");
339 mixin(genRGBGetSet!"Green");
340 mixin(genRGBGetSet!"Blue");
343 void putPixel(TX, TY) (TX x, TY y, VColor col) @trusted
344 if (__traits(isIntegral, TX) && __traits(isIntegral, TY))
346 static if (__VERSION__ > 2067) pragma(inline, true);
347 immutable long xx = cast(long)x;
348 immutable long yy = cast(long)y;
349 if ((col&vlAMask) != vlAMask && xx >= 0 && yy >= 0 && xx < vbufW && yy < vbufH) {
350 uint* da = vbuf.ptr+yy*vbufW+xx;
351 if (col&vlAMask) {
352 immutable uint a = 256-(col>>24); // to not loose bits
353 immutable uint dc = (*da)&0xffffff;
354 immutable uint srb = (col&0xff00ff);
355 immutable uint sg = (col&0x00ff00);
356 immutable uint drb = (dc&0xff00ff);
357 immutable uint dg = (dc&0x00ff00);
358 immutable uint orb = (drb+(((srb-drb)*a+0x800080)>>8))&0xff00ff;
359 immutable uint og = (dg+(((sg-dg)*a+0x008000)>>8))&0x00ff00;
360 *da = orb|og;
361 } else {
362 *da = col;
367 void setPixel(TX, TY) (TX x, TY y, VColor col) @trusted
368 if (__traits(isIntegral, TX) && __traits(isIntegral, TY))
370 static if (__VERSION__ > 2067) pragma(inline, true);
371 immutable long xx = cast(long)x;
372 immutable long yy = cast(long)y;
373 if (xx >= 0 && yy >= 0 && xx < vbufW && yy < vbufH) {
374 uint* da = vbuf.ptr+yy*vbufW+xx;
375 *da = col;
380 void drawLine(bool lastPoint=true) (int x0, int y0, int x1, int y1, immutable VColor col) {
381 enum swap(string a, string b) = "{int tmp_="~a~";"~a~"="~b~";"~b~"=tmp_;}";
383 if ((col&vlAMask) == vlAMask) return;
385 if (x0 == x1 && y0 == y1) {
386 static if (lastPoint) putPixel(x0, y0, col);
387 return;
390 // clip rectange
391 int wx0 = 0, wy0 = 0, wx1 = vbufW-1, wy1 = vbufH-1;
392 // other vars
393 int stx, sty; // "steps" for x and y axes
394 int dsx, dsy; // "lengthes" for x and y axes
395 int dx2, dy2; // "double lengthes" for x and y axes
396 int xd, yd; // current coord
397 int e; // "error" (as in bresenham algo)
398 int rem;
399 int term;
400 int *d0, d1;
401 // horizontal setup
402 if (x0 < x1) {
403 // from left to right
404 if (x0 > wx1 || x1 < wx0) return; // out of screen
405 stx = 1; // going right
406 } else {
407 // from right to left
408 if (x1 > wx1 || x0 < wx0) return; // out of screen
409 stx = -1; // going left
410 x0 = -x0;
411 x1 = -x1;
412 wx0 = -wx0;
413 wx1 = -wx1;
414 mixin(swap!("wx0", "wx1"));
416 // vertical setup
417 if (y0 < y1) {
418 // from top to bottom
419 if (y0 > wy1 || y1 < wy0) return; // out of screen
420 sty = 1; // going down
421 } else {
422 // from bottom to top
423 if (y1 > wy1 || y0 < wy0) return; // out of screen
424 sty = -1; // going up
425 y0 = -y0;
426 y1 = -y1;
427 wy0 = -wy0;
428 wy1 = -wy1;
429 mixin(swap!("wy0", "wy1"));
431 dsx = x1-x0;
432 dsy = y1-y0;
433 if (dsx < dsy) {
434 d0 = &yd;
435 d1 = &xd;
436 mixin(swap!("x0", "y0"));
437 mixin(swap!("x1", "y1"));
438 mixin(swap!("dsx", "dsy"));
439 mixin(swap!("wx0", "wy0"));
440 mixin(swap!("wx1", "wy1"));
441 mixin(swap!("stx", "sty"));
442 } else {
443 d0 = &xd;
444 d1 = &yd;
446 dx2 = 2*dsx;
447 dy2 = 2*dsy;
448 xd = x0;
449 yd = y0;
450 e = 2*dsy-dsx;
451 term = x1;
452 bool xfixed = false;
453 if (y0 < wy0) {
454 // clip at top
455 int temp = dx2*(wy0-y0)-dsx;
456 xd += temp/dy2;
457 rem = temp%dy2;
458 if (xd > wx1) return; // x is moved out of clipping rect, nothing to do
459 if (xd+1 >= wx0) {
460 yd = wy0;
461 e -= rem+dsx;
462 if (rem > 0) { ++xd; e += dy2; }
463 xfixed = true;
466 if (!xfixed && x0 < wx0) {
467 // clip at left
468 int temp = dy2*(wx0-x0);
469 yd += temp/dx2;
470 rem = temp%dx2;
471 if (yd > wy1 || yd == wy1 && rem >= dsx) return;
472 xd = wx0;
473 e += rem;
474 if (rem >= dsx) { ++yd; e -= dx2; }
476 if (y1 > wy1) {
477 // clip at bottom
478 int temp = dx2*(wy1-y0)+dsx;
479 term = x0+temp/dy2;
480 rem = temp%dy2;
481 if (rem == 0) --term;
483 if (term > wx1) term = wx1; // clip at right
484 static if (lastPoint) {
485 // draw last point
486 ++term;
487 } else {
488 if (term == xd) return; // this is the only point, get out of here
490 if (sty == -1) yd = -yd;
491 if (stx == -1) { xd = -xd; term = -term; }
492 dx2 -= dy2;
493 // draw it; `putPixel()` can omit checks
494 while (xd != term) {
495 // inlined `putPixel(*d0, *d1, col)`
496 // this can be made even faster by precalculating `da` and making
497 // separate code branches for mixing and non-mixing drawing, but...
498 // ah, screw it!
499 uint* da = vbuf.ptr+(*d1)*vbufW+(*d0);
500 if (col&vlAMask) {
501 immutable uint a = 256-(col>>24); // to not loose bits
502 immutable uint dc = (*da)&0xffffff;
503 immutable uint srb = (col&0xff00ff);
504 immutable uint sg = (col&0x00ff00);
505 immutable uint drb = (dc&0xff00ff);
506 immutable uint dg = (dc&0x00ff00);
507 immutable uint orb = (drb+(((srb-drb)*a+0x800080)>>8))&0xff00ff;
508 immutable uint og = (dg+(((sg-dg)*a+0x008000)>>8))&0x00ff00;
509 *da = orb|og;
510 } else {
511 *da = col;
513 // done drawing, move coords
514 if (e >= 0) {
515 yd += sty;
516 e -= dx2;
517 } else {
518 e += dy2;
520 xd += stx;
525 // //////////////////////////////////////////////////////////////////////// //
526 int charWidth(string type="msx") () {
527 static if (type == "msx") return 6;
528 else static if (type == "dos") return 8;
529 else static if (type == "d10") return 10;
530 else static assert(0, "invalid font type");
533 int charHeight(string type="msx") () {
534 static if (type == "msx") return 8;
535 else static if (type == "dos") return 8;
536 else static if (type == "d10") return 10;
537 else static assert(0, "invalid font type");
541 * Draw character onto virtual screen in KOI8 encoding.
543 * Params:
544 * x = x coordinate
545 * y = y coordinate
546 * wdt = char width
547 * shift = shl count
548 * ch = character
549 * col = foreground color
550 * bkcol = background color
552 * Returns:
553 * nothing
555 void drawCharWdt(string type="msx") (int x, int y, int wdt, int shift, char ch, VColor col, VColor bkcol=Transparent) @trusted {
556 static if (type == "msx") { alias fontb8 = vlFont6; enum fwdt = 8; enum fhgt = 8; enum fmask = 0x80; }
557 else static if (type == "dos") { alias fontb8 = dosFont8; enum fwdt = 8; enum fhgt = 8; enum fmask = 0x80; }
558 else static if (type == "d10") { alias fontb8 = dosFont10; enum fwdt = 10; enum fhgt = 10; enum fmask = 0x8000; }
559 else static assert(0, "invalid font type");
560 size_t pos = ch*fhgt;
561 if (wdt < 1 || shift >= fwdt) return;
562 if (col == Transparent && bkcol == Transparent) return;
563 if (wdt > fwdt) wdt = fwdt;
564 if (shift < 0) shift = 0;
565 foreach (immutable int dy; 0..fhgt) {
566 ushort b = cast(ushort)(fontb8[pos++]<<shift);
567 foreach (immutable int dx; 0..wdt) {
568 VColor c = (b&fmask ? col : bkcol);
569 if (!isTransparent(c)) putPixel(x+dx, y+dy, c);
570 b <<= 1;
575 // outline types
576 enum : ubyte {
577 OutLeft = 0x01,
578 OutRight = 0x02,
579 OutUp = 0x04,
580 OutDown = 0x08,
581 OutLU = 0x10, // left-up
582 OutRU = 0x20, // right-up
583 OutLD = 0x40, // left-down
584 OutRD = 0x80, // right-down
585 OutAll = 0xff,
589 * Draw outlined character onto virtual screen in KOI8 encoding.
591 * Params:
592 * x = x coordinate
593 * y = y coordinate
594 * wdt = char width
595 * shift = shl count
596 * ch = character
597 * col = foreground color
598 * outcol = outline color
599 * ot = outline type, OutXXX, ored
601 * Returns:
602 * nothing
604 void drawCharWdtOut(string type="msx") (int x, int y, int wdt, int shift, char ch, VColor col, VColor outcol=Transparent, ubyte ot=0) @trusted {
605 static if (type == "msx") { alias fontb8 = vlFont6; enum fwdt = 8; enum fhgt = 8; enum fmask = 0x80; }
606 else static if (type == "dos") { alias fontb8 = dosFont8; enum fwdt = 8; enum fhgt = 8; enum fmask = 0x80; }
607 else static if (type == "d10") { alias fontb8 = dosFont10; enum fwdt = 10; enum fhgt = 10; enum fmask = 0x8000; }
608 else static assert(0, "invalid font type");
609 if (col == Transparent && outcol == Transparent) return;
610 if (ot == 0 || outcol == Transparent) {
611 // no outline? simple draw
612 drawCharWdt(x, y, wdt, shift, ch, col, Transparent);
613 return;
615 size_t pos = ch*fhgt;
616 if (wdt < 1 || shift >= fwdt) return;
617 if (wdt > 8) wdt = fwdt;
618 if (shift < 0) shift = 0;
619 ubyte[fhgt+2][fwdt+2] bmp = 0; // char bitmap; 0: empty; 1: char; 2: outline
620 foreach (immutable dy; 1..fhgt+1) {
621 ushort b = cast(ushort)(fontb8[pos++]<<shift);
622 foreach (immutable dx; 1..wdt+1) {
623 if (b&fmask) {
624 // put pixel
625 bmp[dy][dx] = 1;
626 // put outlines
627 if ((ot&OutUp) && bmp[dy-1][dx] == 0) bmp[dy-1][dx] = 2;
628 if ((ot&OutDown) && bmp[dy+1][dx] == 0) bmp[dy+1][dx] = 2;
629 if ((ot&OutLeft) && bmp[dy][dx-1] == 0) bmp[dy][dx-1] = 2;
630 if ((ot&OutRight) && bmp[dy][dx+1] == 0) bmp[dy][dx+1] = 2;
631 if ((ot&OutLU) && bmp[dy-1][dx-1] == 0) bmp[dy-1][dx-1] = 2;
632 if ((ot&OutRU) && bmp[dy-1][dx+1] == 0) bmp[dy-1][dx+1] = 2;
633 if ((ot&OutLD) && bmp[dy+1][dx-1] == 0) bmp[dy+1][dx-1] = 2;
634 if ((ot&OutRD) && bmp[dy+1][dx+1] == 0) bmp[dy+1][dx+1] = 2;
636 b <<= 1;
639 // now draw it
640 --x;
641 --y;
642 foreach (immutable int dy; 0..fhgt+2) {
643 foreach (immutable int dx; 0..fwdt+2) {
644 if (auto t = bmp[dy][dx]) putPixel(x+dx, y+dy, (t == 1 ? col : outcol));
650 * Draw 6x8 character onto virtual screen in KOI8 encoding.
652 * Params:
653 * x = x coordinate
654 * y = y coordinate
655 * ch = character
656 * col = foreground color
657 * bkcol = background color
659 * Returns:
660 * nothing
662 void drawChar(string type="msx") (int x, int y, char ch, VColor col, VColor bkcol=Transparent) @trusted {
663 drawCharWdt!type(x, y, charWidth!type, 0, ch, col, bkcol);
666 void drawCharOut(string type="msx") (int x, int y, char ch, VColor col, VColor outcol=Transparent, ubyte ot=OutAll) @trusted {
667 drawCharWdtOut!type(x, y, charWidth!type, 0, ch, col, outcol, ot);
670 void drawStr(string type="msx") (int x, int y, const(char)[] str, VColor col, VColor bkcol=Transparent) @trusted {
671 foreach (immutable char ch; str) {
672 drawChar!type(x, y, ch, col, bkcol);
673 x += charWidth!type;
677 void drawStrOut(string type="msx") (int x, int y, const(char)[] str, VColor col, VColor outcol=Transparent, ubyte ot=OutAll) @trusted {
678 foreach (immutable char ch; str) {
679 drawCharOut!type(x, y, ch, col, outcol, ot);
680 x += charWidth!type;
684 int strWidth(string type="msx") (const(char)[] str) {
685 return cast(int)str.length*charWidth!type;
688 int charWidthProp(string type="msx") (char ch) @trusted pure {
689 static if (type == "msx") { alias fontw8 = vlFontPropWidth; }
690 else static if (type == "dos") { alias fontw8 = dosFontPropWidth; }
691 else static assert(0, "invalid font type");
692 return (fontw8.ptr[ch]&0x0f);
695 int strWidthProp(string type="msx") (const(char)[] str) @trusted pure {
696 static if (type == "msx") { alias fontw8 = vlFontPropWidth; }
697 else static if (type == "dos") { alias fontw8 = dosFontPropWidth; }
698 else static assert(0, "invalid font type");
699 int wdt = 0;
700 foreach (immutable char ch; str) wdt += (fontw8[ch]&0x0f)+1;
701 if (wdt > 0) --wdt; // don't count last empty pixel
702 return wdt;
705 int drawCharProp(string type="msx") (int x, int y, char ch, VColor col, VColor bkcol=Transparent) @trusted {
706 static if (type == "msx") { alias fontw8 = vlFontPropWidth; }
707 else static if (type == "dos") { alias fontw8 = dosFontPropWidth; }
708 else static assert(0, "invalid font type");
709 immutable int wdt = (fontw8[ch]&0x0f);
710 drawCharWdt!type(x, y, wdt, fontw8[ch]>>4, ch, col, bkcol);
711 return wdt;
714 int drawCharPropOut(string type="msx") (int x, int y, char ch, VColor col, VColor outcol=Transparent, ubyte ot=OutAll) @trusted {
715 static if (type == "msx") { alias fontw8 = vlFontPropWidth; }
716 else static if (type == "dos") { alias fontw8 = dosFontPropWidth; }
717 else static assert(0, "invalid font type");
718 immutable int wdt = (fontw8[ch]&0x0f);
719 drawCharWdtOut!type(x, y, wdt, fontw8[ch]>>4, ch, col, outcol, ot);
720 return wdt;
723 int drawStrProp(string type="msx") (int x, int y, const(char)[] str, VColor col, VColor bkcol=Transparent) @trusted {
724 bool vline = false;
725 int sx = x;
726 foreach (immutable char ch; str) {
727 if (vline) {
728 if (!isTransparent(bkcol)) foreach (int dy; 0..8) putPixel(x, y+dy, bkcol);
729 ++x;
731 vline = true;
732 x += drawCharProp!type(x, y, ch, col, bkcol);
734 return x-sx;
737 int drawStrPropOut(string type="msx") (int x, int y, const(char)[] str, VColor col, VColor outcol=Transparent, ubyte ot=OutAll) @trusted {
738 int sx = x;
739 foreach (immutable char ch; str) {
740 x += drawCharPropOut!type(x, y, ch, col, outcol, ot)+1;
742 if (x > sx) --x; // don't count last empty pixel
743 return x-sx;
747 // ////////////////////////////////////////////////////////////////////////// //
748 void clear (VColor col) @trusted {
749 vbuf.ptr[0..vbufW*vbufH] = col;
753 // ////////////////////////////////////////////////////////////////////////// //
754 public static immutable ubyte[256*8] vlFont6 = [
755 /* 0 */
756 0b_00000000,
757 0b_00000000,
758 0b_00000000,
759 0b_00000000,
760 0b_00000000,
761 0b_00000000,
762 0b_00000000,
763 0b_00000000,
764 /* 1 */
765 0b_00111100,
766 0b_01000010,
767 0b_10100101,
768 0b_10000001,
769 0b_10100101,
770 0b_10011001,
771 0b_01000010,
772 0b_00111100,
773 /* 2 */
774 0b_00111100,
775 0b_01111110,
776 0b_11011011,
777 0b_11111111,
778 0b_11111111,
779 0b_11011011,
780 0b_01100110,
781 0b_00111100,
782 /* 3 */
783 0b_01101100,
784 0b_11111110,
785 0b_11111110,
786 0b_11111110,
787 0b_01111100,
788 0b_00111000,
789 0b_00010000,
790 0b_00000000,
791 /* 4 */
792 0b_00010000,
793 0b_00111000,
794 0b_01111100,
795 0b_11111110,
796 0b_01111100,
797 0b_00111000,
798 0b_00010000,
799 0b_00000000,
800 /* 5 */
801 0b_00010000,
802 0b_00111000,
803 0b_01010100,
804 0b_11111110,
805 0b_01010100,
806 0b_00010000,
807 0b_00111000,
808 0b_00000000,
809 /* 6 */
810 0b_00010000,
811 0b_00111000,
812 0b_01111100,
813 0b_11111110,
814 0b_11111110,
815 0b_00010000,
816 0b_00111000,
817 0b_00000000,
818 /* 7 */
819 0b_00000000,
820 0b_00000000,
821 0b_00000000,
822 0b_00110000,
823 0b_00110000,
824 0b_00000000,
825 0b_00000000,
826 0b_00000000,
827 /* 8 */
828 0b_11111111,
829 0b_11111111,
830 0b_11111111,
831 0b_11100111,
832 0b_11100111,
833 0b_11111111,
834 0b_11111111,
835 0b_11111111,
836 /* 9 */
837 0b_00111000,
838 0b_01000100,
839 0b_10000010,
840 0b_10000010,
841 0b_10000010,
842 0b_01000100,
843 0b_00111000,
844 0b_00000000,
845 /* 10 */
846 0b_11000111,
847 0b_10111011,
848 0b_01111101,
849 0b_01111101,
850 0b_01111101,
851 0b_10111011,
852 0b_11000111,
853 0b_11111111,
854 /* 11 */
855 0b_00001111,
856 0b_00000011,
857 0b_00000101,
858 0b_01111001,
859 0b_10001000,
860 0b_10001000,
861 0b_10001000,
862 0b_01110000,
863 /* 12 */
864 0b_00111000,
865 0b_01000100,
866 0b_01000100,
867 0b_01000100,
868 0b_00111000,
869 0b_00010000,
870 0b_01111100,
871 0b_00010000,
872 /* 13 */
873 0b_00110000,
874 0b_00101000,
875 0b_00100100,
876 0b_00100100,
877 0b_00101000,
878 0b_00100000,
879 0b_11100000,
880 0b_11000000,
881 /* 14 */
882 0b_00111100,
883 0b_00100100,
884 0b_00111100,
885 0b_00100100,
886 0b_00100100,
887 0b_11100100,
888 0b_11011100,
889 0b_00011000,
890 /* 15 */
891 0b_00010000,
892 0b_01010100,
893 0b_00111000,
894 0b_11101110,
895 0b_00111000,
896 0b_01010100,
897 0b_00010000,
898 0b_00000000,
899 /* 16 */
900 0b_00010000,
901 0b_00010000,
902 0b_00010000,
903 0b_01111100,
904 0b_00010000,
905 0b_00010000,
906 0b_00010000,
907 0b_00010000,
908 /* 17 */
909 0b_00010000,
910 0b_00010000,
911 0b_00010000,
912 0b_11111111,
913 0b_00000000,
914 0b_00000000,
915 0b_00000000,
916 0b_00000000,
917 /* 18 */
918 0b_00000000,
919 0b_00000000,
920 0b_00000000,
921 0b_11111111,
922 0b_00010000,
923 0b_00010000,
924 0b_00010000,
925 0b_00010000,
926 /* 19 */
927 0b_00010000,
928 0b_00010000,
929 0b_00010000,
930 0b_11110000,
931 0b_00010000,
932 0b_00010000,
933 0b_00010000,
934 0b_00010000,
935 /* 20 */
936 0b_00010000,
937 0b_00010000,
938 0b_00010000,
939 0b_00011111,
940 0b_00010000,
941 0b_00010000,
942 0b_00010000,
943 0b_00010000,
944 /* 21 */
945 0b_00010000,
946 0b_00010000,
947 0b_00010000,
948 0b_11111111,
949 0b_00010000,
950 0b_00010000,
951 0b_00010000,
952 0b_00010000,
953 /* 22 */
954 0b_00010000,
955 0b_00010000,
956 0b_00010000,
957 0b_00010000,
958 0b_00010000,
959 0b_00010000,
960 0b_00010000,
961 0b_00010000,
962 /* 23 */
963 0b_00000000,
964 0b_00000000,
965 0b_00000000,
966 0b_11111111,
967 0b_00000000,
968 0b_00000000,
969 0b_00000000,
970 0b_00000000,
971 /* 24 */
972 0b_00000000,
973 0b_00000000,
974 0b_00000000,
975 0b_00011111,
976 0b_00010000,
977 0b_00010000,
978 0b_00010000,
979 0b_00010000,
980 /* 25 */
981 0b_00000000,
982 0b_00000000,
983 0b_00000000,
984 0b_11110000,
985 0b_00010000,
986 0b_00010000,
987 0b_00010000,
988 0b_00010000,
989 /* 26 */
990 0b_00010000,
991 0b_00010000,
992 0b_00010000,
993 0b_00011111,
994 0b_00000000,
995 0b_00000000,
996 0b_00000000,
997 0b_00000000,
998 /* 27 */
999 0b_00010000,
1000 0b_00010000,
1001 0b_00010000,
1002 0b_11110000,
1003 0b_00000000,
1004 0b_00000000,
1005 0b_00000000,
1006 0b_00000000,
1007 /* 28 */
1008 0b_10000001,
1009 0b_01000010,
1010 0b_00100100,
1011 0b_00011000,
1012 0b_00011000,
1013 0b_00100100,
1014 0b_01000010,
1015 0b_10000001,
1016 /* 29 */
1017 0b_00000001,
1018 0b_00000010,
1019 0b_00000100,
1020 0b_00001000,
1021 0b_00010000,
1022 0b_00100000,
1023 0b_01000000,
1024 0b_10000000,
1025 /* 30 */
1026 0b_10000000,
1027 0b_01000000,
1028 0b_00100000,
1029 0b_00010000,
1030 0b_00001000,
1031 0b_00000100,
1032 0b_00000010,
1033 0b_00000001,
1034 /* 31 */
1035 0b_00000000,
1036 0b_00010000,
1037 0b_00010000,
1038 0b_11111111,
1039 0b_00010000,
1040 0b_00010000,
1041 0b_00000000,
1042 0b_00000000,
1043 /* 32 ' ' */
1044 0b_00000000,
1045 0b_00000000,
1046 0b_00000000,
1047 0b_00000000,
1048 0b_00000000,
1049 0b_00000000,
1050 0b_00000000,
1051 0b_00000000,
1052 /* 33 '!' */
1053 0b_00100000,
1054 0b_00100000,
1055 0b_00100000,
1056 0b_00100000,
1057 0b_00000000,
1058 0b_00000000,
1059 0b_00100000,
1060 0b_00000000,
1061 /* 34 '"' */
1062 0b_01010000,
1063 0b_01010000,
1064 0b_01010000,
1065 0b_00000000,
1066 0b_00000000,
1067 0b_00000000,
1068 0b_00000000,
1069 0b_00000000,
1070 /* 35 '#' */
1071 0b_01010000,
1072 0b_01010000,
1073 0b_11111000,
1074 0b_01010000,
1075 0b_11111000,
1076 0b_01010000,
1077 0b_01010000,
1078 0b_00000000,
1079 /* 36 '$' */
1080 0b_00100000,
1081 0b_01111000,
1082 0b_10100000,
1083 0b_01110000,
1084 0b_00101000,
1085 0b_11110000,
1086 0b_00100000,
1087 0b_00000000,
1088 /* 37 '%' */
1089 0b_11000000,
1090 0b_11001000,
1091 0b_00010000,
1092 0b_00100000,
1093 0b_01000000,
1094 0b_10011000,
1095 0b_00011000,
1096 0b_00000000,
1097 /* 38 '&' */
1098 0b_01000000,
1099 0b_10100000,
1100 0b_01000000,
1101 0b_10101000,
1102 0b_10010000,
1103 0b_10011000,
1104 0b_01100000,
1105 0b_00000000,
1106 /* 39 ''' */
1107 0b_00010000,
1108 0b_00100000,
1109 0b_01000000,
1110 0b_00000000,
1111 0b_00000000,
1112 0b_00000000,
1113 0b_00000000,
1114 0b_00000000,
1115 /* 40 '(' */
1116 0b_00010000,
1117 0b_00100000,
1118 0b_01000000,
1119 0b_01000000,
1120 0b_01000000,
1121 0b_00100000,
1122 0b_00010000,
1123 0b_00000000,
1124 /* 41 ')' */
1125 0b_01000000,
1126 0b_00100000,
1127 0b_00010000,
1128 0b_00010000,
1129 0b_00010000,
1130 0b_00100000,
1131 0b_01000000,
1132 0b_00000000,
1133 /* 42 '*' */
1134 0b_10001000,
1135 0b_01010000,
1136 0b_00100000,
1137 0b_11111000,
1138 0b_00100000,
1139 0b_01010000,
1140 0b_10001000,
1141 0b_00000000,
1142 /* 43 '+' */
1143 0b_00000000,
1144 0b_00100000,
1145 0b_00100000,
1146 0b_11111000,
1147 0b_00100000,
1148 0b_00100000,
1149 0b_00000000,
1150 0b_00000000,
1151 /* 44 ',' */
1152 0b_00000000,
1153 0b_00000000,
1154 0b_00000000,
1155 0b_00000000,
1156 0b_00000000,
1157 0b_00100000,
1158 0b_00100000,
1159 0b_01000000,
1160 /* 45 '-' */
1161 0b_00000000,
1162 0b_00000000,
1163 0b_00000000,
1164 0b_01111000,
1165 0b_00000000,
1166 0b_00000000,
1167 0b_00000000,
1168 0b_00000000,
1169 /* 46 '.' */
1170 0b_00000000,
1171 0b_00000000,
1172 0b_00000000,
1173 0b_00000000,
1174 0b_00000000,
1175 0b_01100000,
1176 0b_01100000,
1177 0b_00000000,
1178 /* 47 '/' */
1179 0b_00000000,
1180 0b_00000000,
1181 0b_00001000,
1182 0b_00010000,
1183 0b_00100000,
1184 0b_01000000,
1185 0b_10000000,
1186 0b_00000000,
1187 /* 48 '0' */
1188 0b_01110000,
1189 0b_10001000,
1190 0b_10011000,
1191 0b_10101000,
1192 0b_11001000,
1193 0b_10001000,
1194 0b_01110000,
1195 0b_00000000,
1196 /* 49 '1' */
1197 0b_00100000,
1198 0b_01100000,
1199 0b_10100000,
1200 0b_00100000,
1201 0b_00100000,
1202 0b_00100000,
1203 0b_11111000,
1204 0b_00000000,
1205 /* 50 '2' */
1206 0b_01110000,
1207 0b_10001000,
1208 0b_00001000,
1209 0b_00010000,
1210 0b_01100000,
1211 0b_10000000,
1212 0b_11111000,
1213 0b_00000000,
1214 /* 51 '3' */
1215 0b_01110000,
1216 0b_10001000,
1217 0b_00001000,
1218 0b_00110000,
1219 0b_00001000,
1220 0b_10001000,
1221 0b_01110000,
1222 0b_00000000,
1223 /* 52 '4' */
1224 0b_00010000,
1225 0b_00110000,
1226 0b_01010000,
1227 0b_10010000,
1228 0b_11111000,
1229 0b_00010000,
1230 0b_00010000,
1231 0b_00000000,
1232 /* 53 '5' */
1233 0b_11111000,
1234 0b_10000000,
1235 0b_11100000,
1236 0b_00010000,
1237 0b_00001000,
1238 0b_00010000,
1239 0b_11100000,
1240 0b_00000000,
1241 /* 54 '6' */
1242 0b_00110000,
1243 0b_01000000,
1244 0b_10000000,
1245 0b_11110000,
1246 0b_10001000,
1247 0b_10001000,
1248 0b_01110000,
1249 0b_00000000,
1250 /* 55 '7' */
1251 0b_11111000,
1252 0b_10001000,
1253 0b_00010000,
1254 0b_00100000,
1255 0b_00100000,
1256 0b_00100000,
1257 0b_00100000,
1258 0b_00000000,
1259 /* 56 '8' */
1260 0b_01110000,
1261 0b_10001000,
1262 0b_10001000,
1263 0b_01110000,
1264 0b_10001000,
1265 0b_10001000,
1266 0b_01110000,
1267 0b_00000000,
1268 /* 57 '9' */
1269 0b_01110000,
1270 0b_10001000,
1271 0b_10001000,
1272 0b_01111000,
1273 0b_00001000,
1274 0b_00010000,
1275 0b_01100000,
1276 0b_00000000,
1277 /* 58 ':' */
1278 0b_00000000,
1279 0b_00000000,
1280 0b_00100000,
1281 0b_00000000,
1282 0b_00000000,
1283 0b_00100000,
1284 0b_00000000,
1285 0b_00000000,
1286 /* 59 ';' */
1287 0b_00000000,
1288 0b_00000000,
1289 0b_00100000,
1290 0b_00000000,
1291 0b_00000000,
1292 0b_00100000,
1293 0b_00100000,
1294 0b_01000000,
1295 /* 60 '<' */
1296 0b_00011000,
1297 0b_00110000,
1298 0b_01100000,
1299 0b_11000000,
1300 0b_01100000,
1301 0b_00110000,
1302 0b_00011000,
1303 0b_00000000,
1304 /* 61 '=' */
1305 0b_00000000,
1306 0b_00000000,
1307 0b_11111000,
1308 0b_00000000,
1309 0b_11111000,
1310 0b_00000000,
1311 0b_00000000,
1312 0b_00000000,
1313 /* 62 '>' */
1314 0b_11000000,
1315 0b_01100000,
1316 0b_00110000,
1317 0b_00011000,
1318 0b_00110000,
1319 0b_01100000,
1320 0b_11000000,
1321 0b_00000000,
1322 /* 63 '?' */
1323 0b_01110000,
1324 0b_10001000,
1325 0b_00001000,
1326 0b_00010000,
1327 0b_00100000,
1328 0b_00000000,
1329 0b_00100000,
1330 0b_00000000,
1331 /* 64 '@' */
1332 0b_01110000,
1333 0b_10001000,
1334 0b_00001000,
1335 0b_01101000,
1336 0b_10101000,
1337 0b_10101000,
1338 0b_01110000,
1339 0b_00000000,
1340 /* 65 'A' */
1341 0b_00100000,
1342 0b_01010000,
1343 0b_10001000,
1344 0b_10001000,
1345 0b_11111000,
1346 0b_10001000,
1347 0b_10001000,
1348 0b_00000000,
1349 /* 66 'B' */
1350 0b_11110000,
1351 0b_01001000,
1352 0b_01001000,
1353 0b_01110000,
1354 0b_01001000,
1355 0b_01001000,
1356 0b_11110000,
1357 0b_00000000,
1358 /* 67 'C' */
1359 0b_00110000,
1360 0b_01001000,
1361 0b_10000000,
1362 0b_10000000,
1363 0b_10000000,
1364 0b_01001000,
1365 0b_00110000,
1366 0b_00000000,
1367 /* 68 'D' */
1368 0b_11100000,
1369 0b_01010000,
1370 0b_01001000,
1371 0b_01001000,
1372 0b_01001000,
1373 0b_01010000,
1374 0b_11100000,
1375 0b_00000000,
1376 /* 69 'E' */
1377 0b_11111000,
1378 0b_10000000,
1379 0b_10000000,
1380 0b_11110000,
1381 0b_10000000,
1382 0b_10000000,
1383 0b_11111000,
1384 0b_00000000,
1385 /* 70 'F' */
1386 0b_11111000,
1387 0b_10000000,
1388 0b_10000000,
1389 0b_11110000,
1390 0b_10000000,
1391 0b_10000000,
1392 0b_10000000,
1393 0b_00000000,
1394 /* 71 'G' */
1395 0b_01110000,
1396 0b_10001000,
1397 0b_10000000,
1398 0b_10111000,
1399 0b_10001000,
1400 0b_10001000,
1401 0b_01110000,
1402 0b_00000000,
1403 /* 72 'H' */
1404 0b_10001000,
1405 0b_10001000,
1406 0b_10001000,
1407 0b_11111000,
1408 0b_10001000,
1409 0b_10001000,
1410 0b_10001000,
1411 0b_00000000,
1412 /* 73 'I' */
1413 0b_01110000,
1414 0b_00100000,
1415 0b_00100000,
1416 0b_00100000,
1417 0b_00100000,
1418 0b_00100000,
1419 0b_01110000,
1420 0b_00000000,
1421 /* 74 'J' */
1422 0b_00111000,
1423 0b_00010000,
1424 0b_00010000,
1425 0b_00010000,
1426 0b_10010000,
1427 0b_10010000,
1428 0b_01100000,
1429 0b_00000000,
1430 /* 75 'K' */
1431 0b_10001000,
1432 0b_10010000,
1433 0b_10100000,
1434 0b_11000000,
1435 0b_10100000,
1436 0b_10010000,
1437 0b_10001000,
1438 0b_00000000,
1439 /* 76 'L' */
1440 0b_10000000,
1441 0b_10000000,
1442 0b_10000000,
1443 0b_10000000,
1444 0b_10000000,
1445 0b_10000000,
1446 0b_11111000,
1447 0b_00000000,
1448 /* 77 'M' */
1449 0b_10001000,
1450 0b_11011000,
1451 0b_10101000,
1452 0b_10101000,
1453 0b_10001000,
1454 0b_10001000,
1455 0b_10001000,
1456 0b_00000000,
1457 /* 78 'N' */
1458 0b_10001000,
1459 0b_11001000,
1460 0b_11001000,
1461 0b_10101000,
1462 0b_10011000,
1463 0b_10011000,
1464 0b_10001000,
1465 0b_00000000,
1466 /* 79 'O' */
1467 0b_01110000,
1468 0b_10001000,
1469 0b_10001000,
1470 0b_10001000,
1471 0b_10001000,
1472 0b_10001000,
1473 0b_01110000,
1474 0b_00000000,
1475 /* 80 'P' */
1476 0b_11110000,
1477 0b_10001000,
1478 0b_10001000,
1479 0b_11110000,
1480 0b_10000000,
1481 0b_10000000,
1482 0b_10000000,
1483 0b_00000000,
1484 /* 81 'Q' */
1485 0b_01110000,
1486 0b_10001000,
1487 0b_10001000,
1488 0b_10001000,
1489 0b_10101000,
1490 0b_10010000,
1491 0b_01101000,
1492 0b_00000000,
1493 /* 82 'R' */
1494 0b_11110000,
1495 0b_10001000,
1496 0b_10001000,
1497 0b_11110000,
1498 0b_10100000,
1499 0b_10010000,
1500 0b_10001000,
1501 0b_00000000,
1502 /* 83 'S' */
1503 0b_01110000,
1504 0b_10001000,
1505 0b_10000000,
1506 0b_01110000,
1507 0b_00001000,
1508 0b_10001000,
1509 0b_01110000,
1510 0b_00000000,
1511 /* 84 'T' */
1512 0b_11111000,
1513 0b_00100000,
1514 0b_00100000,
1515 0b_00100000,
1516 0b_00100000,
1517 0b_00100000,
1518 0b_00100000,
1519 0b_00000000,
1520 /* 85 'U' */
1521 0b_10001000,
1522 0b_10001000,
1523 0b_10001000,
1524 0b_10001000,
1525 0b_10001000,
1526 0b_10001000,
1527 0b_01110000,
1528 0b_00000000,
1529 /* 86 'V' */
1530 0b_10001000,
1531 0b_10001000,
1532 0b_10001000,
1533 0b_10001000,
1534 0b_01010000,
1535 0b_01010000,
1536 0b_00100000,
1537 0b_00000000,
1538 /* 87 'W' */
1539 0b_10001000,
1540 0b_10001000,
1541 0b_10001000,
1542 0b_10101000,
1543 0b_10101000,
1544 0b_11011000,
1545 0b_10001000,
1546 0b_00000000,
1547 /* 88 'X' */
1548 0b_10001000,
1549 0b_10001000,
1550 0b_01010000,
1551 0b_00100000,
1552 0b_01010000,
1553 0b_10001000,
1554 0b_10001000,
1555 0b_00000000,
1556 /* 89 'Y' */
1557 0b_10001000,
1558 0b_10001000,
1559 0b_10001000,
1560 0b_01110000,
1561 0b_00100000,
1562 0b_00100000,
1563 0b_00100000,
1564 0b_00000000,
1565 /* 90 'Z' */
1566 0b_11111000,
1567 0b_00001000,
1568 0b_00010000,
1569 0b_00100000,
1570 0b_01000000,
1571 0b_10000000,
1572 0b_11111000,
1573 0b_00000000,
1574 /* 91 '[' */
1575 0b_01110000,
1576 0b_01000000,
1577 0b_01000000,
1578 0b_01000000,
1579 0b_01000000,
1580 0b_01000000,
1581 0b_01110000,
1582 0b_00000000,
1583 /* 92 '\' */
1584 0b_00000000,
1585 0b_00000000,
1586 0b_10000000,
1587 0b_01000000,
1588 0b_00100000,
1589 0b_00010000,
1590 0b_00001000,
1591 0b_00000000,
1592 /* 93 ']' */
1593 0b_01110000,
1594 0b_00010000,
1595 0b_00010000,
1596 0b_00010000,
1597 0b_00010000,
1598 0b_00010000,
1599 0b_01110000,
1600 0b_00000000,
1601 /* 94 '^' */
1602 0b_00100000,
1603 0b_01010000,
1604 0b_10001000,
1605 0b_00000000,
1606 0b_00000000,
1607 0b_00000000,
1608 0b_00000000,
1609 0b_00000000,
1610 /* 95 '_' */
1611 0b_00000000,
1612 0b_00000000,
1613 0b_00000000,
1614 0b_00000000,
1615 0b_00000000,
1616 0b_00000000,
1617 0b_11111000,
1618 0b_00000000,
1619 /* 96 '`' */
1620 0b_01000000,
1621 0b_00100000,
1622 0b_00010000,
1623 0b_00000000,
1624 0b_00000000,
1625 0b_00000000,
1626 0b_00000000,
1627 0b_00000000,
1628 /* 97 'a' */
1629 0b_00000000,
1630 0b_00000000,
1631 0b_01110000,
1632 0b_00001000,
1633 0b_01111000,
1634 0b_10001000,
1635 0b_01111000,
1636 0b_00000000,
1637 /* 98 'b' */
1638 0b_10000000,
1639 0b_10000000,
1640 0b_10110000,
1641 0b_11001000,
1642 0b_10001000,
1643 0b_11001000,
1644 0b_10110000,
1645 0b_00000000,
1646 /* 99 'c' */
1647 0b_00000000,
1648 0b_00000000,
1649 0b_01110000,
1650 0b_10001000,
1651 0b_10000000,
1652 0b_10001000,
1653 0b_01110000,
1654 0b_00000000,
1655 /* 100 'd' */
1656 0b_00001000,
1657 0b_00001000,
1658 0b_01101000,
1659 0b_10011000,
1660 0b_10001000,
1661 0b_10011000,
1662 0b_01101000,
1663 0b_00000000,
1664 /* 101 'e' */
1665 0b_00000000,
1666 0b_00000000,
1667 0b_01110000,
1668 0b_10001000,
1669 0b_11111000,
1670 0b_10000000,
1671 0b_01110000,
1672 0b_00000000,
1673 /* 102 'f' */
1674 0b_00010000,
1675 0b_00101000,
1676 0b_00100000,
1677 0b_11111000,
1678 0b_00100000,
1679 0b_00100000,
1680 0b_00100000,
1681 0b_00000000,
1682 /* 103 'g' */
1683 0b_00000000,
1684 0b_00000000,
1685 0b_01101000,
1686 0b_10011000,
1687 0b_10011000,
1688 0b_01101000,
1689 0b_00001000,
1690 0b_01110000,
1691 /* 104 'h' */
1692 0b_10000000,
1693 0b_10000000,
1694 0b_11110000,
1695 0b_10001000,
1696 0b_10001000,
1697 0b_10001000,
1698 0b_10001000,
1699 0b_00000000,
1700 /* 105 'i' */
1701 0b_00100000,
1702 0b_00000000,
1703 0b_01100000,
1704 0b_00100000,
1705 0b_00100000,
1706 0b_00100000,
1707 0b_01110000,
1708 0b_00000000,
1709 /* 106 'j' */
1710 0b_00010000,
1711 0b_00000000,
1712 0b_00110000,
1713 0b_00010000,
1714 0b_00010000,
1715 0b_00010000,
1716 0b_10010000,
1717 0b_01100000,
1718 /* 107 'k' */
1719 0b_01000000,
1720 0b_01000000,
1721 0b_01001000,
1722 0b_01010000,
1723 0b_01100000,
1724 0b_01010000,
1725 0b_01001000,
1726 0b_00000000,
1727 /* 108 'l' */
1728 0b_01100000,
1729 0b_00100000,
1730 0b_00100000,
1731 0b_00100000,
1732 0b_00100000,
1733 0b_00100000,
1734 0b_01110000,
1735 0b_00000000,
1736 /* 109 'm' */
1737 0b_00000000,
1738 0b_00000000,
1739 0b_11010000,
1740 0b_10101000,
1741 0b_10101000,
1742 0b_10101000,
1743 0b_10101000,
1744 0b_00000000,
1745 /* 110 'n' */
1746 0b_00000000,
1747 0b_00000000,
1748 0b_10110000,
1749 0b_11001000,
1750 0b_10001000,
1751 0b_10001000,
1752 0b_10001000,
1753 0b_00000000,
1754 /* 111 'o' */
1755 0b_00000000,
1756 0b_00000000,
1757 0b_01110000,
1758 0b_10001000,
1759 0b_10001000,
1760 0b_10001000,
1761 0b_01110000,
1762 0b_00000000,
1763 /* 112 'p' */
1764 0b_00000000,
1765 0b_00000000,
1766 0b_10110000,
1767 0b_11001000,
1768 0b_11001000,
1769 0b_10110000,
1770 0b_10000000,
1771 0b_10000000,
1772 /* 113 'q' */
1773 0b_00000000,
1774 0b_00000000,
1775 0b_01101000,
1776 0b_10011000,
1777 0b_10011000,
1778 0b_01101000,
1779 0b_00001000,
1780 0b_00001000,
1781 /* 114 'r' */
1782 0b_00000000,
1783 0b_00000000,
1784 0b_10110000,
1785 0b_11001000,
1786 0b_10000000,
1787 0b_10000000,
1788 0b_10000000,
1789 0b_00000000,
1790 /* 115 's' */
1791 0b_00000000,
1792 0b_00000000,
1793 0b_01111000,
1794 0b_10000000,
1795 0b_11110000,
1796 0b_00001000,
1797 0b_11110000,
1798 0b_00000000,
1799 /* 116 't' */
1800 0b_01000000,
1801 0b_01000000,
1802 0b_11110000,
1803 0b_01000000,
1804 0b_01000000,
1805 0b_01001000,
1806 0b_00110000,
1807 0b_00000000,
1808 /* 117 'u' */
1809 0b_00000000,
1810 0b_00000000,
1811 0b_10010000,
1812 0b_10010000,
1813 0b_10010000,
1814 0b_10010000,
1815 0b_01101000,
1816 0b_00000000,
1817 /* 118 'v' */
1818 0b_00000000,
1819 0b_00000000,
1820 0b_10001000,
1821 0b_10001000,
1822 0b_10001000,
1823 0b_01010000,
1824 0b_00100000,
1825 0b_00000000,
1826 /* 119 'w' */
1827 0b_00000000,
1828 0b_00000000,
1829 0b_10001000,
1830 0b_10101000,
1831 0b_10101000,
1832 0b_10101000,
1833 0b_01010000,
1834 0b_00000000,
1835 /* 120 'x' */
1836 0b_00000000,
1837 0b_00000000,
1838 0b_10001000,
1839 0b_01010000,
1840 0b_00100000,
1841 0b_01010000,
1842 0b_10001000,
1843 0b_00000000,
1844 /* 121 'y' */
1845 0b_00000000,
1846 0b_00000000,
1847 0b_10001000,
1848 0b_10001000,
1849 0b_10011000,
1850 0b_01101000,
1851 0b_00001000,
1852 0b_01110000,
1853 /* 122 'z' */
1854 0b_00000000,
1855 0b_00000000,
1856 0b_11111000,
1857 0b_00010000,
1858 0b_00100000,
1859 0b_01000000,
1860 0b_11111000,
1861 0b_00000000,
1862 /* 123 '{' */
1863 0b_00011000,
1864 0b_00100000,
1865 0b_00100000,
1866 0b_01000000,
1867 0b_00100000,
1868 0b_00100000,
1869 0b_00011000,
1870 0b_00000000,
1871 /* 124 '|' */
1872 0b_00100000,
1873 0b_00100000,
1874 0b_00100000,
1875 0b_00000000,
1876 0b_00100000,
1877 0b_00100000,
1878 0b_00100000,
1879 0b_00000000,
1880 /* 125 '}' */
1881 0b_11000000,
1882 0b_00100000,
1883 0b_00100000,
1884 0b_00010000,
1885 0b_00100000,
1886 0b_00100000,
1887 0b_11000000,
1888 0b_00000000,
1889 /* 126 '~' */
1890 0b_01000000,
1891 0b_10101000,
1892 0b_00010000,
1893 0b_00000000,
1894 0b_00000000,
1895 0b_00000000,
1896 0b_00000000,
1897 0b_00000000,
1898 /* 127 */
1899 0b_00000000,
1900 0b_00000000,
1901 0b_00100000,
1902 0b_01010000,
1903 0b_11111000,
1904 0b_00000000,
1905 0b_00000000,
1906 0b_00000000,
1907 /* 128 */
1908 0b_00000000,
1909 0b_00000000,
1910 0b_00000000,
1911 0b_00000000,
1912 0b_00000000,
1913 0b_00000000,
1914 0b_11111111,
1915 0b_11111111,
1916 /* 129 */
1917 0b_11110000,
1918 0b_11110000,
1919 0b_11110000,
1920 0b_11110000,
1921 0b_00001111,
1922 0b_00001111,
1923 0b_00001111,
1924 0b_00001111,
1925 /* 130 */
1926 0b_00000000,
1927 0b_00000000,
1928 0b_11111111,
1929 0b_11111111,
1930 0b_11111111,
1931 0b_11111111,
1932 0b_11111111,
1933 0b_11111111,
1934 /* 131 */
1935 0b_11111111,
1936 0b_11111111,
1937 0b_00000000,
1938 0b_00000000,
1939 0b_00000000,
1940 0b_00000000,
1941 0b_00000000,
1942 0b_00000000,
1943 /* 132 */
1944 0b_00000000,
1945 0b_00000000,
1946 0b_00000000,
1947 0b_00111100,
1948 0b_00111100,
1949 0b_00000000,
1950 0b_00000000,
1951 0b_00000000,
1952 /* 133 */
1953 0b_11111111,
1954 0b_11111111,
1955 0b_11111111,
1956 0b_11111111,
1957 0b_11111111,
1958 0b_11111111,
1959 0b_00000000,
1960 0b_00000000,
1961 /* 134 */
1962 0b_11000000,
1963 0b_11000000,
1964 0b_11000000,
1965 0b_11000000,
1966 0b_11000000,
1967 0b_11000000,
1968 0b_11000000,
1969 0b_11000000,
1970 /* 135 */
1971 0b_00001111,
1972 0b_00001111,
1973 0b_00001111,
1974 0b_00001111,
1975 0b_11110000,
1976 0b_11110000,
1977 0b_11110000,
1978 0b_11110000,
1979 /* 136 */
1980 0b_11111100,
1981 0b_11111100,
1982 0b_11111100,
1983 0b_11111100,
1984 0b_11111100,
1985 0b_11111100,
1986 0b_11111100,
1987 0b_11111100,
1988 /* 137 */
1989 0b_00000011,
1990 0b_00000011,
1991 0b_00000011,
1992 0b_00000011,
1993 0b_00000011,
1994 0b_00000011,
1995 0b_00000011,
1996 0b_00000011,
1997 /* 138 */
1998 0b_00111111,
1999 0b_00111111,
2000 0b_00111111,
2001 0b_00111111,
2002 0b_00111111,
2003 0b_00111111,
2004 0b_00111111,
2005 0b_00111111,
2006 /* 139 */
2007 0b_00010001,
2008 0b_00100010,
2009 0b_01000100,
2010 0b_10001000,
2011 0b_00010001,
2012 0b_00100010,
2013 0b_01000100,
2014 0b_10001000,
2015 /* 140 */
2016 0b_10001000,
2017 0b_01000100,
2018 0b_00100010,
2019 0b_00010001,
2020 0b_10001000,
2021 0b_01000100,
2022 0b_00100010,
2023 0b_00010001,
2024 /* 141 */
2025 0b_11111110,
2026 0b_01111100,
2027 0b_00111000,
2028 0b_00010000,
2029 0b_00000000,
2030 0b_00000000,
2031 0b_00000000,
2032 0b_00000000,
2033 /* 142 */
2034 0b_00000000,
2035 0b_00000000,
2036 0b_00000000,
2037 0b_00000000,
2038 0b_00010000,
2039 0b_00111000,
2040 0b_01111100,
2041 0b_11111110,
2042 /* 143 */
2043 0b_10000000,
2044 0b_11000000,
2045 0b_11100000,
2046 0b_11110000,
2047 0b_11100000,
2048 0b_11000000,
2049 0b_10000000,
2050 0b_00000000,
2051 /* 144 */
2052 0b_00000001,
2053 0b_00000011,
2054 0b_00000111,
2055 0b_00001111,
2056 0b_00000111,
2057 0b_00000011,
2058 0b_00000001,
2059 0b_00000000,
2060 /* 145 */
2061 0b_11111111,
2062 0b_01111110,
2063 0b_00111100,
2064 0b_00011000,
2065 0b_00011000,
2066 0b_00111100,
2067 0b_01111110,
2068 0b_11111111,
2069 /* 146 */
2070 0b_10000001,
2071 0b_11000011,
2072 0b_11100111,
2073 0b_11111111,
2074 0b_11111111,
2075 0b_11100111,
2076 0b_11000011,
2077 0b_10000001,
2078 /* 147 */
2079 0b_11110000,
2080 0b_11110000,
2081 0b_11110000,
2082 0b_11110000,
2083 0b_00000000,
2084 0b_00000000,
2085 0b_00000000,
2086 0b_00000000,
2087 /* 148 */
2088 0b_00000000,
2089 0b_00000000,
2090 0b_00000000,
2091 0b_00000000,
2092 0b_00001111,
2093 0b_00001111,
2094 0b_00001111,
2095 0b_00001111,
2096 /* 149 */
2097 0b_00001111,
2098 0b_00001111,
2099 0b_00001111,
2100 0b_00001111,
2101 0b_00000000,
2102 0b_00000000,
2103 0b_00000000,
2104 0b_00000000,
2105 /* 150 */
2106 0b_00000000,
2107 0b_00000000,
2108 0b_00000000,
2109 0b_00000000,
2110 0b_11110000,
2111 0b_11110000,
2112 0b_11110000,
2113 0b_11110000,
2114 /* 151 */
2115 0b_00110011,
2116 0b_00110011,
2117 0b_11001100,
2118 0b_11001100,
2119 0b_00110011,
2120 0b_00110011,
2121 0b_11001100,
2122 0b_11001100,
2123 /* 152 */
2124 0b_00000000,
2125 0b_00100000,
2126 0b_00100000,
2127 0b_01010000,
2128 0b_01010000,
2129 0b_10001000,
2130 0b_11111000,
2131 0b_00000000,
2132 /* 153 */
2133 0b_00100000,
2134 0b_00100000,
2135 0b_01110000,
2136 0b_00100000,
2137 0b_01110000,
2138 0b_00100000,
2139 0b_00100000,
2140 0b_00000000,
2141 /* 154 */
2142 0b_00000000,
2143 0b_00000000,
2144 0b_00000000,
2145 0b_01010000,
2146 0b_10001000,
2147 0b_10101000,
2148 0b_01010000,
2149 0b_00000000,
2150 /* 155 */
2151 0b_11111111,
2152 0b_11111111,
2153 0b_11111111,
2154 0b_11111111,
2155 0b_11111111,
2156 0b_11111111,
2157 0b_11111111,
2158 0b_11111111,
2159 /* 156 */
2160 0b_00000000,
2161 0b_00000000,
2162 0b_00000000,
2163 0b_00000000,
2164 0b_11111111,
2165 0b_11111111,
2166 0b_11111111,
2167 0b_11111111,
2168 /* 157 */
2169 0b_11110000,
2170 0b_11110000,
2171 0b_11110000,
2172 0b_11110000,
2173 0b_11110000,
2174 0b_11110000,
2175 0b_11110000,
2176 0b_11110000,
2177 /* 158 */
2178 0b_00001111,
2179 0b_00001111,
2180 0b_00001111,
2181 0b_00001111,
2182 0b_00001111,
2183 0b_00001111,
2184 0b_00001111,
2185 0b_00001111,
2186 /* 159 */
2187 0b_11111111,
2188 0b_11111111,
2189 0b_11111111,
2190 0b_11111111,
2191 0b_00000000,
2192 0b_00000000,
2193 0b_00000000,
2194 0b_00000000,
2195 /* 160 */
2196 0b_00000000,
2197 0b_00000000,
2198 0b_01101000,
2199 0b_10010000,
2200 0b_10010000,
2201 0b_10010000,
2202 0b_01101000,
2203 0b_00000000,
2204 /* 161 */
2205 0b_00110000,
2206 0b_01001000,
2207 0b_01001000,
2208 0b_01110000,
2209 0b_01001000,
2210 0b_01001000,
2211 0b_01110000,
2212 0b_11000000,
2213 /* 162 */
2214 0b_11111000,
2215 0b_10001000,
2216 0b_10000000,
2217 0b_10000000,
2218 0b_10000000,
2219 0b_10000000,
2220 0b_10000000,
2221 0b_00000000,
2222 /* 163 */
2223 0b_00000000,
2224 0b_01010000,
2225 0b_01110000,
2226 0b_10001000,
2227 0b_11111000,
2228 0b_10000000,
2229 0b_01110000,
2230 0b_00000000,
2231 /* 164 */
2232 0b_00000000,
2233 0b_00000000,
2234 0b_01111000,
2235 0b_10000000,
2236 0b_11110000,
2237 0b_10000000,
2238 0b_01111000,
2239 0b_00000000,
2240 /* 165 */
2241 0b_00000000,
2242 0b_00000000,
2243 0b_01111000,
2244 0b_10010000,
2245 0b_10010000,
2246 0b_10010000,
2247 0b_01100000,
2248 0b_00000000,
2249 /* 166 */
2250 0b_00100000,
2251 0b_00000000,
2252 0b_01100000,
2253 0b_00100000,
2254 0b_00100000,
2255 0b_00100000,
2256 0b_01110000,
2257 0b_00000000,
2258 /* 167 */
2259 0b_01010000,
2260 0b_00000000,
2261 0b_01110000,
2262 0b_00100000,
2263 0b_00100000,
2264 0b_00100000,
2265 0b_01110000,
2266 0b_00000000,
2267 /* 168 */
2268 0b_11111000,
2269 0b_00100000,
2270 0b_01110000,
2271 0b_10101000,
2272 0b_10101000,
2273 0b_01110000,
2274 0b_00100000,
2275 0b_11111000,
2276 /* 169 */
2277 0b_00100000,
2278 0b_01010000,
2279 0b_10001000,
2280 0b_11111000,
2281 0b_10001000,
2282 0b_01010000,
2283 0b_00100000,
2284 0b_00000000,
2285 /* 170 */
2286 0b_01110000,
2287 0b_10001000,
2288 0b_10001000,
2289 0b_10001000,
2290 0b_01010000,
2291 0b_01010000,
2292 0b_11011000,
2293 0b_00000000,
2294 /* 171 */
2295 0b_00110000,
2296 0b_01000000,
2297 0b_01000000,
2298 0b_00100000,
2299 0b_01010000,
2300 0b_01010000,
2301 0b_01010000,
2302 0b_00100000,
2303 /* 172 */
2304 0b_00000000,
2305 0b_00000000,
2306 0b_00000000,
2307 0b_01010000,
2308 0b_10101000,
2309 0b_10101000,
2310 0b_01010000,
2311 0b_00000000,
2312 /* 173 */
2313 0b_00001000,
2314 0b_01110000,
2315 0b_10101000,
2316 0b_10101000,
2317 0b_10101000,
2318 0b_01110000,
2319 0b_10000000,
2320 0b_00000000,
2321 /* 174 */
2322 0b_00111000,
2323 0b_01000000,
2324 0b_10000000,
2325 0b_11111000,
2326 0b_10000000,
2327 0b_01000000,
2328 0b_00111000,
2329 0b_00000000,
2330 /* 175 */
2331 0b_01110000,
2332 0b_10001000,
2333 0b_10001000,
2334 0b_10001000,
2335 0b_10001000,
2336 0b_10001000,
2337 0b_10001000,
2338 0b_00000000,
2339 /* 176 */
2340 0b_00000000,
2341 0b_11111000,
2342 0b_00000000,
2343 0b_11111000,
2344 0b_00000000,
2345 0b_11111000,
2346 0b_00000000,
2347 0b_00000000,
2348 /* 177 */
2349 0b_00100000,
2350 0b_00100000,
2351 0b_11111000,
2352 0b_00100000,
2353 0b_00100000,
2354 0b_00000000,
2355 0b_11111000,
2356 0b_00000000,
2357 /* 178 */
2358 0b_11000000,
2359 0b_00110000,
2360 0b_00001000,
2361 0b_00110000,
2362 0b_11000000,
2363 0b_00000000,
2364 0b_11111000,
2365 0b_00000000,
2366 /* 179 */
2367 0b_01010000,
2368 0b_11111000,
2369 0b_10000000,
2370 0b_11110000,
2371 0b_10000000,
2372 0b_10000000,
2373 0b_11111000,
2374 0b_00000000,
2375 /* 180 */
2376 0b_01111000,
2377 0b_10000000,
2378 0b_10000000,
2379 0b_11110000,
2380 0b_10000000,
2381 0b_10000000,
2382 0b_01111000,
2383 0b_00000000,
2384 /* 181 */
2385 0b_00100000,
2386 0b_00100000,
2387 0b_00100000,
2388 0b_00100000,
2389 0b_00100000,
2390 0b_00100000,
2391 0b_10100000,
2392 0b_01000000,
2393 /* 182 */
2394 0b_01110000,
2395 0b_00100000,
2396 0b_00100000,
2397 0b_00100000,
2398 0b_00100000,
2399 0b_00100000,
2400 0b_01110000,
2401 0b_00000000,
2402 /* 183 */
2403 0b_01010000,
2404 0b_01110000,
2405 0b_00100000,
2406 0b_00100000,
2407 0b_00100000,
2408 0b_00100000,
2409 0b_01110000,
2410 0b_00000000,
2411 /* 184 */
2412 0b_00000000,
2413 0b_00011000,
2414 0b_00100100,
2415 0b_00100100,
2416 0b_00011000,
2417 0b_00000000,
2418 0b_00000000,
2419 0b_00000000,
2420 /* 185 */
2421 0b_00000000,
2422 0b_00110000,
2423 0b_01111000,
2424 0b_01111000,
2425 0b_00110000,
2426 0b_00000000,
2427 0b_00000000,
2428 0b_00000000,
2429 /* 186 */
2430 0b_00000000,
2431 0b_00000000,
2432 0b_00000000,
2433 0b_00000000,
2434 0b_00110000,
2435 0b_00000000,
2436 0b_00000000,
2437 0b_00000000,
2438 /* 187 */
2439 0b_00111110,
2440 0b_00100000,
2441 0b_00100000,
2442 0b_00100000,
2443 0b_10100000,
2444 0b_01100000,
2445 0b_00100000,
2446 0b_00000000,
2447 /* 188 */
2448 0b_10100000,
2449 0b_01010000,
2450 0b_01010000,
2451 0b_01010000,
2452 0b_00000000,
2453 0b_00000000,
2454 0b_00000000,
2455 0b_00000000,
2456 /* 189 */
2457 0b_01000000,
2458 0b_10100000,
2459 0b_00100000,
2460 0b_01000000,
2461 0b_11100000,
2462 0b_00000000,
2463 0b_00000000,
2464 0b_00000000,
2465 /* 190 */
2466 0b_00000000,
2467 0b_00111000,
2468 0b_00111000,
2469 0b_00111000,
2470 0b_00111000,
2471 0b_00111000,
2472 0b_00111000,
2473 0b_00000000,
2474 /* 191 */
2475 0b_00111100,
2476 0b_01000010,
2477 0b_10011001,
2478 0b_10100001,
2479 0b_10100001,
2480 0b_10011001,
2481 0b_01000010,
2482 0b_00111100,
2483 /* 192 */
2484 0b_00000000,
2485 0b_00000000,
2486 0b_10010000,
2487 0b_10101000,
2488 0b_11101000,
2489 0b_10101000,
2490 0b_10010000,
2491 0b_00000000,
2492 /* 193 */
2493 0b_00000000,
2494 0b_00000000,
2495 0b_01100000,
2496 0b_00010000,
2497 0b_01110000,
2498 0b_10010000,
2499 0b_01101000,
2500 0b_00000000,
2501 /* 194 */
2502 0b_00000000,
2503 0b_00000000,
2504 0b_11110000,
2505 0b_10000000,
2506 0b_11110000,
2507 0b_10001000,
2508 0b_11110000,
2509 0b_00000000,
2510 /* 195 */
2511 0b_00000000,
2512 0b_00000000,
2513 0b_10010000,
2514 0b_10010000,
2515 0b_10010000,
2516 0b_11111000,
2517 0b_00001000,
2518 0b_00000000,
2519 /* 196 */
2520 0b_00000000,
2521 0b_00000000,
2522 0b_00110000,
2523 0b_01010000,
2524 0b_01010000,
2525 0b_01110000,
2526 0b_10001000,
2527 0b_00000000,
2528 /* 197 */
2529 0b_00000000,
2530 0b_00000000,
2531 0b_01110000,
2532 0b_10001000,
2533 0b_11111000,
2534 0b_10000000,
2535 0b_01110000,
2536 0b_00000000,
2537 /* 198 */
2538 0b_00000000,
2539 0b_00100000,
2540 0b_01110000,
2541 0b_10101000,
2542 0b_10101000,
2543 0b_01110000,
2544 0b_00100000,
2545 0b_00000000,
2546 /* 199 */
2547 0b_00000000,
2548 0b_00000000,
2549 0b_01111000,
2550 0b_01001000,
2551 0b_01000000,
2552 0b_01000000,
2553 0b_01000000,
2554 0b_00000000,
2555 /* 200 */
2556 0b_00000000,
2557 0b_00000000,
2558 0b_10001000,
2559 0b_01010000,
2560 0b_00100000,
2561 0b_01010000,
2562 0b_10001000,
2563 0b_00000000,
2564 /* 201 */
2565 0b_00000000,
2566 0b_00000000,
2567 0b_10001000,
2568 0b_10011000,
2569 0b_10101000,
2570 0b_11001000,
2571 0b_10001000,
2572 0b_00000000,
2573 /* 202 */
2574 0b_00000000,
2575 0b_01010000,
2576 0b_00100000,
2577 0b_00000000,
2578 0b_10011000,
2579 0b_10101000,
2580 0b_11001000,
2581 0b_00000000,
2582 /* 203 */
2583 0b_00000000,
2584 0b_00000000,
2585 0b_10010000,
2586 0b_10100000,
2587 0b_11000000,
2588 0b_10100000,
2589 0b_10010000,
2590 0b_00000000,
2591 /* 204 */
2592 0b_00000000,
2593 0b_00000000,
2594 0b_00111000,
2595 0b_00101000,
2596 0b_00101000,
2597 0b_01001000,
2598 0b_10001000,
2599 0b_00000000,
2600 /* 205 */
2601 0b_00000000,
2602 0b_00000000,
2603 0b_10001000,
2604 0b_11011000,
2605 0b_10101000,
2606 0b_10001000,
2607 0b_10001000,
2608 0b_00000000,
2609 /* 206 */
2610 0b_00000000,
2611 0b_00000000,
2612 0b_10001000,
2613 0b_10001000,
2614 0b_11111000,
2615 0b_10001000,
2616 0b_10001000,
2617 0b_00000000,
2618 /* 207 */
2619 0b_00000000,
2620 0b_00000000,
2621 0b_01110000,
2622 0b_10001000,
2623 0b_10001000,
2624 0b_10001000,
2625 0b_01110000,
2626 0b_00000000,
2627 /* 208 */
2628 0b_00000000,
2629 0b_00000000,
2630 0b_01111000,
2631 0b_01001000,
2632 0b_01001000,
2633 0b_01001000,
2634 0b_01001000,
2635 0b_00000000,
2636 /* 209 */
2637 0b_00000000,
2638 0b_00000000,
2639 0b_01111000,
2640 0b_10001000,
2641 0b_01111000,
2642 0b_00101000,
2643 0b_01001000,
2644 0b_00000000,
2645 /* 210 */
2646 0b_00000000,
2647 0b_00000000,
2648 0b_11110000,
2649 0b_10001000,
2650 0b_11110000,
2651 0b_10000000,
2652 0b_10000000,
2653 0b_00000000,
2654 /* 211 */
2655 0b_00000000,
2656 0b_00000000,
2657 0b_01111000,
2658 0b_10000000,
2659 0b_10000000,
2660 0b_10000000,
2661 0b_01111000,
2662 0b_00000000,
2663 /* 212 */
2664 0b_00000000,
2665 0b_00000000,
2666 0b_11111000,
2667 0b_00100000,
2668 0b_00100000,
2669 0b_00100000,
2670 0b_00100000,
2671 0b_00000000,
2672 /* 213 */
2673 0b_00000000,
2674 0b_00000000,
2675 0b_10001000,
2676 0b_01010000,
2677 0b_00100000,
2678 0b_01000000,
2679 0b_10000000,
2680 0b_00000000,
2681 /* 214 */
2682 0b_00000000,
2683 0b_00000000,
2684 0b_10101000,
2685 0b_01110000,
2686 0b_00100000,
2687 0b_01110000,
2688 0b_10101000,
2689 0b_00000000,
2690 /* 215 */
2691 0b_00000000,
2692 0b_00000000,
2693 0b_11110000,
2694 0b_01001000,
2695 0b_01110000,
2696 0b_01001000,
2697 0b_11110000,
2698 0b_00000000,
2699 /* 216 */
2700 0b_00000000,
2701 0b_00000000,
2702 0b_01000000,
2703 0b_01000000,
2704 0b_01110000,
2705 0b_01001000,
2706 0b_01110000,
2707 0b_00000000,
2708 /* 217 */
2709 0b_00000000,
2710 0b_00000000,
2711 0b_10001000,
2712 0b_10001000,
2713 0b_11001000,
2714 0b_10101000,
2715 0b_11001000,
2716 0b_00000000,
2717 /* 218 */
2718 0b_00000000,
2719 0b_00000000,
2720 0b_11110000,
2721 0b_00001000,
2722 0b_01110000,
2723 0b_00001000,
2724 0b_11110000,
2725 0b_00000000,
2726 /* 219 */
2727 0b_00000000,
2728 0b_00000000,
2729 0b_10101000,
2730 0b_10101000,
2731 0b_10101000,
2732 0b_10101000,
2733 0b_11111000,
2734 0b_00000000,
2735 /* 220 */
2736 0b_00000000,
2737 0b_00000000,
2738 0b_01110000,
2739 0b_10001000,
2740 0b_00111000,
2741 0b_10001000,
2742 0b_01110000,
2743 0b_00000000,
2744 /* 221 */
2745 0b_00000000,
2746 0b_00000000,
2747 0b_10101000,
2748 0b_10101000,
2749 0b_10101000,
2750 0b_11111000,
2751 0b_00001000,
2752 0b_00000000,
2753 /* 222 */
2754 0b_00000000,
2755 0b_00000000,
2756 0b_01001000,
2757 0b_01001000,
2758 0b_01111000,
2759 0b_00001000,
2760 0b_00001000,
2761 0b_00000000,
2762 /* 223 */
2763 0b_00000000,
2764 0b_00000000,
2765 0b_11000000,
2766 0b_01000000,
2767 0b_01110000,
2768 0b_01001000,
2769 0b_01110000,
2770 0b_00000000,
2771 /* 224 */
2772 0b_10010000,
2773 0b_10101000,
2774 0b_10101000,
2775 0b_11101000,
2776 0b_10101000,
2777 0b_10101000,
2778 0b_10010000,
2779 0b_00000000,
2780 /* 225 */
2781 0b_00100000,
2782 0b_01010000,
2783 0b_10001000,
2784 0b_10001000,
2785 0b_11111000,
2786 0b_10001000,
2787 0b_10001000,
2788 0b_00000000,
2789 /* 226 */
2790 0b_11111000,
2791 0b_10001000,
2792 0b_10000000,
2793 0b_11110000,
2794 0b_10001000,
2795 0b_10001000,
2796 0b_11110000,
2797 0b_00000000,
2798 /* 227 */
2799 0b_10010000,
2800 0b_10010000,
2801 0b_10010000,
2802 0b_10010000,
2803 0b_10010000,
2804 0b_11111000,
2805 0b_00001000,
2806 0b_00000000,
2807 /* 228 */
2808 0b_00111000,
2809 0b_00101000,
2810 0b_00101000,
2811 0b_01001000,
2812 0b_01001000,
2813 0b_11111000,
2814 0b_10001000,
2815 0b_00000000,
2816 /* 229 */
2817 0b_11111000,
2818 0b_10000000,
2819 0b_10000000,
2820 0b_11110000,
2821 0b_10000000,
2822 0b_10000000,
2823 0b_11111000,
2824 0b_00000000,
2825 /* 230 */
2826 0b_00100000,
2827 0b_01110000,
2828 0b_10101000,
2829 0b_10101000,
2830 0b_10101000,
2831 0b_01110000,
2832 0b_00100000,
2833 0b_00000000,
2834 /* 231 */
2835 0b_11111000,
2836 0b_10001000,
2837 0b_10001000,
2838 0b_10000000,
2839 0b_10000000,
2840 0b_10000000,
2841 0b_10000000,
2842 0b_00000000,
2843 /* 232 */
2844 0b_10001000,
2845 0b_10001000,
2846 0b_01010000,
2847 0b_00100000,
2848 0b_01010000,
2849 0b_10001000,
2850 0b_10001000,
2851 0b_00000000,
2852 /* 233 */
2853 0b_10001000,
2854 0b_10001000,
2855 0b_10011000,
2856 0b_10101000,
2857 0b_11001000,
2858 0b_10001000,
2859 0b_10001000,
2860 0b_00000000,
2861 /* 234 */
2862 0b_01010000,
2863 0b_00100000,
2864 0b_10001000,
2865 0b_10011000,
2866 0b_10101000,
2867 0b_11001000,
2868 0b_10001000,
2869 0b_00000000,
2870 /* 235 */
2871 0b_10001000,
2872 0b_10010000,
2873 0b_10100000,
2874 0b_11000000,
2875 0b_10100000,
2876 0b_10010000,
2877 0b_10001000,
2878 0b_00000000,
2879 /* 236 */
2880 0b_00011000,
2881 0b_00101000,
2882 0b_01001000,
2883 0b_01001000,
2884 0b_01001000,
2885 0b_01001000,
2886 0b_10001000,
2887 0b_00000000,
2888 /* 237 */
2889 0b_10001000,
2890 0b_11011000,
2891 0b_10101000,
2892 0b_10101000,
2893 0b_10001000,
2894 0b_10001000,
2895 0b_10001000,
2896 0b_00000000,
2897 /* 238 */
2898 0b_10001000,
2899 0b_10001000,
2900 0b_10001000,
2901 0b_11111000,
2902 0b_10001000,
2903 0b_10001000,
2904 0b_10001000,
2905 0b_00000000,
2906 /* 239 */
2907 0b_01110000,
2908 0b_10001000,
2909 0b_10001000,
2910 0b_10001000,
2911 0b_10001000,
2912 0b_10001000,
2913 0b_01110000,
2914 0b_00000000,
2915 /* 240 */
2916 0b_11111000,
2917 0b_10001000,
2918 0b_10001000,
2919 0b_10001000,
2920 0b_10001000,
2921 0b_10001000,
2922 0b_10001000,
2923 0b_00000000,
2924 /* 241 */
2925 0b_01111000,
2926 0b_10001000,
2927 0b_10001000,
2928 0b_01111000,
2929 0b_00101000,
2930 0b_01001000,
2931 0b_10001000,
2932 0b_00000000,
2933 /* 242 */
2934 0b_11110000,
2935 0b_10001000,
2936 0b_10001000,
2937 0b_11110000,
2938 0b_10000000,
2939 0b_10000000,
2940 0b_10000000,
2941 0b_00000000,
2942 /* 243 */
2943 0b_01110000,
2944 0b_10001000,
2945 0b_10000000,
2946 0b_10000000,
2947 0b_10000000,
2948 0b_10001000,
2949 0b_01110000,
2950 0b_00000000,
2951 /* 244 */
2952 0b_11111000,
2953 0b_00100000,
2954 0b_00100000,
2955 0b_00100000,
2956 0b_00100000,
2957 0b_00100000,
2958 0b_00100000,
2959 0b_00000000,
2960 /* 245 */
2961 0b_10001000,
2962 0b_10001000,
2963 0b_10001000,
2964 0b_01010000,
2965 0b_00100000,
2966 0b_01000000,
2967 0b_10000000,
2968 0b_00000000,
2969 /* 246 */
2970 0b_10101000,
2971 0b_10101000,
2972 0b_01110000,
2973 0b_00100000,
2974 0b_01110000,
2975 0b_10101000,
2976 0b_10101000,
2977 0b_00000000,
2978 /* 247 */
2979 0b_11110000,
2980 0b_01001000,
2981 0b_01001000,
2982 0b_01110000,
2983 0b_01001000,
2984 0b_01001000,
2985 0b_11110000,
2986 0b_00000000,
2987 /* 248 */
2988 0b_10000000,
2989 0b_10000000,
2990 0b_10000000,
2991 0b_11110000,
2992 0b_10001000,
2993 0b_10001000,
2994 0b_11110000,
2995 0b_00000000,
2996 /* 249 */
2997 0b_10001000,
2998 0b_10001000,
2999 0b_10001000,
3000 0b_11001000,
3001 0b_10101000,
3002 0b_10101000,
3003 0b_11001000,
3004 0b_00000000,
3005 /* 250 */
3006 0b_11110000,
3007 0b_00001000,
3008 0b_00001000,
3009 0b_00110000,
3010 0b_00001000,
3011 0b_00001000,
3012 0b_11110000,
3013 0b_00000000,
3014 /* 251 */
3015 0b_10101000,
3016 0b_10101000,
3017 0b_10101000,
3018 0b_10101000,
3019 0b_10101000,
3020 0b_10101000,
3021 0b_11111000,
3022 0b_00000000,
3023 /* 252 */
3024 0b_01110000,
3025 0b_10001000,
3026 0b_00001000,
3027 0b_01111000,
3028 0b_00001000,
3029 0b_10001000,
3030 0b_01110000,
3031 0b_00000000,
3032 /* 253 */
3033 0b_10101000,
3034 0b_10101000,
3035 0b_10101000,
3036 0b_10101000,
3037 0b_10101000,
3038 0b_11111000,
3039 0b_00001000,
3040 0b_00000000,
3041 /* 254 */
3042 0b_10001000,
3043 0b_10001000,
3044 0b_10001000,
3045 0b_10001000,
3046 0b_01111000,
3047 0b_00001000,
3048 0b_00001000,
3049 0b_00000000,
3050 /* 255 */
3051 0b_11000000,
3052 0b_01000000,
3053 0b_01000000,
3054 0b_01110000,
3055 0b_01001000,
3056 0b_01001000,
3057 0b_01110000,
3058 0b_00000000,
3062 // bits 0..3: width
3063 // bits 4..7: lshift
3064 public immutable ubyte[256] vlFontPropWidth = () {
3065 ubyte[256] res;
3066 foreach (immutable cnum; 0..256) {
3067 import core.bitop : bsf, bsr;
3068 immutable doshift =
3069 (cnum >= 32 && cnum <= 127) ||
3070 (cnum >= 143 && cnum <= 144) ||
3071 (cnum >= 166 && cnum <= 167) ||
3072 (cnum >= 192 && cnum <= 255);
3073 int shift = 0;
3074 if (doshift) {
3075 shift = 8;
3076 foreach (immutable dy; 0..8) {
3077 immutable b = vlFont6[cnum*8+dy];
3078 if (b) {
3079 immutable mn = 7-bsr(b);
3080 if (mn < shift) shift = mn;
3084 ubyte wdt = 0;
3085 foreach (immutable dy; 0..8) {
3086 immutable b = (vlFont6[cnum*8+dy]<<shift);
3087 immutable cwdt = (b ? 8-bsf(b) : 0);
3088 if (cwdt > wdt) wdt = cast(ubyte)cwdt;
3090 switch (cnum) {
3091 case 0: wdt = 8; break; // 8px space
3092 case 32: wdt = 5; break; // 5px space
3093 case 17: .. case 27: wdt = 8; break; // single frames
3094 case 48: .. case 57: wdt = 5; break; // digits are monospaced
3095 case 127: .. case 142: wdt = 8; break; // filled frames
3096 case 145: .. case 151: wdt = 8; break; // filled frames
3097 case 155: .. case 159: wdt = 8; break; // filled frames
3098 default:
3100 res[cnum] = (wdt&0x0f)|((shift<<4)&0xf0);
3102 return res;
3103 }();
3106 public static immutable ubyte[256*8] dosFont8 = [
3107 /* 0x00 */
3108 0b_00000000,
3109 0b_00000000,
3110 0b_00000000,
3111 0b_00000000,
3112 0b_00000000,
3113 0b_00000000,
3114 0b_00000000,
3115 0b_00000000,
3116 /* 0x01 */
3117 0b_01111110,
3118 0b_10000001,
3119 0b_10100101,
3120 0b_10000001,
3121 0b_10111101,
3122 0b_10011001,
3123 0b_10000001,
3124 0b_01111110,
3125 /* 0x02 */
3126 0b_01111110,
3127 0b_11111111,
3128 0b_11011011,
3129 0b_11111111,
3130 0b_11000011,
3131 0b_11100111,
3132 0b_11111111,
3133 0b_01111110,
3134 /* 0x03 */
3135 0b_01101100,
3136 0b_11111110,
3137 0b_11111110,
3138 0b_11111110,
3139 0b_01111100,
3140 0b_00111000,
3141 0b_00010000,
3142 0b_00000000,
3143 /* 0x04 */
3144 0b_00010000,
3145 0b_00111000,
3146 0b_01111100,
3147 0b_11111110,
3148 0b_01111100,
3149 0b_00111000,
3150 0b_00010000,
3151 0b_00000000,
3152 /* 0x05 */
3153 0b_00111000,
3154 0b_01111100,
3155 0b_00111000,
3156 0b_11111110,
3157 0b_11111110,
3158 0b_11010110,
3159 0b_00010000,
3160 0b_00111000,
3161 /* 0x06 */
3162 0b_00010000,
3163 0b_00010000,
3164 0b_00111000,
3165 0b_01111100,
3166 0b_11111110,
3167 0b_01111100,
3168 0b_00010000,
3169 0b_00111000,
3170 /* 0x07 */
3171 0b_00000000,
3172 0b_00000000,
3173 0b_00011000,
3174 0b_00111100,
3175 0b_00111100,
3176 0b_00011000,
3177 0b_00000000,
3178 0b_00000000,
3179 /* 0x08 */
3180 0b_11111111,
3181 0b_11111111,
3182 0b_11100111,
3183 0b_11000011,
3184 0b_11000011,
3185 0b_11100111,
3186 0b_11111111,
3187 0b_11111111,
3188 /* 0x09 */
3189 0b_00000000,
3190 0b_00111100,
3191 0b_01100110,
3192 0b_01000010,
3193 0b_01000010,
3194 0b_01100110,
3195 0b_00111100,
3196 0b_00000000,
3197 /* 0x0a */
3198 0b_11111111,
3199 0b_11000011,
3200 0b_10011001,
3201 0b_10111101,
3202 0b_10111101,
3203 0b_10011001,
3204 0b_11000011,
3205 0b_11111111,
3206 /* 0x0b */
3207 0b_00001111,
3208 0b_00000111,
3209 0b_00001111,
3210 0b_01111101,
3211 0b_11001100,
3212 0b_11001100,
3213 0b_11001100,
3214 0b_01111000,
3215 /* 0x0c */
3216 0b_00111100,
3217 0b_01100110,
3218 0b_01100110,
3219 0b_01100110,
3220 0b_00111100,
3221 0b_00011000,
3222 0b_01111110,
3223 0b_00011000,
3224 /* 0x0d */
3225 0b_00111111,
3226 0b_00110011,
3227 0b_00111111,
3228 0b_00110000,
3229 0b_00110000,
3230 0b_01110000,
3231 0b_11110000,
3232 0b_11100000,
3233 /* 0x0e */
3234 0b_01111111,
3235 0b_01100011,
3236 0b_01111111,
3237 0b_01100011,
3238 0b_01100011,
3239 0b_01100111,
3240 0b_11100110,
3241 0b_11000000,
3242 /* 0x0f */
3243 0b_10011001,
3244 0b_01011010,
3245 0b_00111100,
3246 0b_11100111,
3247 0b_11100111,
3248 0b_00111100,
3249 0b_01011010,
3250 0b_10011001,
3251 /* 0x10 */
3252 0b_10000000,
3253 0b_11100000,
3254 0b_11111000,
3255 0b_11111110,
3256 0b_11111000,
3257 0b_11100000,
3258 0b_10000000,
3259 0b_00000000,
3260 /* 0x11 */
3261 0b_00000010,
3262 0b_00001110,
3263 0b_00111110,
3264 0b_11111110,
3265 0b_00111110,
3266 0b_00001110,
3267 0b_00000010,
3268 0b_00000000,
3269 /* 0x12 */
3270 0b_00011000,
3271 0b_00111100,
3272 0b_01111110,
3273 0b_00011000,
3274 0b_00011000,
3275 0b_01111110,
3276 0b_00111100,
3277 0b_00011000,
3278 /* 0x13 */
3279 0b_01100110,
3280 0b_01100110,
3281 0b_01100110,
3282 0b_01100110,
3283 0b_01100110,
3284 0b_00000000,
3285 0b_01100110,
3286 0b_00000000,
3287 /* 0x14 */
3288 0b_01111111,
3289 0b_11011011,
3290 0b_11011011,
3291 0b_01111011,
3292 0b_00011011,
3293 0b_00011011,
3294 0b_00011011,
3295 0b_00000000,
3296 /* 0x15 */
3297 0b_01111110,
3298 0b_11000011,
3299 0b_01111000,
3300 0b_11001100,
3301 0b_11001100,
3302 0b_01111000,
3303 0b_10001100,
3304 0b_11111000,
3305 /* 0x16 */
3306 0b_00000000,
3307 0b_00000000,
3308 0b_00000000,
3309 0b_00000000,
3310 0b_01111110,
3311 0b_01111110,
3312 0b_01111110,
3313 0b_00000000,
3314 /* 0x17 */
3315 0b_00011000,
3316 0b_00111100,
3317 0b_01111110,
3318 0b_00011000,
3319 0b_01111110,
3320 0b_00111100,
3321 0b_00011000,
3322 0b_11111111,
3323 /* 0x18 */
3324 0b_00011000,
3325 0b_00111100,
3326 0b_01111110,
3327 0b_00011000,
3328 0b_00011000,
3329 0b_00011000,
3330 0b_00011000,
3331 0b_00000000,
3332 /* 0x19 */
3333 0b_00011000,
3334 0b_00011000,
3335 0b_00011000,
3336 0b_00011000,
3337 0b_01111110,
3338 0b_00111100,
3339 0b_00011000,
3340 0b_00000000,
3341 /* 0x1a */
3342 0b_00000000,
3343 0b_00011000,
3344 0b_00001100,
3345 0b_11111110,
3346 0b_00001100,
3347 0b_00011000,
3348 0b_00000000,
3349 0b_00000000,
3350 /* 0x1b */
3351 0b_00000000,
3352 0b_00110000,
3353 0b_01100000,
3354 0b_11111110,
3355 0b_01100000,
3356 0b_00110000,
3357 0b_00000000,
3358 0b_00000000,
3359 /* 0x1c */
3360 0b_00000000,
3361 0b_00000000,
3362 0b_11000000,
3363 0b_11000000,
3364 0b_11000000,
3365 0b_11111110,
3366 0b_00000000,
3367 0b_00000000,
3368 /* 0x1d */
3369 0b_00000000,
3370 0b_00100100,
3371 0b_01100110,
3372 0b_11111111,
3373 0b_01100110,
3374 0b_00100100,
3375 0b_00000000,
3376 0b_00000000,
3377 /* 0x1e */
3378 0b_00000000,
3379 0b_00011000,
3380 0b_00111100,
3381 0b_01111110,
3382 0b_11111111,
3383 0b_11111111,
3384 0b_00000000,
3385 0b_00000000,
3386 /* 0x1f */
3387 0b_00000000,
3388 0b_11111111,
3389 0b_11111111,
3390 0b_01111110,
3391 0b_00111100,
3392 0b_00011000,
3393 0b_00000000,
3394 0b_00000000,
3395 /* 0x20 */
3396 0b_00000000,
3397 0b_00000000,
3398 0b_00000000,
3399 0b_00000000,
3400 0b_00000000,
3401 0b_00000000,
3402 0b_00000000,
3403 0b_00000000,
3404 /* ! */
3405 0b_00110000,
3406 0b_01111000,
3407 0b_01111000,
3408 0b_00110000,
3409 0b_00110000,
3410 0b_00000000,
3411 0b_00110000,
3412 0b_00000000,
3413 /* " */
3414 0b_01101100,
3415 0b_01101100,
3416 0b_01101100,
3417 0b_00000000,
3418 0b_00000000,
3419 0b_00000000,
3420 0b_00000000,
3421 0b_00000000,
3422 /* # */
3423 0b_01101100,
3424 0b_01101100,
3425 0b_11111110,
3426 0b_01101100,
3427 0b_11111110,
3428 0b_01101100,
3429 0b_01101100,
3430 0b_00000000,
3431 /* $ */
3432 0b_00110000,
3433 0b_01111100,
3434 0b_11000000,
3435 0b_01111000,
3436 0b_00001100,
3437 0b_11111000,
3438 0b_00110000,
3439 0b_00000000,
3440 /* % */
3441 0b_00000000,
3442 0b_11000110,
3443 0b_11001100,
3444 0b_00011000,
3445 0b_00110000,
3446 0b_01100110,
3447 0b_11000110,
3448 0b_00000000,
3449 /* & */
3450 0b_00111000,
3451 0b_01101100,
3452 0b_00111000,
3453 0b_01110110,
3454 0b_11011100,
3455 0b_11001100,
3456 0b_01110110,
3457 0b_00000000,
3458 /* ' */
3459 0b_01100000,
3460 0b_01100000,
3461 0b_11000000,
3462 0b_00000000,
3463 0b_00000000,
3464 0b_00000000,
3465 0b_00000000,
3466 0b_00000000,
3467 /* ( */
3468 0b_00011000,
3469 0b_00110000,
3470 0b_01100000,
3471 0b_01100000,
3472 0b_01100000,
3473 0b_00110000,
3474 0b_00011000,
3475 0b_00000000,
3476 /* ) */
3477 0b_01100000,
3478 0b_00110000,
3479 0b_00011000,
3480 0b_00011000,
3481 0b_00011000,
3482 0b_00110000,
3483 0b_01100000,
3484 0b_00000000,
3485 /* * */
3486 0b_00000000,
3487 0b_01100110,
3488 0b_00111100,
3489 0b_11111111,
3490 0b_00111100,
3491 0b_01100110,
3492 0b_00000000,
3493 0b_00000000,
3494 /* + */
3495 0b_00000000,
3496 0b_00110000,
3497 0b_00110000,
3498 0b_11111100,
3499 0b_00110000,
3500 0b_00110000,
3501 0b_00000000,
3502 0b_00000000,
3503 /* , */
3504 0b_00000000,
3505 0b_00000000,
3506 0b_00000000,
3507 0b_00000000,
3508 0b_00000000,
3509 0b_01110000,
3510 0b_00110000,
3511 0b_01100000,
3512 /* - */
3513 0b_00000000,
3514 0b_00000000,
3515 0b_00000000,
3516 0b_11111100,
3517 0b_00000000,
3518 0b_00000000,
3519 0b_00000000,
3520 0b_00000000,
3521 /* . */
3522 0b_00000000,
3523 0b_00000000,
3524 0b_00000000,
3525 0b_00000000,
3526 0b_00000000,
3527 0b_00110000,
3528 0b_00110000,
3529 0b_00000000,
3530 /* / */
3531 0b_00000110,
3532 0b_00001100,
3533 0b_00011000,
3534 0b_00110000,
3535 0b_01100000,
3536 0b_11000000,
3537 0b_10000000,
3538 0b_00000000,
3539 /* 0 */
3540 0b_01111000,
3541 0b_11001100,
3542 0b_11011100,
3543 0b_11111100,
3544 0b_11101100,
3545 0b_11001100,
3546 0b_01111000,
3547 0b_00000000,
3548 /* 1 */
3549 0b_00110000,
3550 0b_11110000,
3551 0b_00110000,
3552 0b_00110000,
3553 0b_00110000,
3554 0b_00110000,
3555 0b_11111100,
3556 0b_00000000,
3557 /* 2 */
3558 0b_01111000,
3559 0b_11001100,
3560 0b_00001100,
3561 0b_00111000,
3562 0b_01100000,
3563 0b_11001100,
3564 0b_11111100,
3565 0b_00000000,
3566 /* 3 */
3567 0b_01111000,
3568 0b_11001100,
3569 0b_00001100,
3570 0b_00111000,
3571 0b_00001100,
3572 0b_11001100,
3573 0b_01111000,
3574 0b_00000000,
3575 /* 4 */
3576 0b_00011100,
3577 0b_00111100,
3578 0b_01101100,
3579 0b_11001100,
3580 0b_11111110,
3581 0b_00001100,
3582 0b_00001100,
3583 0b_00000000,
3584 /* 5 */
3585 0b_11111100,
3586 0b_11000000,
3587 0b_11111000,
3588 0b_00001100,
3589 0b_00001100,
3590 0b_11001100,
3591 0b_01111000,
3592 0b_00000000,
3593 /* 6 */
3594 0b_00111000,
3595 0b_01100000,
3596 0b_11000000,
3597 0b_11111000,
3598 0b_11001100,
3599 0b_11001100,
3600 0b_01111000,
3601 0b_00000000,
3602 /* 7 */
3603 0b_11111100,
3604 0b_11001100,
3605 0b_00001100,
3606 0b_00011000,
3607 0b_00110000,
3608 0b_01100000,
3609 0b_01100000,
3610 0b_00000000,
3611 /* 8 */
3612 0b_01111000,
3613 0b_11001100,
3614 0b_11001100,
3615 0b_01111000,
3616 0b_11001100,
3617 0b_11001100,
3618 0b_01111000,
3619 0b_00000000,
3620 /* 9 */
3621 0b_01111000,
3622 0b_11001100,
3623 0b_11001100,
3624 0b_01111100,
3625 0b_00001100,
3626 0b_00011000,
3627 0b_01110000,
3628 0b_00000000,
3629 /* : */
3630 0b_00000000,
3631 0b_00000000,
3632 0b_00110000,
3633 0b_00110000,
3634 0b_00000000,
3635 0b_00110000,
3636 0b_00110000,
3637 0b_00000000,
3638 /* ; */
3639 0b_00000000,
3640 0b_00000000,
3641 0b_00110000,
3642 0b_00110000,
3643 0b_00000000,
3644 0b_01110000,
3645 0b_00110000,
3646 0b_01100000,
3647 /* < */
3648 0b_00011000,
3649 0b_00110000,
3650 0b_01100000,
3651 0b_11000000,
3652 0b_01100000,
3653 0b_00110000,
3654 0b_00011000,
3655 0b_00000000,
3656 /* = */
3657 0b_00000000,
3658 0b_00000000,
3659 0b_11111100,
3660 0b_00000000,
3661 0b_11111100,
3662 0b_00000000,
3663 0b_00000000,
3664 0b_00000000,
3665 /* > */
3666 0b_01100000,
3667 0b_00110000,
3668 0b_00011000,
3669 0b_00001100,
3670 0b_00011000,
3671 0b_00110000,
3672 0b_01100000,
3673 0b_00000000,
3674 /* ? */
3675 0b_01111000,
3676 0b_11001100,
3677 0b_00001100,
3678 0b_00011000,
3679 0b_00110000,
3680 0b_00000000,
3681 0b_00110000,
3682 0b_00000000,
3683 /* @ */
3684 0b_01111100,
3685 0b_11000110,
3686 0b_11011110,
3687 0b_11011110,
3688 0b_11011110,
3689 0b_11000000,
3690 0b_01111000,
3691 0b_00000000,
3692 /* A */
3693 0b_00110000,
3694 0b_01111000,
3695 0b_11001100,
3696 0b_11001100,
3697 0b_11111100,
3698 0b_11001100,
3699 0b_11001100,
3700 0b_00000000,
3701 /* B */
3702 0b_11111100,
3703 0b_01100110,
3704 0b_01100110,
3705 0b_01111100,
3706 0b_01100110,
3707 0b_01100110,
3708 0b_11111100,
3709 0b_00000000,
3710 /* C */
3711 0b_00111100,
3712 0b_01100110,
3713 0b_11000000,
3714 0b_11000000,
3715 0b_11000000,
3716 0b_01100110,
3717 0b_00111100,
3718 0b_00000000,
3719 /* D */
3720 0b_11111100,
3721 0b_01101100,
3722 0b_01100110,
3723 0b_01100110,
3724 0b_01100110,
3725 0b_01101100,
3726 0b_11111100,
3727 0b_00000000,
3728 /* E */
3729 0b_11111110,
3730 0b_01100010,
3731 0b_01101000,
3732 0b_01111000,
3733 0b_01101000,
3734 0b_01100010,
3735 0b_11111110,
3736 0b_00000000,
3737 /* F */
3738 0b_11111110,
3739 0b_01100010,
3740 0b_01101000,
3741 0b_01111000,
3742 0b_01101000,
3743 0b_01100000,
3744 0b_11110000,
3745 0b_00000000,
3746 /* G */
3747 0b_00111100,
3748 0b_01100110,
3749 0b_11000000,
3750 0b_11000000,
3751 0b_11001110,
3752 0b_01100110,
3753 0b_00111110,
3754 0b_00000000,
3755 /* H */
3756 0b_11001100,
3757 0b_11001100,
3758 0b_11001100,
3759 0b_11111100,
3760 0b_11001100,
3761 0b_11001100,
3762 0b_11001100,
3763 0b_00000000,
3764 /* I */
3765 0b_01111000,
3766 0b_00110000,
3767 0b_00110000,
3768 0b_00110000,
3769 0b_00110000,
3770 0b_00110000,
3771 0b_01111000,
3772 0b_00000000,
3773 /* J */
3774 0b_00011110,
3775 0b_00001100,
3776 0b_00001100,
3777 0b_00001100,
3778 0b_11001100,
3779 0b_11001100,
3780 0b_01111000,
3781 0b_00000000,
3782 /* K */
3783 0b_11100110,
3784 0b_01100110,
3785 0b_01101100,
3786 0b_01111000,
3787 0b_01101100,
3788 0b_01100110,
3789 0b_11100110,
3790 0b_00000000,
3791 /* L */
3792 0b_11110000,
3793 0b_01100000,
3794 0b_01100000,
3795 0b_01100000,
3796 0b_01100010,
3797 0b_01100110,
3798 0b_11111110,
3799 0b_00000000,
3800 /* M */
3801 0b_11000110,
3802 0b_11101110,
3803 0b_11111110,
3804 0b_11010110,
3805 0b_11000110,
3806 0b_11000110,
3807 0b_11000110,
3808 0b_00000000,
3809 /* N */
3810 0b_11000110,
3811 0b_11100110,
3812 0b_11110110,
3813 0b_11011110,
3814 0b_11001110,
3815 0b_11000110,
3816 0b_11000110,
3817 0b_00000000,
3818 /* O */
3819 0b_00111000,
3820 0b_01101100,
3821 0b_11000110,
3822 0b_11000110,
3823 0b_11000110,
3824 0b_01101100,
3825 0b_00111000,
3826 0b_00000000,
3827 /* P */
3828 0b_11111100,
3829 0b_01100110,
3830 0b_01100110,
3831 0b_01111100,
3832 0b_01100000,
3833 0b_01100000,
3834 0b_11110000,
3835 0b_00000000,
3836 /* Q */
3837 0b_01111000,
3838 0b_11001100,
3839 0b_11001100,
3840 0b_11001100,
3841 0b_11011100,
3842 0b_01111000,
3843 0b_00011100,
3844 0b_00000000,
3845 /* R */
3846 0b_11111100,
3847 0b_01100110,
3848 0b_01100110,
3849 0b_01111100,
3850 0b_01111000,
3851 0b_01101100,
3852 0b_11100110,
3853 0b_00000000,
3854 /* S */
3855 0b_01111000,
3856 0b_11001100,
3857 0b_11100000,
3858 0b_00111000,
3859 0b_00011100,
3860 0b_11001100,
3861 0b_01111000,
3862 0b_00000000,
3863 /* T */
3864 0b_11111100,
3865 0b_10110100,
3866 0b_00110000,
3867 0b_00110000,
3868 0b_00110000,
3869 0b_00110000,
3870 0b_01111000,
3871 0b_00000000,
3872 /* U */
3873 0b_11001100,
3874 0b_11001100,
3875 0b_11001100,
3876 0b_11001100,
3877 0b_11001100,
3878 0b_11001100,
3879 0b_11111100,
3880 0b_00000000,
3881 /* V */
3882 0b_11001100,
3883 0b_11001100,
3884 0b_11001100,
3885 0b_11001100,
3886 0b_11001100,
3887 0b_01111000,
3888 0b_00110000,
3889 0b_00000000,
3890 /* W */
3891 0b_11000110,
3892 0b_11000110,
3893 0b_11000110,
3894 0b_11010110,
3895 0b_11111110,
3896 0b_11101110,
3897 0b_11000110,
3898 0b_00000000,
3899 /* X */
3900 0b_11000110,
3901 0b_11000110,
3902 0b_01101100,
3903 0b_00111000,
3904 0b_01101100,
3905 0b_11000110,
3906 0b_11000110,
3907 0b_00000000,
3908 /* Y */
3909 0b_11001100,
3910 0b_11001100,
3911 0b_11001100,
3912 0b_01111000,
3913 0b_00110000,
3914 0b_00110000,
3915 0b_01111000,
3916 0b_00000000,
3917 /* Z */
3918 0b_11111110,
3919 0b_11001100,
3920 0b_10011000,
3921 0b_00110000,
3922 0b_01100010,
3923 0b_11000110,
3924 0b_11111110,
3925 0b_00000000,
3926 /* [ */
3927 0b_01111000,
3928 0b_01100000,
3929 0b_01100000,
3930 0b_01100000,
3931 0b_01100000,
3932 0b_01100000,
3933 0b_01111000,
3934 0b_00000000,
3935 /* \ */
3936 0b_11000000,
3937 0b_01100000,
3938 0b_00110000,
3939 0b_00011000,
3940 0b_00001100,
3941 0b_00000110,
3942 0b_00000010,
3943 0b_00000000,
3944 /* ] */
3945 0b_01111000,
3946 0b_00011000,
3947 0b_00011000,
3948 0b_00011000,
3949 0b_00011000,
3950 0b_00011000,
3951 0b_01111000,
3952 0b_00000000,
3953 /* ^ */
3954 0b_00010000,
3955 0b_00111000,
3956 0b_01101100,
3957 0b_11000110,
3958 0b_00000000,
3959 0b_00000000,
3960 0b_00000000,
3961 0b_00000000,
3962 /* _ */
3963 0b_00000000,
3964 0b_00000000,
3965 0b_00000000,
3966 0b_00000000,
3967 0b_00000000,
3968 0b_00000000,
3969 0b_00000000,
3970 0b_11111111,
3971 /* ` */
3972 0b_00110000,
3973 0b_00110000,
3974 0b_00011000,
3975 0b_00000000,
3976 0b_00000000,
3977 0b_00000000,
3978 0b_00000000,
3979 0b_00000000,
3980 /* a */
3981 0b_00000000,
3982 0b_00000000,
3983 0b_01111000,
3984 0b_00001100,
3985 0b_01111100,
3986 0b_11001100,
3987 0b_01110110,
3988 0b_00000000,
3989 /* b */
3990 0b_11100000,
3991 0b_01100000,
3992 0b_01111100,
3993 0b_01100110,
3994 0b_01100110,
3995 0b_01100110,
3996 0b_10111100,
3997 0b_00000000,
3998 /* c */
3999 0b_00000000,
4000 0b_00000000,
4001 0b_01111000,
4002 0b_11001100,
4003 0b_11000000,
4004 0b_11001100,
4005 0b_01111000,
4006 0b_00000000,
4007 /* d */
4008 0b_00011100,
4009 0b_00001100,
4010 0b_00001100,
4011 0b_01111100,
4012 0b_11001100,
4013 0b_11001100,
4014 0b_01110110,
4015 0b_00000000,
4016 /* e */
4017 0b_00000000,
4018 0b_00000000,
4019 0b_01111000,
4020 0b_11001100,
4021 0b_11111100,
4022 0b_11000000,
4023 0b_01111000,
4024 0b_00000000,
4025 /* f */
4026 0b_00111000,
4027 0b_01101100,
4028 0b_01100000,
4029 0b_11110000,
4030 0b_01100000,
4031 0b_01100000,
4032 0b_11110000,
4033 0b_00000000,
4034 /* g */
4035 0b_00000000,
4036 0b_00000000,
4037 0b_01110110,
4038 0b_11001100,
4039 0b_11001100,
4040 0b_01111100,
4041 0b_00001100,
4042 0b_11111000,
4043 /* h */
4044 0b_11100000,
4045 0b_01100000,
4046 0b_01101100,
4047 0b_01110110,
4048 0b_01100110,
4049 0b_01100110,
4050 0b_11100110,
4051 0b_00000000,
4052 /* i */
4053 0b_00110000,
4054 0b_00000000,
4055 0b_01110000,
4056 0b_00110000,
4057 0b_00110000,
4058 0b_00110000,
4059 0b_01111000,
4060 0b_00000000,
4061 /* j */
4062 0b_00011000,
4063 0b_00000000,
4064 0b_01111000,
4065 0b_00011000,
4066 0b_00011000,
4067 0b_00011000,
4068 0b_11011000,
4069 0b_01110000,
4070 /* k */
4071 0b_11100000,
4072 0b_01100000,
4073 0b_01100110,
4074 0b_01101100,
4075 0b_01111000,
4076 0b_01101100,
4077 0b_11100110,
4078 0b_00000000,
4079 /* l */
4080 0b_01110000,
4081 0b_00110000,
4082 0b_00110000,
4083 0b_00110000,
4084 0b_00110000,
4085 0b_00110000,
4086 0b_01111000,
4087 0b_00000000,
4088 /* m */
4089 0b_00000000,
4090 0b_00000000,
4091 0b_11101100,
4092 0b_11111110,
4093 0b_11010110,
4094 0b_11000110,
4095 0b_11000110,
4096 0b_00000000,
4097 /* n */
4098 0b_00000000,
4099 0b_00000000,
4100 0b_11111000,
4101 0b_11001100,
4102 0b_11001100,
4103 0b_11001100,
4104 0b_11001100,
4105 0b_00000000,
4106 /* o */
4107 0b_00000000,
4108 0b_00000000,
4109 0b_01111000,
4110 0b_11001100,
4111 0b_11001100,
4112 0b_11001100,
4113 0b_01111000,
4114 0b_00000000,
4115 /* p */
4116 0b_00000000,
4117 0b_00000000,
4118 0b_11011100,
4119 0b_01100110,
4120 0b_01100110,
4121 0b_01111100,
4122 0b_01100000,
4123 0b_11110000,
4124 /* q */
4125 0b_00000000,
4126 0b_00000000,
4127 0b_01110110,
4128 0b_11001100,
4129 0b_11001100,
4130 0b_01111100,
4131 0b_00001100,
4132 0b_00011110,
4133 /* r */
4134 0b_00000000,
4135 0b_00000000,
4136 0b_11011000,
4137 0b_01101100,
4138 0b_01101100,
4139 0b_01100000,
4140 0b_11110000,
4141 0b_00000000,
4142 /* s */
4143 0b_00000000,
4144 0b_00000000,
4145 0b_01111100,
4146 0b_11000000,
4147 0b_01111000,
4148 0b_00001100,
4149 0b_11111000,
4150 0b_00000000,
4151 /* t */
4152 0b_00010000,
4153 0b_00110000,
4154 0b_01111100,
4155 0b_00110000,
4156 0b_00110000,
4157 0b_00110100,
4158 0b_00011000,
4159 0b_00000000,
4160 /* u */
4161 0b_00000000,
4162 0b_00000000,
4163 0b_11001100,
4164 0b_11001100,
4165 0b_11001100,
4166 0b_11001100,
4167 0b_01110110,
4168 0b_00000000,
4169 /* v */
4170 0b_00000000,
4171 0b_00000000,
4172 0b_11001100,
4173 0b_11001100,
4174 0b_11001100,
4175 0b_01111000,
4176 0b_00110000,
4177 0b_00000000,
4178 /* w */
4179 0b_00000000,
4180 0b_00000000,
4181 0b_11000110,
4182 0b_11000110,
4183 0b_11010110,
4184 0b_11111110,
4185 0b_01101100,
4186 0b_00000000,
4187 /* x */
4188 0b_00000000,
4189 0b_00000000,
4190 0b_11000110,
4191 0b_01101100,
4192 0b_00111000,
4193 0b_01101100,
4194 0b_11000110,
4195 0b_00000000,
4196 /* y */
4197 0b_00000000,
4198 0b_00000000,
4199 0b_11001100,
4200 0b_11001100,
4201 0b_11001100,
4202 0b_01111100,
4203 0b_00001100,
4204 0b_11111000,
4205 /* z */
4206 0b_00000000,
4207 0b_00000000,
4208 0b_11111100,
4209 0b_10011000,
4210 0b_00110000,
4211 0b_01100100,
4212 0b_11111100,
4213 0b_00000000,
4214 /* { */
4215 0b_00011100,
4216 0b_00110000,
4217 0b_00110000,
4218 0b_11100000,
4219 0b_00110000,
4220 0b_00110000,
4221 0b_00011100,
4222 0b_00000000,
4223 /* | */
4224 0b_00011000,
4225 0b_00011000,
4226 0b_00011000,
4227 0b_00000000,
4228 0b_00011000,
4229 0b_00011000,
4230 0b_00011000,
4231 0b_00000000,
4232 /* } */
4233 0b_11100000,
4234 0b_00110000,
4235 0b_00110000,
4236 0b_00011100,
4237 0b_00110000,
4238 0b_00110000,
4239 0b_11100000,
4240 0b_00000000,
4241 /* ~ */
4242 0b_01110110,
4243 0b_11011100,
4244 0b_00000000,
4245 0b_00000000,
4246 0b_00000000,
4247 0b_00000000,
4248 0b_00000000,
4249 0b_00000000,
4250 /* 0x7f */
4251 0b_00010000,
4252 0b_00111000,
4253 0b_01101100,
4254 0b_11000110,
4255 0b_11000110,
4256 0b_11000110,
4257 0b_11111110,
4258 0b_00000000,
4259 /* 0x80 */
4260 0b_01111000,
4261 0b_11001100,
4262 0b_11000000,
4263 0b_11001100,
4264 0b_01111000,
4265 0b_00011000,
4266 0b_00001100,
4267 0b_01111000,
4268 /* 0x81 */
4269 0b_00000000,
4270 0b_11001100,
4271 0b_00000000,
4272 0b_11001100,
4273 0b_11001100,
4274 0b_11001100,
4275 0b_01111110,
4276 0b_00000000,
4277 /* 0x82 */
4278 0b_00011100,
4279 0b_00000000,
4280 0b_01111000,
4281 0b_11001100,
4282 0b_11111100,
4283 0b_11000000,
4284 0b_01111000,
4285 0b_00000000,
4286 /* 0x83 */
4287 0b_01111110,
4288 0b_11000011,
4289 0b_00111100,
4290 0b_00000110,
4291 0b_00111110,
4292 0b_01100110,
4293 0b_00111111,
4294 0b_00000000,
4295 /* 0x84 */
4296 0b_11001100,
4297 0b_00000000,
4298 0b_01111000,
4299 0b_00001100,
4300 0b_01111100,
4301 0b_11001100,
4302 0b_01111110,
4303 0b_00000000,
4304 /* 0x85 */
4305 0b_11100000,
4306 0b_00000000,
4307 0b_01111000,
4308 0b_00001100,
4309 0b_01111100,
4310 0b_11001100,
4311 0b_01111110,
4312 0b_00000000,
4313 /* 0x86 */
4314 0b_00110000,
4315 0b_00110000,
4316 0b_01111000,
4317 0b_00001100,
4318 0b_01111100,
4319 0b_11001100,
4320 0b_01111110,
4321 0b_00000000,
4322 /* 0x87 */
4323 0b_00000000,
4324 0b_00000000,
4325 0b_01111100,
4326 0b_11000000,
4327 0b_11000000,
4328 0b_01111100,
4329 0b_00000110,
4330 0b_00111100,
4331 /* 0x88 */
4332 0b_01111110,
4333 0b_11000011,
4334 0b_00111100,
4335 0b_01100110,
4336 0b_01111110,
4337 0b_01100000,
4338 0b_00111100,
4339 0b_00000000,
4340 /* 0x89 */
4341 0b_11001100,
4342 0b_00000000,
4343 0b_01111000,
4344 0b_11001100,
4345 0b_11111100,
4346 0b_11000000,
4347 0b_01111000,
4348 0b_00000000,
4349 /* 0x8a */
4350 0b_11100000,
4351 0b_00000000,
4352 0b_01111000,
4353 0b_11001100,
4354 0b_11111100,
4355 0b_11000000,
4356 0b_01111000,
4357 0b_00000000,
4358 /* 0x8b */
4359 0b_11001100,
4360 0b_00000000,
4361 0b_01110000,
4362 0b_00110000,
4363 0b_00110000,
4364 0b_00110000,
4365 0b_01111000,
4366 0b_00000000,
4367 /* 0x8c */
4368 0b_01111100,
4369 0b_11000110,
4370 0b_00111000,
4371 0b_00011000,
4372 0b_00011000,
4373 0b_00011000,
4374 0b_00111100,
4375 0b_00000000,
4376 /* 0x8d */
4377 0b_11100000,
4378 0b_00000000,
4379 0b_01110000,
4380 0b_00110000,
4381 0b_00110000,
4382 0b_00110000,
4383 0b_01111000,
4384 0b_00000000,
4385 /* 0x8e */
4386 0b_11001100,
4387 0b_00110000,
4388 0b_01111000,
4389 0b_11001100,
4390 0b_11001100,
4391 0b_11111100,
4392 0b_11001100,
4393 0b_00000000,
4394 /* 0x8f */
4395 0b_00110000,
4396 0b_00110000,
4397 0b_00000000,
4398 0b_01111000,
4399 0b_11001100,
4400 0b_11111100,
4401 0b_11001100,
4402 0b_00000000,
4403 /* 0x90 */
4404 0b_00011100,
4405 0b_00000000,
4406 0b_11111100,
4407 0b_01100000,
4408 0b_01111000,
4409 0b_01100000,
4410 0b_11111100,
4411 0b_00000000,
4412 /* 0x91 */
4413 0b_00000000,
4414 0b_00000000,
4415 0b_01111111,
4416 0b_00001100,
4417 0b_01111111,
4418 0b_11001100,
4419 0b_01111111,
4420 0b_00000000,
4421 /* 0x92 */
4422 0b_00111110,
4423 0b_01101100,
4424 0b_11001100,
4425 0b_11111110,
4426 0b_11001100,
4427 0b_11001100,
4428 0b_11001110,
4429 0b_00000000,
4430 /* 0x93 */
4431 0b_01111000,
4432 0b_11001100,
4433 0b_00000000,
4434 0b_01111000,
4435 0b_11001100,
4436 0b_11001100,
4437 0b_01111000,
4438 0b_00000000,
4439 /* 0x94 */
4440 0b_00000000,
4441 0b_11001100,
4442 0b_00000000,
4443 0b_01111000,
4444 0b_11001100,
4445 0b_11001100,
4446 0b_01111000,
4447 0b_00000000,
4448 /* 0x95 */
4449 0b_00000000,
4450 0b_11100000,
4451 0b_00000000,
4452 0b_01111000,
4453 0b_11001100,
4454 0b_11001100,
4455 0b_01111000,
4456 0b_00000000,
4457 /* 0x96 */
4458 0b_01111000,
4459 0b_11001100,
4460 0b_00000000,
4461 0b_11001100,
4462 0b_11001100,
4463 0b_11001100,
4464 0b_01111110,
4465 0b_00000000,
4466 /* 0x97 */
4467 0b_00000000,
4468 0b_11100000,
4469 0b_00000000,
4470 0b_11001100,
4471 0b_11001100,
4472 0b_11001100,
4473 0b_01111110,
4474 0b_00000000,
4475 /* 0x98 */
4476 0b_00000000,
4477 0b_11001100,
4478 0b_00000000,
4479 0b_11001100,
4480 0b_11001100,
4481 0b_11111100,
4482 0b_00001100,
4483 0b_11111000,
4484 /* 0x99 */
4485 0b_11000110,
4486 0b_00111000,
4487 0b_01111100,
4488 0b_11000110,
4489 0b_11000110,
4490 0b_01111100,
4491 0b_00111000,
4492 0b_00000000,
4493 /* 0x9a */
4494 0b_11001100,
4495 0b_00000000,
4496 0b_11001100,
4497 0b_11001100,
4498 0b_11001100,
4499 0b_11001100,
4500 0b_01111000,
4501 0b_00000000,
4502 /* 0x9b */
4503 0b_00011000,
4504 0b_00011000,
4505 0b_01111110,
4506 0b_11000000,
4507 0b_11000000,
4508 0b_01111110,
4509 0b_00011000,
4510 0b_00011000,
4511 /* 0x9c */
4512 0b_00111000,
4513 0b_01101100,
4514 0b_01100100,
4515 0b_11110000,
4516 0b_01100000,
4517 0b_11100110,
4518 0b_11111100,
4519 0b_00000000,
4520 /* 0x9d */
4521 0b_11001100,
4522 0b_11001100,
4523 0b_01111000,
4524 0b_11111100,
4525 0b_00110000,
4526 0b_11111100,
4527 0b_00110000,
4528 0b_00000000,
4529 /* 0x9e */
4530 0b_11110000,
4531 0b_11011000,
4532 0b_11011000,
4533 0b_11110100,
4534 0b_11001100,
4535 0b_11011110,
4536 0b_11001100,
4537 0b_00001110,
4538 /* 0x9f */
4539 0b_00001110,
4540 0b_00011011,
4541 0b_00011000,
4542 0b_01111110,
4543 0b_00011000,
4544 0b_00011000,
4545 0b_11011000,
4546 0b_01110000,
4547 /* 0xa0 */
4548 0b_00011100,
4549 0b_00000000,
4550 0b_01111000,
4551 0b_00001100,
4552 0b_01111100,
4553 0b_11001100,
4554 0b_01111110,
4555 0b_00000000,
4556 /* 0xa1 */
4557 0b_00111000,
4558 0b_00000000,
4559 0b_01110000,
4560 0b_00110000,
4561 0b_00110000,
4562 0b_00110000,
4563 0b_01111000,
4564 0b_00000000,
4565 /* 0xa2 */
4566 0b_00000000,
4567 0b_00011100,
4568 0b_00000000,
4569 0b_01111000,
4570 0b_11001100,
4571 0b_11001100,
4572 0b_01111000,
4573 0b_00000000,
4574 /* 0xa3 */
4575 0b_00000000,
4576 0b_00011100,
4577 0b_00000000,
4578 0b_11001100,
4579 0b_11001100,
4580 0b_11001100,
4581 0b_01111110,
4582 0b_00000000,
4583 /* 0xa4 */
4584 0b_00000000,
4585 0b_11111000,
4586 0b_00000000,
4587 0b_11111000,
4588 0b_11001100,
4589 0b_11001100,
4590 0b_11001100,
4591 0b_00000000,
4592 /* 0xa5 */
4593 0b_11111100,
4594 0b_00000000,
4595 0b_11001100,
4596 0b_11101100,
4597 0b_11111100,
4598 0b_11011100,
4599 0b_11001100,
4600 0b_00000000,
4601 /* 0xa6 */
4602 0b_00111100,
4603 0b_01101100,
4604 0b_01101100,
4605 0b_00111110,
4606 0b_00000000,
4607 0b_01111110,
4608 0b_00000000,
4609 0b_00000000,
4610 /* 0xa7 */
4611 0b_00111100,
4612 0b_01100110,
4613 0b_01100110,
4614 0b_00111100,
4615 0b_00000000,
4616 0b_01111110,
4617 0b_00000000,
4618 0b_00000000,
4619 /* 0xa8 */
4620 0b_00110000,
4621 0b_00000000,
4622 0b_00110000,
4623 0b_01100000,
4624 0b_11000000,
4625 0b_11001100,
4626 0b_01111000,
4627 0b_00000000,
4628 /* 0xa9 */
4629 0b_00000000,
4630 0b_00000000,
4631 0b_00000000,
4632 0b_11111100,
4633 0b_11000000,
4634 0b_11000000,
4635 0b_00000000,
4636 0b_00000000,
4637 /* 0xaa */
4638 0b_00000000,
4639 0b_00000000,
4640 0b_00000000,
4641 0b_11111100,
4642 0b_00001100,
4643 0b_00001100,
4644 0b_00000000,
4645 0b_00000000,
4646 /* 0xab */
4647 0b_11000110,
4648 0b_11001100,
4649 0b_11011000,
4650 0b_00111110,
4651 0b_01100011,
4652 0b_11001110,
4653 0b_10011000,
4654 0b_00011111,
4655 /* 0xac */
4656 0b_11000110,
4657 0b_11001100,
4658 0b_11011000,
4659 0b_11110011,
4660 0b_01100111,
4661 0b_11001111,
4662 0b_10011111,
4663 0b_00000011,
4664 /* 0xad */
4665 0b_00000000,
4666 0b_00011000,
4667 0b_00000000,
4668 0b_00011000,
4669 0b_00011000,
4670 0b_00111100,
4671 0b_00111100,
4672 0b_00011000,
4673 /* 0xae */
4674 0b_00000000,
4675 0b_00110011,
4676 0b_01100110,
4677 0b_11001100,
4678 0b_01100110,
4679 0b_00110011,
4680 0b_00000000,
4681 0b_00000000,
4682 /* 0xaf */
4683 0b_00000000,
4684 0b_11001100,
4685 0b_01100110,
4686 0b_00110011,
4687 0b_01100110,
4688 0b_11001100,
4689 0b_00000000,
4690 0b_00000000,
4691 /* 0xb0 */
4692 0b_00100010,
4693 0b_10001000,
4694 0b_00100010,
4695 0b_10001000,
4696 0b_00100010,
4697 0b_10001000,
4698 0b_00100010,
4699 0b_10001000,
4700 /* 0xb1 */
4701 0b_01010101,
4702 0b_10101010,
4703 0b_01010101,
4704 0b_10101010,
4705 0b_01010101,
4706 0b_10101010,
4707 0b_01010101,
4708 0b_10101010,
4709 /* 0xb2 */
4710 0b_11011100,
4711 0b_01110110,
4712 0b_11011100,
4713 0b_01110110,
4714 0b_11011100,
4715 0b_01110110,
4716 0b_11011100,
4717 0b_01110110,
4718 /* 0xb3 */
4719 0b_00011000,
4720 0b_00011000,
4721 0b_00011000,
4722 0b_00011000,
4723 0b_00011000,
4724 0b_00011000,
4725 0b_00011000,
4726 0b_00011000,
4727 /* 0xb4 */
4728 0b_00011000,
4729 0b_00011000,
4730 0b_00011000,
4731 0b_00011000,
4732 0b_11111000,
4733 0b_00011000,
4734 0b_00011000,
4735 0b_00011000,
4736 /* 0xb5 */
4737 0b_00011000,
4738 0b_00011000,
4739 0b_11111000,
4740 0b_00011000,
4741 0b_11111000,
4742 0b_00011000,
4743 0b_00011000,
4744 0b_00011000,
4745 /* 0xb6 */
4746 0b_00110110,
4747 0b_00110110,
4748 0b_00110110,
4749 0b_00110110,
4750 0b_11110110,
4751 0b_00110110,
4752 0b_00110110,
4753 0b_00110110,
4754 /* 0xb7 */
4755 0b_00000000,
4756 0b_00000000,
4757 0b_00000000,
4758 0b_00000000,
4759 0b_11111110,
4760 0b_00110110,
4761 0b_00110110,
4762 0b_00110110,
4763 /* 0xb8 */
4764 0b_00000000,
4765 0b_00000000,
4766 0b_11111000,
4767 0b_00011000,
4768 0b_11111000,
4769 0b_00011000,
4770 0b_00011000,
4771 0b_00011000,
4772 /* 0xb9 */
4773 0b_00110110,
4774 0b_00110110,
4775 0b_11110110,
4776 0b_00000110,
4777 0b_11110110,
4778 0b_00110110,
4779 0b_00110110,
4780 0b_00110110,
4781 /* 0xba */
4782 0b_00110110,
4783 0b_00110110,
4784 0b_00110110,
4785 0b_00110110,
4786 0b_00110110,
4787 0b_00110110,
4788 0b_00110110,
4789 0b_00110110,
4790 /* 0xbb */
4791 0b_00000000,
4792 0b_00000000,
4793 0b_11111110,
4794 0b_00000110,
4795 0b_11110110,
4796 0b_00110110,
4797 0b_00110110,
4798 0b_00110110,
4799 /* 0xbc */
4800 0b_00110110,
4801 0b_00110110,
4802 0b_11110110,
4803 0b_00000110,
4804 0b_11111110,
4805 0b_00000000,
4806 0b_00000000,
4807 0b_00000000,
4808 /* 0xbd */
4809 0b_00110110,
4810 0b_00110110,
4811 0b_00110110,
4812 0b_00110110,
4813 0b_11111110,
4814 0b_00000000,
4815 0b_00000000,
4816 0b_00000000,
4817 /* 0xbe */
4818 0b_00011000,
4819 0b_00011000,
4820 0b_11111000,
4821 0b_00011000,
4822 0b_11111000,
4823 0b_00000000,
4824 0b_00000000,
4825 0b_00000000,
4826 /* 0xbf */
4827 0b_00000000,
4828 0b_00000000,
4829 0b_00000000,
4830 0b_00000000,
4831 0b_11111000,
4832 0b_00011000,
4833 0b_00011000,
4834 0b_00011000,
4835 /* 0xc0 */
4836 0b_00011000,
4837 0b_00011000,
4838 0b_00011000,
4839 0b_00011000,
4840 0b_00011111,
4841 0b_00000000,
4842 0b_00000000,
4843 0b_00000000,
4844 /* 0xc1 */
4845 0b_00011000,
4846 0b_00011000,
4847 0b_00011000,
4848 0b_00011000,
4849 0b_11111111,
4850 0b_00000000,
4851 0b_00000000,
4852 0b_00000000,
4853 /* 0xc2 */
4854 0b_00000000,
4855 0b_00000000,
4856 0b_00000000,
4857 0b_00000000,
4858 0b_11111111,
4859 0b_00011000,
4860 0b_00011000,
4861 0b_00011000,
4862 /* 0xc3 */
4863 0b_00011000,
4864 0b_00011000,
4865 0b_00011000,
4866 0b_00011000,
4867 0b_00011111,
4868 0b_00011000,
4869 0b_00011000,
4870 0b_00011000,
4871 /* 0xc4 */
4872 0b_00000000,
4873 0b_00000000,
4874 0b_00000000,
4875 0b_00000000,
4876 0b_11111111,
4877 0b_00000000,
4878 0b_00000000,
4879 0b_00000000,
4880 /* 0xc5 */
4881 0b_00011000,
4882 0b_00011000,
4883 0b_00011000,
4884 0b_00011000,
4885 0b_11111111,
4886 0b_00011000,
4887 0b_00011000,
4888 0b_00011000,
4889 /* 0xc6 */
4890 0b_00011000,
4891 0b_00011000,
4892 0b_00011111,
4893 0b_00011000,
4894 0b_00011111,
4895 0b_00011000,
4896 0b_00011000,
4897 0b_00011000,
4898 /* 0xc7 */
4899 0b_00110110,
4900 0b_00110110,
4901 0b_00110110,
4902 0b_00110110,
4903 0b_00110111,
4904 0b_00110110,
4905 0b_00110110,
4906 0b_00110110,
4907 /* 0xc8 */
4908 0b_00110110,
4909 0b_00110110,
4910 0b_00110111,
4911 0b_00110000,
4912 0b_00111111,
4913 0b_00000000,
4914 0b_00000000,
4915 0b_00000000,
4916 /* 0xc9 */
4917 0b_00000000,
4918 0b_00000000,
4919 0b_00111111,
4920 0b_00110000,
4921 0b_00110111,
4922 0b_00110110,
4923 0b_00110110,
4924 0b_00110110,
4925 /* 0xca */
4926 0b_00110110,
4927 0b_00110110,
4928 0b_11110111,
4929 0b_00000000,
4930 0b_11111111,
4931 0b_00000000,
4932 0b_00000000,
4933 0b_00000000,
4934 /* 0xcb */
4935 0b_00000000,
4936 0b_00000000,
4937 0b_11111111,
4938 0b_00000000,
4939 0b_11110111,
4940 0b_00110110,
4941 0b_00110110,
4942 0b_00110110,
4943 /* 0xcc */
4944 0b_00110110,
4945 0b_00110110,
4946 0b_00110111,
4947 0b_00110000,
4948 0b_00110111,
4949 0b_00110110,
4950 0b_00110110,
4951 0b_00110110,
4952 /* 0xcd */
4953 0b_00000000,
4954 0b_00000000,
4955 0b_11111111,
4956 0b_00000000,
4957 0b_11111111,
4958 0b_00000000,
4959 0b_00000000,
4960 0b_00000000,
4961 /* 0xce */
4962 0b_00110110,
4963 0b_00110110,
4964 0b_11110111,
4965 0b_00000000,
4966 0b_11110111,
4967 0b_00110110,
4968 0b_00110110,
4969 0b_00110110,
4970 /* 0xcf */
4971 0b_00011000,
4972 0b_00011000,
4973 0b_11111111,
4974 0b_00000000,
4975 0b_11111111,
4976 0b_00000000,
4977 0b_00000000,
4978 0b_00000000,
4979 /* 0xd0 */
4980 0b_00110110,
4981 0b_00110110,
4982 0b_00110110,
4983 0b_00110110,
4984 0b_11111111,
4985 0b_00000000,
4986 0b_00000000,
4987 0b_00000000,
4988 /* 0xd1 */
4989 0b_00000000,
4990 0b_00000000,
4991 0b_11111111,
4992 0b_00000000,
4993 0b_11111111,
4994 0b_00011000,
4995 0b_00011000,
4996 0b_00011000,
4997 /* 0xd2 */
4998 0b_00000000,
4999 0b_00000000,
5000 0b_00000000,
5001 0b_00000000,
5002 0b_11111111,
5003 0b_00110110,
5004 0b_00110110,
5005 0b_00110110,
5006 /* 0xd3 */
5007 0b_00110110,
5008 0b_00110110,
5009 0b_00110110,
5010 0b_00110110,
5011 0b_00111111,
5012 0b_00000000,
5013 0b_00000000,
5014 0b_00000000,
5015 /* 0xd4 */
5016 0b_00011000,
5017 0b_00011000,
5018 0b_00011111,
5019 0b_00011000,
5020 0b_00011111,
5021 0b_00000000,
5022 0b_00000000,
5023 0b_00000000,
5024 /* 0xd5 */
5025 0b_00000000,
5026 0b_00000000,
5027 0b_00011111,
5028 0b_00011000,
5029 0b_00011111,
5030 0b_00011000,
5031 0b_00011000,
5032 0b_00011000,
5033 /* 0xd6 */
5034 0b_00000000,
5035 0b_00000000,
5036 0b_00000000,
5037 0b_00000000,
5038 0b_00111111,
5039 0b_00110110,
5040 0b_00110110,
5041 0b_00110110,
5042 /* 0xd7 */
5043 0b_00110110,
5044 0b_00110110,
5045 0b_00110110,
5046 0b_00110110,
5047 0b_11110111,
5048 0b_00110110,
5049 0b_00110110,
5050 0b_00110110,
5051 /* 0xd8 */
5052 0b_00011000,
5053 0b_00011000,
5054 0b_11111111,
5055 0b_00000000,
5056 0b_11111111,
5057 0b_00011000,
5058 0b_00011000,
5059 0b_00011000,
5060 /* 0xd9 */
5061 0b_00011000,
5062 0b_00011000,
5063 0b_00011000,
5064 0b_00011000,
5065 0b_11111000,
5066 0b_00000000,
5067 0b_00000000,
5068 0b_00000000,
5069 /* 0xda */
5070 0b_00000000,
5071 0b_00000000,
5072 0b_00000000,
5073 0b_00000000,
5074 0b_00011111,
5075 0b_00011000,
5076 0b_00011000,
5077 0b_00011000,
5078 /* 0xdb */
5079 0b_11111111,
5080 0b_11111111,
5081 0b_11111111,
5082 0b_11111111,
5083 0b_11111111,
5084 0b_11111111,
5085 0b_11111111,
5086 0b_11111111,
5087 /* 0xdc */
5088 0b_00000000,
5089 0b_00000000,
5090 0b_00000000,
5091 0b_00000000,
5092 0b_11111111,
5093 0b_11111111,
5094 0b_11111111,
5095 0b_11111111,
5096 /* 0xdd */
5097 0b_11110000,
5098 0b_11110000,
5099 0b_11110000,
5100 0b_11110000,
5101 0b_11110000,
5102 0b_11110000,
5103 0b_11110000,
5104 0b_11110000,
5105 /* 0xde */
5106 0b_00001111,
5107 0b_00001111,
5108 0b_00001111,
5109 0b_00001111,
5110 0b_00001111,
5111 0b_00001111,
5112 0b_00001111,
5113 0b_00001111,
5114 /* 0xdf */
5115 0b_11111111,
5116 0b_11111111,
5117 0b_11111111,
5118 0b_11111111,
5119 0b_00000000,
5120 0b_00000000,
5121 0b_00000000,
5122 0b_00000000,
5123 /* 0xe0 */
5124 0b_00000000,
5125 0b_00000000,
5126 0b_01110110,
5127 0b_11011100,
5128 0b_11001000,
5129 0b_11011100,
5130 0b_01110110,
5131 0b_00000000,
5132 /* 0xe1 */
5133 0b_00000000,
5134 0b_01111000,
5135 0b_11001100,
5136 0b_11111000,
5137 0b_11001100,
5138 0b_11111000,
5139 0b_11000000,
5140 0b_11000000,
5141 /* 0xe2 */
5142 0b_00000000,
5143 0b_11111110,
5144 0b_11000110,
5145 0b_11000000,
5146 0b_11000000,
5147 0b_11000000,
5148 0b_11000000,
5149 0b_00000000,
5150 /* 0xe3 */
5151 0b_00000000,
5152 0b_11111110,
5153 0b_01101100,
5154 0b_01101100,
5155 0b_01101100,
5156 0b_01101100,
5157 0b_01101100,
5158 0b_00000000,
5159 /* 0xe4 */
5160 0b_11111110,
5161 0b_01100110,
5162 0b_00110000,
5163 0b_00011000,
5164 0b_00110000,
5165 0b_01100110,
5166 0b_11111110,
5167 0b_00000000,
5168 /* 0xe5 */
5169 0b_00000000,
5170 0b_00000000,
5171 0b_01111110,
5172 0b_11001100,
5173 0b_11001100,
5174 0b_11001100,
5175 0b_01111000,
5176 0b_00000000,
5177 /* 0xe6 */
5178 0b_00000000,
5179 0b_01100110,
5180 0b_01100110,
5181 0b_01100110,
5182 0b_01100110,
5183 0b_01111100,
5184 0b_01100000,
5185 0b_11000000,
5186 /* 0xe7 */
5187 0b_00000000,
5188 0b_01110110,
5189 0b_11011100,
5190 0b_00011000,
5191 0b_00011000,
5192 0b_00011000,
5193 0b_00011000,
5194 0b_00000000,
5195 /* 0xe8 */
5196 0b_11111100,
5197 0b_00110000,
5198 0b_01111000,
5199 0b_11001100,
5200 0b_11001100,
5201 0b_01111000,
5202 0b_00110000,
5203 0b_11111100,
5204 /* 0xe9 */
5205 0b_00111000,
5206 0b_01101100,
5207 0b_11000110,
5208 0b_11111110,
5209 0b_11000110,
5210 0b_01101100,
5211 0b_00111000,
5212 0b_00000000,
5213 /* 0xea */
5214 0b_00111000,
5215 0b_01101100,
5216 0b_11000110,
5217 0b_11000110,
5218 0b_01101100,
5219 0b_01101100,
5220 0b_11101110,
5221 0b_00000000,
5222 /* 0xeb */
5223 0b_00011100,
5224 0b_00110000,
5225 0b_00011000,
5226 0b_01111100,
5227 0b_11001100,
5228 0b_11001100,
5229 0b_01111000,
5230 0b_00000000,
5231 /* 0xec */
5232 0b_00000000,
5233 0b_00000000,
5234 0b_01111110,
5235 0b_11011011,
5236 0b_11011011,
5237 0b_01111110,
5238 0b_00000000,
5239 0b_00000000,
5240 /* 0xed */
5241 0b_00000110,
5242 0b_00001100,
5243 0b_01111110,
5244 0b_11011011,
5245 0b_11011011,
5246 0b_01111110,
5247 0b_01100000,
5248 0b_11000000,
5249 /* 0xee */
5250 0b_00111100,
5251 0b_01100000,
5252 0b_11000000,
5253 0b_11111100,
5254 0b_11000000,
5255 0b_01100000,
5256 0b_00111100,
5257 0b_00000000,
5258 /* 0xef */
5259 0b_01111000,
5260 0b_11001100,
5261 0b_11001100,
5262 0b_11001100,
5263 0b_11001100,
5264 0b_11001100,
5265 0b_11001100,
5266 0b_00000000,
5267 /* 0xf0 */
5268 0b_00000000,
5269 0b_11111100,
5270 0b_00000000,
5271 0b_11111100,
5272 0b_00000000,
5273 0b_11111100,
5274 0b_00000000,
5275 0b_00000000,
5276 /* 0xf1 */
5277 0b_00110000,
5278 0b_00110000,
5279 0b_11111100,
5280 0b_00110000,
5281 0b_00110000,
5282 0b_00000000,
5283 0b_11111100,
5284 0b_00000000,
5285 /* 0xf2 */
5286 0b_01100000,
5287 0b_00110000,
5288 0b_00011000,
5289 0b_00110000,
5290 0b_01100000,
5291 0b_00000000,
5292 0b_11111100,
5293 0b_00000000,
5294 /* 0xf3 */
5295 0b_00011000,
5296 0b_00110000,
5297 0b_01100000,
5298 0b_00110000,
5299 0b_00011000,
5300 0b_00000000,
5301 0b_11111100,
5302 0b_00000000,
5303 /* 0xf4 */
5304 0b_00001110,
5305 0b_00011011,
5306 0b_00011011,
5307 0b_00011000,
5308 0b_00011000,
5309 0b_00011000,
5310 0b_00011000,
5311 0b_00011000,
5312 /* 0xf5 */
5313 0b_00011000,
5314 0b_00011000,
5315 0b_00011000,
5316 0b_00011000,
5317 0b_00011000,
5318 0b_11011000,
5319 0b_11011000,
5320 0b_01110000,
5321 /* 0xf6 */
5322 0b_00110000,
5323 0b_00110000,
5324 0b_00000000,
5325 0b_11111100,
5326 0b_00000000,
5327 0b_00110000,
5328 0b_00110000,
5329 0b_00000000,
5330 /* 0xf7 */
5331 0b_00000000,
5332 0b_01110010,
5333 0b_10011100,
5334 0b_00000000,
5335 0b_01110010,
5336 0b_10011100,
5337 0b_00000000,
5338 0b_00000000,
5339 /* 0xf8 */
5340 0b_00111000,
5341 0b_01101100,
5342 0b_01101100,
5343 0b_00111000,
5344 0b_00000000,
5345 0b_00000000,
5346 0b_00000000,
5347 0b_00000000,
5348 /* 0xf9 */
5349 0b_00000000,
5350 0b_00000000,
5351 0b_00000000,
5352 0b_00011000,
5353 0b_00011000,
5354 0b_00000000,
5355 0b_00000000,
5356 0b_00000000,
5357 /* 0xfa */
5358 0b_00000000,
5359 0b_00000000,
5360 0b_00000000,
5361 0b_00000000,
5362 0b_00011000,
5363 0b_00000000,
5364 0b_00000000,
5365 0b_00000000,
5366 /* 0xfb */
5367 0b_00001111,
5368 0b_00001100,
5369 0b_00001100,
5370 0b_00001100,
5371 0b_11101100,
5372 0b_01101100,
5373 0b_00111100,
5374 0b_00011100,
5375 /* 0xfc */
5376 0b_01111000,
5377 0b_01101100,
5378 0b_01101100,
5379 0b_01101100,
5380 0b_01101100,
5381 0b_00000000,
5382 0b_00000000,
5383 0b_00000000,
5384 /* 0xfd */
5385 0b_01111000,
5386 0b_00001100,
5387 0b_00111000,
5388 0b_01100000,
5389 0b_01111100,
5390 0b_00000000,
5391 0b_00000000,
5392 0b_00000000,
5393 /* 0xfe */
5394 0b_00000000,
5395 0b_00000000,
5396 0b_00111100,
5397 0b_00111100,
5398 0b_00111100,
5399 0b_00111100,
5400 0b_00000000,
5401 0b_00000000,
5402 /* 0xff */
5403 0b_11111111,
5404 0b_11111111,
5405 0b_11111111,
5406 0b_11111111,
5407 0b_11111111,
5408 0b_11111111,
5409 0b_11111111,
5410 0b_11111111,
5414 // bits 0..3: width
5415 // bits 4..7: lshift
5416 public immutable ubyte[256] dosFontPropWidth = () {
5417 ubyte[256] res;
5418 foreach (immutable cnum; 0..256) {
5419 import core.bitop : bsf, bsr;
5420 immutable doshift =
5421 (cnum >= 32 && cnum <= 127) ||
5422 (cnum >= 143 && cnum <= 144) ||
5423 (cnum >= 166 && cnum <= 167) ||
5424 (cnum >= 192 && cnum <= 255);
5425 int shift = 0;
5426 if (doshift) {
5427 shift = 8;
5428 foreach (immutable dy; 0..8) {
5429 immutable b = dosFont8[cnum*8+dy];
5430 if (b) {
5431 immutable mn = 7-bsr(b);
5432 if (mn < shift) shift = mn;
5436 ubyte wdt = 0;
5437 foreach (immutable dy; 0..8) {
5438 immutable b = (dosFont8[cnum*8+dy]<<shift);
5439 immutable cwdt = (b ? 8-bsf(b) : 0);
5440 if (cwdt > wdt) wdt = cast(ubyte)cwdt;
5442 switch (cnum) {
5443 case 0: wdt = 8; break; // 8px space
5444 case 32: wdt = 5; break; // 5px space
5445 case 48: .. case 57: wdt = 5; break; // digits are monospaced
5446 case 176: .. case 223: wdt = 8; break; // pseudographics (frames, etc)
5447 default:
5449 res[cnum] = (wdt&0x0f)|((shift<<4)&0xf0);
5451 return res;
5452 }();
5455 static public immutable ushort[256*10] dosFont10 = [
5456 /* 0x00 */
5457 0b_0000000000_000000,
5458 0b_0000000000_000000,
5459 0b_0000000000_000000,
5460 0b_0000000000_000000,
5461 0b_0000000000_000000,
5462 0b_0000000000_000000,
5463 0b_0000000000_000000,
5464 0b_0000000000_000000,
5465 0b_0000000000_000000,
5466 0b_0000000000_000000,
5467 /* 0x01 */
5468 0b_0000000000_000000,
5469 0b_0011111100_000000,
5470 0b_0100000010_000000,
5471 0b_0101001010_000000,
5472 0b_0100000010_000000,
5473 0b_0101111010_000000,
5474 0b_0100110010_000000,
5475 0b_0010000100_000000,
5476 0b_0001111000_000000,
5477 0b_0000000000_000000,
5478 /* 0x02 */
5479 0b_0000000000_000000,
5480 0b_0011111100_000000,
5481 0b_0111111110_000000,
5482 0b_0110110110_000000,
5483 0b_0111111110_000000,
5484 0b_0110000110_000000,
5485 0b_0111001110_000000,
5486 0b_0011111100_000000,
5487 0b_0001111000_000000,
5488 0b_0000000000_000000,
5489 /* 0x03 */
5490 0b_0000000000_000000,
5491 0b_0011101110_000000,
5492 0b_0111111111_000000,
5493 0b_0111111111_000000,
5494 0b_0111111111_000000,
5495 0b_0011111110_000000,
5496 0b_0001111100_000000,
5497 0b_0000111000_000000,
5498 0b_0000010000_000000,
5499 0b_0000000000_000000,
5500 /* 0x04 */
5501 0b_0000010000_000000,
5502 0b_0000111000_000000,
5503 0b_0001111100_000000,
5504 0b_0011111110_000000,
5505 0b_0111111111_000000,
5506 0b_0011111110_000000,
5507 0b_0001111100_000000,
5508 0b_0000111000_000000,
5509 0b_0000010000_000000,
5510 0b_0000000000_000000,
5511 /* 0x05 */
5512 0b_0000000000_000000,
5513 0b_0000111000_000000,
5514 0b_0001111100_000000,
5515 0b_0000111000_000000,
5516 0b_0011111110_000000,
5517 0b_0111111111_000000,
5518 0b_0011010110_000000,
5519 0b_0000010000_000000,
5520 0b_0000111000_000000,
5521 0b_0000000000_000000,
5522 /* 0x06 */
5523 0b_0000010000_000000,
5524 0b_0000111000_000000,
5525 0b_0001111100_000000,
5526 0b_0011111110_000000,
5527 0b_0111111111_000000,
5528 0b_0111111111_000000,
5529 0b_0011010110_000000,
5530 0b_0000010000_000000,
5531 0b_0000111000_000000,
5532 0b_0000000000_000000,
5533 /* 0x07 */
5534 0b_0000000000_000000,
5535 0b_0000000000_000000,
5536 0b_0000000000_000000,
5537 0b_0000110000_000000,
5538 0b_0001111000_000000,
5539 0b_0001111000_000000,
5540 0b_0000110000_000000,
5541 0b_0000000000_000000,
5542 0b_0000000000_000000,
5543 0b_0000000000_000000,
5544 /* 0x08 */
5545 0b_1111111111_000000,
5546 0b_1111111111_000000,
5547 0b_1111111111_000000,
5548 0b_1111001111_000000,
5549 0b_1110000111_000000,
5550 0b_1110000111_000000,
5551 0b_1111001111_000000,
5552 0b_1111111111_000000,
5553 0b_1111111111_000000,
5554 0b_1111111111_000000,
5555 /* 0x09 */
5556 0b_0000000000_000000,
5557 0b_0000000000_000000,
5558 0b_0001111000_000000,
5559 0b_0011001100_000000,
5560 0b_0010000100_000000,
5561 0b_0010000100_000000,
5562 0b_0011001100_000000,
5563 0b_0001111000_000000,
5564 0b_0000000000_000000,
5565 0b_0000000000_000000,
5566 /* 0x0a */
5567 0b_1111111111_000000,
5568 0b_1111111111_000000,
5569 0b_1110000111_000000,
5570 0b_1100110011_000000,
5571 0b_1101111011_000000,
5572 0b_1101111011_000000,
5573 0b_1100110011_000000,
5574 0b_1110000111_000000,
5575 0b_1111111111_000000,
5576 0b_1111111111_000000,
5577 /* 0x0b */
5578 0b_0000000000_000000,
5579 0b_0000011110_000000,
5580 0b_0000001110_000000,
5581 0b_0000011110_000000,
5582 0b_0011111010_000000,
5583 0b_0110011000_000000,
5584 0b_0110011000_000000,
5585 0b_0110011000_000000,
5586 0b_0011110000_000000,
5587 0b_0000000000_000000,
5588 /* 0x0c */
5589 0b_0000000000_000000,
5590 0b_0001111000_000000,
5591 0b_0011001100_000000,
5592 0b_0011001100_000000,
5593 0b_0011001100_000000,
5594 0b_0001111000_000000,
5595 0b_0000110000_000000,
5596 0b_0011111100_000000,
5597 0b_0000110000_000000,
5598 0b_0000000000_000000,
5599 /* 0x0d */
5600 0b_0000010000_000000,
5601 0b_0000011000_000000,
5602 0b_0000011100_000000,
5603 0b_0000010100_000000,
5604 0b_0000010100_000000,
5605 0b_0000010000_000000,
5606 0b_0001110000_000000,
5607 0b_0011110000_000000,
5608 0b_0001100000_000000,
5609 0b_0000000000_000000,
5610 /* 0x0e */
5611 0b_0000000000_000000,
5612 0b_0001111110_000000,
5613 0b_0001111110_000000,
5614 0b_0001000010_000000,
5615 0b_0001000010_000000,
5616 0b_0001000110_000000,
5617 0b_0011001110_000000,
5618 0b_0111000100_000000,
5619 0b_0010000000_000000,
5620 0b_0000000000_000000,
5621 /* 0x0f */
5622 0b_0000000000_000000,
5623 0b_0000110000_000000,
5624 0b_0110110110_000000,
5625 0b_0001111000_000000,
5626 0b_0111001110_000000,
5627 0b_0111001110_000000,
5628 0b_0001111000_000000,
5629 0b_0110110110_000000,
5630 0b_0000110000_000000,
5631 0b_0000000000_000000,
5632 /* 0x10 */
5633 0b_0001000000_000000,
5634 0b_0001100000_000000,
5635 0b_0001110000_000000,
5636 0b_0001111000_000000,
5637 0b_0001111100_000000,
5638 0b_0001111000_000000,
5639 0b_0001110000_000000,
5640 0b_0001100000_000000,
5641 0b_0001000000_000000,
5642 0b_0000000000_000000,
5643 /* 0x11 */
5644 0b_0000000100_000000,
5645 0b_0000001100_000000,
5646 0b_0000011100_000000,
5647 0b_0000111100_000000,
5648 0b_0001111100_000000,
5649 0b_0000111100_000000,
5650 0b_0000011100_000000,
5651 0b_0000001100_000000,
5652 0b_0000000100_000000,
5653 0b_0000000000_000000,
5654 /* 0x12 */
5655 0b_0000000000_000000,
5656 0b_0000110000_000000,
5657 0b_0001111000_000000,
5658 0b_0011111100_000000,
5659 0b_0000110000_000000,
5660 0b_0000110000_000000,
5661 0b_0011111100_000000,
5662 0b_0001111000_000000,
5663 0b_0000110000_000000,
5664 0b_0000000000_000000,
5665 /* 0x13 */
5666 0b_0000000000_000000,
5667 0b_0011001100_000000,
5668 0b_0011001100_000000,
5669 0b_0011001100_000000,
5670 0b_0011001100_000000,
5671 0b_0011001100_000000,
5672 0b_0000000000_000000,
5673 0b_0011001100_000000,
5674 0b_0000000000_000000,
5675 0b_0000000000_000000,
5676 /* 0x14 */
5677 0b_0000000000_000000,
5678 0b_0011111110_000000,
5679 0b_0110110110_000000,
5680 0b_0110110110_000000,
5681 0b_0011110110_000000,
5682 0b_0000110110_000000,
5683 0b_0000110110_000000,
5684 0b_0000110110_000000,
5685 0b_0000000000_000000,
5686 0b_0000000000_000000,
5687 /* 0x15 */
5688 0b_0000000000_000000,
5689 0b_0001111100_000000,
5690 0b_0011000000_000000,
5691 0b_0001111100_000000,
5692 0b_0011000110_000000,
5693 0b_0001111100_000000,
5694 0b_0000000110_000000,
5695 0b_0001111100_000000,
5696 0b_0000000000_000000,
5697 0b_0000000000_000000,
5698 /* 0x16 */
5699 0b_0000000000_000000,
5700 0b_0000000000_000000,
5701 0b_0000000000_000000,
5702 0b_0000000000_000000,
5703 0b_0000000000_000000,
5704 0b_0111111110_000000,
5705 0b_0111111110_000000,
5706 0b_0111111110_000000,
5707 0b_0000000000_000000,
5708 0b_0000000000_000000,
5709 /* 0x17 */
5710 0b_0000000000_000000,
5711 0b_0000110000_000000,
5712 0b_0001111000_000000,
5713 0b_0011111100_000000,
5714 0b_0000110000_000000,
5715 0b_0000110000_000000,
5716 0b_0011111100_000000,
5717 0b_0001111000_000000,
5718 0b_0000110000_000000,
5719 0b_1111111111_000000,
5720 /* 0x18 */
5721 0b_0000000000_000000,
5722 0b_0000110000_000000,
5723 0b_0001111000_000000,
5724 0b_0011111100_000000,
5725 0b_0000110000_000000,
5726 0b_0000110000_000000,
5727 0b_0000110000_000000,
5728 0b_0000110000_000000,
5729 0b_0000110000_000000,
5730 0b_0000000000_000000,
5731 /* 0x19 */
5732 0b_0000000000_000000,
5733 0b_0000110000_000000,
5734 0b_0000110000_000000,
5735 0b_0000110000_000000,
5736 0b_0000110000_000000,
5737 0b_0000110000_000000,
5738 0b_0011111100_000000,
5739 0b_0001111000_000000,
5740 0b_0000110000_000000,
5741 0b_0000000000_000000,
5742 /* 0x1a */
5743 0b_0000000000_000000,
5744 0b_0000000000_000000,
5745 0b_0000011000_000000,
5746 0b_0000001100_000000,
5747 0b_0111111110_000000,
5748 0b_0000001100_000000,
5749 0b_0000011000_000000,
5750 0b_0000000000_000000,
5751 0b_0000000000_000000,
5752 0b_0000000000_000000,
5753 /* 0x1b */
5754 0b_0000000000_000000,
5755 0b_0000000000_000000,
5756 0b_0001100000_000000,
5757 0b_0011000000_000000,
5758 0b_0111111110_000000,
5759 0b_0011000000_000000,
5760 0b_0001100000_000000,
5761 0b_0000000000_000000,
5762 0b_0000000000_000000,
5763 0b_0000000000_000000,
5764 /* 0x1c */
5765 0b_0000000000_000000,
5766 0b_0000000000_000000,
5767 0b_0000000000_000000,
5768 0b_0000000000_000000,
5769 0b_0110000000_000000,
5770 0b_0110000000_000000,
5771 0b_0110000000_000000,
5772 0b_0111111110_000000,
5773 0b_0000000000_000000,
5774 0b_0000000000_000000,
5775 /* 0x1d */
5776 0b_0000000000_000000,
5777 0b_0000000000_000000,
5778 0b_0001000100_000000,
5779 0b_0011000110_000000,
5780 0b_0111111111_000000,
5781 0b_0011000110_000000,
5782 0b_0001000100_000000,
5783 0b_0000000000_000000,
5784 0b_0000000000_000000,
5785 0b_0000000000_000000,
5786 /* 0x1e */
5787 0b_0000000000_000000,
5788 0b_0000000000_000000,
5789 0b_0000010000_000000,
5790 0b_0000111000_000000,
5791 0b_0001111100_000000,
5792 0b_0011111110_000000,
5793 0b_0111111111_000000,
5794 0b_0000000000_000000,
5795 0b_0000000000_000000,
5796 0b_0000000000_000000,
5797 /* 0x1f */
5798 0b_0000000000_000000,
5799 0b_0000000000_000000,
5800 0b_0111111111_000000,
5801 0b_0011111110_000000,
5802 0b_0001111100_000000,
5803 0b_0000111000_000000,
5804 0b_0000010000_000000,
5805 0b_0000000000_000000,
5806 0b_0000000000_000000,
5807 0b_0000000000_000000,
5808 /* 0x20 */
5809 0b_0000000000_000000,
5810 0b_0000000000_000000,
5811 0b_0000000000_000000,
5812 0b_0000000000_000000,
5813 0b_0000000000_000000,
5814 0b_0000000000_000000,
5815 0b_0000000000_000000,
5816 0b_0000000000_000000,
5817 0b_0000000000_000000,
5818 0b_0000000000_000000,
5819 /* ! */
5820 0b_0000000000_000000,
5821 0b_0000110000_000000,
5822 0b_0001111000_000000,
5823 0b_0001111000_000000,
5824 0b_0000110000_000000,
5825 0b_0000110000_000000,
5826 0b_0000000000_000000,
5827 0b_0000110000_000000,
5828 0b_0000000000_000000,
5829 0b_0000000000_000000,
5830 /* " */
5831 0b_0000000000_000000,
5832 0b_0001101100_000000,
5833 0b_0001101100_000000,
5834 0b_0001101100_000000,
5835 0b_0000000000_000000,
5836 0b_0000000000_000000,
5837 0b_0000000000_000000,
5838 0b_0000000000_000000,
5839 0b_0000000000_000000,
5840 0b_0000000000_000000,
5841 /* # */
5842 0b_0000000000_000000,
5843 0b_0001101100_000000,
5844 0b_0001101100_000000,
5845 0b_0111111111_000000,
5846 0b_0001101100_000000,
5847 0b_0111111111_000000,
5848 0b_0001101100_000000,
5849 0b_0001101100_000000,
5850 0b_0000000000_000000,
5851 0b_0000000000_000000,
5852 /* $ */
5853 0b_0000010000_000000,
5854 0b_0001111100_000000,
5855 0b_0011010110_000000,
5856 0b_0011010000_000000,
5857 0b_0001111100_000000,
5858 0b_0000010110_000000,
5859 0b_0011010110_000000,
5860 0b_0001111100_000000,
5861 0b_0000010000_000000,
5862 0b_0000000000_000000,
5863 /* % */
5864 0b_0000000000_000000,
5865 0b_0011000110_000000,
5866 0b_0011001100_000000,
5867 0b_0000011000_000000,
5868 0b_0000110000_000000,
5869 0b_0001100110_000000,
5870 0b_0011000110_000000,
5871 0b_0000000000_000000,
5872 0b_0000000000_000000,
5873 0b_0000000000_000000,
5874 /* & */
5875 0b_0000000000_000000,
5876 0b_0001110000_000000,
5877 0b_0011001100_000000,
5878 0b_0011001100_000000,
5879 0b_0001111110_000000,
5880 0b_0011001100_000000,
5881 0b_0011001100_000000,
5882 0b_0001110110_000000,
5883 0b_0000000000_000000,
5884 0b_0000000000_000000,
5885 /* ' */
5886 0b_0000000000_000000,
5887 0b_0000111000_000000,
5888 0b_0000110000_000000,
5889 0b_0001100000_000000,
5890 0b_0000000000_000000,
5891 0b_0000000000_000000,
5892 0b_0000000000_000000,
5893 0b_0000000000_000000,
5894 0b_0000000000_000000,
5895 0b_0000000000_000000,
5896 /* ( */
5897 0b_0000000000_000000,
5898 0b_0000011000_000000,
5899 0b_0000110000_000000,
5900 0b_0001100000_000000,
5901 0b_0001100000_000000,
5902 0b_0001100000_000000,
5903 0b_0000110000_000000,
5904 0b_0000011000_000000,
5905 0b_0000000000_000000,
5906 0b_0000000000_000000,
5907 /* ) */
5908 0b_0000000000_000000,
5909 0b_0001100000_000000,
5910 0b_0000110000_000000,
5911 0b_0000011000_000000,
5912 0b_0000011000_000000,
5913 0b_0000011000_000000,
5914 0b_0000110000_000000,
5915 0b_0001100000_000000,
5916 0b_0000000000_000000,
5917 0b_0000000000_000000,
5918 /* * */
5919 0b_0000000000_000000,
5920 0b_0000000000_000000,
5921 0b_0011001100_000000,
5922 0b_0001111000_000000,
5923 0b_0111111110_000000,
5924 0b_0001111000_000000,
5925 0b_0011001100_000000,
5926 0b_0000000000_000000,
5927 0b_0000000000_000000,
5928 0b_0000000000_000000,
5929 /* + */
5930 0b_0000000000_000000,
5931 0b_0000000000_000000,
5932 0b_0000110000_000000,
5933 0b_0000110000_000000,
5934 0b_0011111100_000000,
5935 0b_0000110000_000000,
5936 0b_0000110000_000000,
5937 0b_0000000000_000000,
5938 0b_0000000000_000000,
5939 0b_0000000000_000000,
5940 /* , */
5941 0b_0000000000_000000,
5942 0b_0000000000_000000,
5943 0b_0000000000_000000,
5944 0b_0000000000_000000,
5945 0b_0000000000_000000,
5946 0b_0000000000_000000,
5947 0b_0000110000_000000,
5948 0b_0000110000_000000,
5949 0b_0001100000_000000,
5950 0b_0000000000_000000,
5951 /* - */
5952 0b_0000000000_000000,
5953 0b_0000000000_000000,
5954 0b_0000000000_000000,
5955 0b_0000000000_000000,
5956 0b_0011111100_000000,
5957 0b_0000000000_000000,
5958 0b_0000000000_000000,
5959 0b_0000000000_000000,
5960 0b_0000000000_000000,
5961 0b_0000000000_000000,
5962 /* . */
5963 0b_0000000000_000000,
5964 0b_0000000000_000000,
5965 0b_0000000000_000000,
5966 0b_0000000000_000000,
5967 0b_0000000000_000000,
5968 0b_0000000000_000000,
5969 0b_0000110000_000000,
5970 0b_0000110000_000000,
5971 0b_0000000000_000000,
5972 0b_0000000000_000000,
5973 /* / */
5974 0b_0000000000_000000,
5975 0b_0000000110_000000,
5976 0b_0000001100_000000,
5977 0b_0000011000_000000,
5978 0b_0000110000_000000,
5979 0b_0001100000_000000,
5980 0b_0011000000_000000,
5981 0b_0110000000_000000,
5982 0b_0000000000_000000,
5983 0b_0000000000_000000,
5984 /* 0 */
5985 0b_0000000000_000000,
5986 0b_0001111100_000000,
5987 0b_0011001110_000000,
5988 0b_0011011110_000000,
5989 0b_0011111110_000000,
5990 0b_0011110110_000000,
5991 0b_0011100110_000000,
5992 0b_0001111100_000000,
5993 0b_0000000000_000000,
5994 0b_0000000000_000000,
5995 /* 1 */
5996 0b_0000000000_000000,
5997 0b_0000110000_000000,
5998 0b_0001110000_000000,
5999 0b_0000110000_000000,
6000 0b_0000110000_000000,
6001 0b_0000110000_000000,
6002 0b_0000110000_000000,
6003 0b_0011111100_000000,
6004 0b_0000000000_000000,
6005 0b_0000000000_000000,
6006 /* 2 */
6007 0b_0000000000_000000,
6008 0b_0001111100_000000,
6009 0b_0011000110_000000,
6010 0b_0000000110_000000,
6011 0b_0000111100_000000,
6012 0b_0001100000_000000,
6013 0b_0011000110_000000,
6014 0b_0011111110_000000,
6015 0b_0000000000_000000,
6016 0b_0000000000_000000,
6017 /* 3 */
6018 0b_0000000000_000000,
6019 0b_0001111100_000000,
6020 0b_0011000110_000000,
6021 0b_0000000110_000000,
6022 0b_0000011100_000000,
6023 0b_0000000110_000000,
6024 0b_0011000110_000000,
6025 0b_0001111100_000000,
6026 0b_0000000000_000000,
6027 0b_0000000000_000000,
6028 /* 4 */
6029 0b_0000000000_000000,
6030 0b_0000011100_000000,
6031 0b_0000111100_000000,
6032 0b_0001101100_000000,
6033 0b_0011001100_000000,
6034 0b_0011111110_000000,
6035 0b_0000001100_000000,
6036 0b_0000011110_000000,
6037 0b_0000000000_000000,
6038 0b_0000000000_000000,
6039 /* 5 */
6040 0b_0000000000_000000,
6041 0b_0011111110_000000,
6042 0b_0011000000_000000,
6043 0b_0011000000_000000,
6044 0b_0011111100_000000,
6045 0b_0000000110_000000,
6046 0b_0011000110_000000,
6047 0b_0001111100_000000,
6048 0b_0000000000_000000,
6049 0b_0000000000_000000,
6050 /* 6 */
6051 0b_0000000000_000000,
6052 0b_0000111100_000000,
6053 0b_0001100000_000000,
6054 0b_0011000000_000000,
6055 0b_0011111100_000000,
6056 0b_0011000110_000000,
6057 0b_0011000110_000000,
6058 0b_0001111100_000000,
6059 0b_0000000000_000000,
6060 0b_0000000000_000000,
6061 /* 7 */
6062 0b_0000000000_000000,
6063 0b_0011111110_000000,
6064 0b_0011000110_000000,
6065 0b_0000000110_000000,
6066 0b_0000001100_000000,
6067 0b_0000011000_000000,
6068 0b_0000110000_000000,
6069 0b_0000110000_000000,
6070 0b_0000000000_000000,
6071 0b_0000000000_000000,
6072 /* 8 */
6073 0b_0000000000_000000,
6074 0b_0001111100_000000,
6075 0b_0011000110_000000,
6076 0b_0011000110_000000,
6077 0b_0001111100_000000,
6078 0b_0011000110_000000,
6079 0b_0011000110_000000,
6080 0b_0001111100_000000,
6081 0b_0000000000_000000,
6082 0b_0000000000_000000,
6083 /* 9 */
6084 0b_0000000000_000000,
6085 0b_0001111100_000000,
6086 0b_0011000110_000000,
6087 0b_0011000110_000000,
6088 0b_0001111110_000000,
6089 0b_0000000110_000000,
6090 0b_0000001100_000000,
6091 0b_0001111000_000000,
6092 0b_0000000000_000000,
6093 0b_0000000000_000000,
6094 /* : */
6095 0b_0000000000_000000,
6096 0b_0000000000_000000,
6097 0b_0000110000_000000,
6098 0b_0000110000_000000,
6099 0b_0000000000_000000,
6100 0b_0000000000_000000,
6101 0b_0000110000_000000,
6102 0b_0000110000_000000,
6103 0b_0000000000_000000,
6104 0b_0000000000_000000,
6105 /* ; */
6106 0b_0000000000_000000,
6107 0b_0000000000_000000,
6108 0b_0000110000_000000,
6109 0b_0000110000_000000,
6110 0b_0000000000_000000,
6111 0b_0000000000_000000,
6112 0b_0000110000_000000,
6113 0b_0000110000_000000,
6114 0b_0001100000_000000,
6115 0b_0000000000_000000,
6116 /* < */
6117 0b_0000000000_000000,
6118 0b_0000001100_000000,
6119 0b_0000011000_000000,
6120 0b_0000110000_000000,
6121 0b_0001100000_000000,
6122 0b_0000110000_000000,
6123 0b_0000011000_000000,
6124 0b_0000001100_000000,
6125 0b_0000000000_000000,
6126 0b_0000000000_000000,
6127 /* = */
6128 0b_0000000000_000000,
6129 0b_0000000000_000000,
6130 0b_0000000000_000000,
6131 0b_0011111100_000000,
6132 0b_0000000000_000000,
6133 0b_0011111100_000000,
6134 0b_0000000000_000000,
6135 0b_0000000000_000000,
6136 0b_0000000000_000000,
6137 0b_0000000000_000000,
6138 /* > */
6139 0b_0000000000_000000,
6140 0b_0001100000_000000,
6141 0b_0000110000_000000,
6142 0b_0000011000_000000,
6143 0b_0000001100_000000,
6144 0b_0000011000_000000,
6145 0b_0000110000_000000,
6146 0b_0001100000_000000,
6147 0b_0000000000_000000,
6148 0b_0000000000_000000,
6149 /* ? */
6150 0b_0000000000_000000,
6151 0b_0001111000_000000,
6152 0b_0011001100_000000,
6153 0b_0000001100_000000,
6154 0b_0000001100_000000,
6155 0b_0000011000_000000,
6156 0b_0000110000_000000,
6157 0b_0000000000_000000,
6158 0b_0000110000_000000,
6159 0b_0000000000_000000,
6160 /* @ */
6161 0b_0000000000_000000,
6162 0b_0011111100_000000,
6163 0b_0110000110_000000,
6164 0b_0110011110_000000,
6165 0b_0110110110_000000,
6166 0b_0110011110_000000,
6167 0b_0110000000_000000,
6168 0b_0011111100_000000,
6169 0b_0000000000_000000,
6170 0b_0000000000_000000,
6171 /* A */
6172 0b_0000000000_000000,
6173 0b_0001111100_000000,
6174 0b_0011000110_000000,
6175 0b_0011000110_000000,
6176 0b_0011111110_000000,
6177 0b_0011000110_000000,
6178 0b_0011000110_000000,
6179 0b_0011000110_000000,
6180 0b_0000000000_000000,
6181 0b_0000000000_000000,
6182 /* B */
6183 0b_0000000000_000000,
6184 0b_0011111100_000000,
6185 0b_0011000110_000000,
6186 0b_0011000110_000000,
6187 0b_0011111100_000000,
6188 0b_0011000110_000000,
6189 0b_0011000110_000000,
6190 0b_0011111100_000000,
6191 0b_0000000000_000000,
6192 0b_0000000000_000000,
6193 /* C */
6194 0b_0000000000_000000,
6195 0b_0001111100_000000,
6196 0b_0011000110_000000,
6197 0b_0011000000_000000,
6198 0b_0011000000_000000,
6199 0b_0011000000_000000,
6200 0b_0011000110_000000,
6201 0b_0001111100_000000,
6202 0b_0000000000_000000,
6203 0b_0000000000_000000,
6204 /* D */
6205 0b_0000000000_000000,
6206 0b_0011111000_000000,
6207 0b_0011001100_000000,
6208 0b_0011000110_000000,
6209 0b_0011000110_000000,
6210 0b_0011000110_000000,
6211 0b_0011001100_000000,
6212 0b_0011111000_000000,
6213 0b_0000000000_000000,
6214 0b_0000000000_000000,
6215 /* E */
6216 0b_0000000000_000000,
6217 0b_0011111110_000000,
6218 0b_0011000000_000000,
6219 0b_0011000000_000000,
6220 0b_0011111100_000000,
6221 0b_0011000000_000000,
6222 0b_0011000000_000000,
6223 0b_0011111110_000000,
6224 0b_0000000000_000000,
6225 0b_0000000000_000000,
6226 /* F */
6227 0b_0000000000_000000,
6228 0b_0011111110_000000,
6229 0b_0011000000_000000,
6230 0b_0011000000_000000,
6231 0b_0011111100_000000,
6232 0b_0011000000_000000,
6233 0b_0011000000_000000,
6234 0b_0011000000_000000,
6235 0b_0000000000_000000,
6236 0b_0000000000_000000,
6237 /* G */
6238 0b_0000000000_000000,
6239 0b_0001111100_000000,
6240 0b_0011000110_000000,
6241 0b_0011000000_000000,
6242 0b_0011001110_000000,
6243 0b_0011000110_000000,
6244 0b_0011000110_000000,
6245 0b_0001111100_000000,
6246 0b_0000000000_000000,
6247 0b_0000000000_000000,
6248 /* H */
6249 0b_0000000000_000000,
6250 0b_0011000110_000000,
6251 0b_0011000110_000000,
6252 0b_0011000110_000000,
6253 0b_0011111110_000000,
6254 0b_0011000110_000000,
6255 0b_0011000110_000000,
6256 0b_0011000110_000000,
6257 0b_0000000000_000000,
6258 0b_0000000000_000000,
6259 /* I */
6260 0b_0000000000_000000,
6261 0b_0001111000_000000,
6262 0b_0000110000_000000,
6263 0b_0000110000_000000,
6264 0b_0000110000_000000,
6265 0b_0000110000_000000,
6266 0b_0000110000_000000,
6267 0b_0001111000_000000,
6268 0b_0000000000_000000,
6269 0b_0000000000_000000,
6270 /* J */
6271 0b_0000000000_000000,
6272 0b_0000011100_000000,
6273 0b_0000001100_000000,
6274 0b_0000001100_000000,
6275 0b_0000001100_000000,
6276 0b_0011001100_000000,
6277 0b_0011001100_000000,
6278 0b_0001111000_000000,
6279 0b_0000000000_000000,
6280 0b_0000000000_000000,
6281 /* K */
6282 0b_0000000000_000000,
6283 0b_0011000110_000000,
6284 0b_0011000110_000000,
6285 0b_0011001100_000000,
6286 0b_0011111000_000000,
6287 0b_0011001100_000000,
6288 0b_0011000110_000000,
6289 0b_0011000110_000000,
6290 0b_0000000000_000000,
6291 0b_0000000000_000000,
6292 /* L */
6293 0b_0000000000_000000,
6294 0b_0011000000_000000,
6295 0b_0011000000_000000,
6296 0b_0011000000_000000,
6297 0b_0011000000_000000,
6298 0b_0011000000_000000,
6299 0b_0011000000_000000,
6300 0b_0011111110_000000,
6301 0b_0000000000_000000,
6302 0b_0000000000_000000,
6303 /* M */
6304 0b_0000000000_000000,
6305 0b_0110000110_000000,
6306 0b_0111001110_000000,
6307 0b_0111111110_000000,
6308 0b_0110110110_000000,
6309 0b_0110000110_000000,
6310 0b_0110000110_000000,
6311 0b_0110000110_000000,
6312 0b_0000000000_000000,
6313 0b_0000000000_000000,
6314 /* N */
6315 0b_0000000000_000000,
6316 0b_0011000110_000000,
6317 0b_0011100110_000000,
6318 0b_0011110110_000000,
6319 0b_0011011110_000000,
6320 0b_0011001110_000000,
6321 0b_0011000110_000000,
6322 0b_0011000110_000000,
6323 0b_0000000000_000000,
6324 0b_0000000000_000000,
6325 /* O */
6326 0b_0000000000_000000,
6327 0b_0001111100_000000,
6328 0b_0011000110_000000,
6329 0b_0011000110_000000,
6330 0b_0011000110_000000,
6331 0b_0011000110_000000,
6332 0b_0011000110_000000,
6333 0b_0001111100_000000,
6334 0b_0000000000_000000,
6335 0b_0000000000_000000,
6336 /* P */
6337 0b_0000000000_000000,
6338 0b_0011111100_000000,
6339 0b_0011000110_000000,
6340 0b_0011000110_000000,
6341 0b_0011111100_000000,
6342 0b_0011000000_000000,
6343 0b_0011000000_000000,
6344 0b_0011000000_000000,
6345 0b_0000000000_000000,
6346 0b_0000000000_000000,
6347 /* Q */
6348 0b_0000000000_000000,
6349 0b_0001111100_000000,
6350 0b_0011000110_000000,
6351 0b_0011000110_000000,
6352 0b_0011000110_000000,
6353 0b_0011000110_000000,
6354 0b_0011001110_000000,
6355 0b_0001111100_000000,
6356 0b_0000001110_000000,
6357 0b_0000000000_000000,
6358 /* R */
6359 0b_0000000000_000000,
6360 0b_0011111100_000000,
6361 0b_0011000110_000000,
6362 0b_0011000110_000000,
6363 0b_0011111100_000000,
6364 0b_0011001100_000000,
6365 0b_0011000110_000000,
6366 0b_0011000110_000000,
6367 0b_0000000000_000000,
6368 0b_0000000000_000000,
6369 /* S */
6370 0b_0000000000_000000,
6371 0b_0001111100_000000,
6372 0b_0011000110_000000,
6373 0b_0011000000_000000,
6374 0b_0001111100_000000,
6375 0b_0000000110_000000,
6376 0b_0011000110_000000,
6377 0b_0001111100_000000,
6378 0b_0000000000_000000,
6379 0b_0000000000_000000,
6380 /* T */
6381 0b_0000000000_000000,
6382 0b_0111111110_000000,
6383 0b_0000110000_000000,
6384 0b_0000110000_000000,
6385 0b_0000110000_000000,
6386 0b_0000110000_000000,
6387 0b_0000110000_000000,
6388 0b_0000110000_000000,
6389 0b_0000000000_000000,
6390 0b_0000000000_000000,
6391 /* U */
6392 0b_0000000000_000000,
6393 0b_0011000110_000000,
6394 0b_0011000110_000000,
6395 0b_0011000110_000000,
6396 0b_0011000110_000000,
6397 0b_0011000110_000000,
6398 0b_0011000110_000000,
6399 0b_0001111100_000000,
6400 0b_0000000000_000000,
6401 0b_0000000000_000000,
6402 /* V */
6403 0b_0000000000_000000,
6404 0b_0011000110_000000,
6405 0b_0011000110_000000,
6406 0b_0011000110_000000,
6407 0b_0011000110_000000,
6408 0b_0001101100_000000,
6409 0b_0000111000_000000,
6410 0b_0000010000_000000,
6411 0b_0000000000_000000,
6412 0b_0000000000_000000,
6413 /* W */
6414 0b_0000000000_000000,
6415 0b_0110000110_000000,
6416 0b_0110000110_000000,
6417 0b_0110000110_000000,
6418 0b_0110110110_000000,
6419 0b_0111111110_000000,
6420 0b_0111001110_000000,
6421 0b_0110000110_000000,
6422 0b_0000000000_000000,
6423 0b_0000000000_000000,
6424 /* X */
6425 0b_0000000000_000000,
6426 0b_0110000110_000000,
6427 0b_0011001100_000000,
6428 0b_0001111000_000000,
6429 0b_0000110000_000000,
6430 0b_0001111000_000000,
6431 0b_0011001100_000000,
6432 0b_0110000110_000000,
6433 0b_0000000000_000000,
6434 0b_0000000000_000000,
6435 /* Y */
6436 0b_0000000000_000000,
6437 0b_0110000110_000000,
6438 0b_0110000110_000000,
6439 0b_0011001100_000000,
6440 0b_0001111000_000000,
6441 0b_0000110000_000000,
6442 0b_0000110000_000000,
6443 0b_0000110000_000000,
6444 0b_0000000000_000000,
6445 0b_0000000000_000000,
6446 /* Z */
6447 0b_0000000000_000000,
6448 0b_0011111110_000000,
6449 0b_0000001100_000000,
6450 0b_0000011000_000000,
6451 0b_0000110000_000000,
6452 0b_0001100000_000000,
6453 0b_0011000000_000000,
6454 0b_0011111110_000000,
6455 0b_0000000000_000000,
6456 0b_0000000000_000000,
6457 /* [ */
6458 0b_0000000000_000000,
6459 0b_0001111000_000000,
6460 0b_0001100000_000000,
6461 0b_0001100000_000000,
6462 0b_0001100000_000000,
6463 0b_0001100000_000000,
6464 0b_0001100000_000000,
6465 0b_0001111000_000000,
6466 0b_0000000000_000000,
6467 0b_0000000000_000000,
6468 /* \ */
6469 0b_0000000000_000000,
6470 0b_0110000000_000000,
6471 0b_0011000000_000000,
6472 0b_0001100000_000000,
6473 0b_0000110000_000000,
6474 0b_0000011000_000000,
6475 0b_0000001100_000000,
6476 0b_0000000000_000000,
6477 0b_0000000000_000000,
6478 0b_0000000000_000000,
6479 /* ] */
6480 0b_0000000000_000000,
6481 0b_0001111000_000000,
6482 0b_0000011000_000000,
6483 0b_0000011000_000000,
6484 0b_0000011000_000000,
6485 0b_0000011000_000000,
6486 0b_0000011000_000000,
6487 0b_0001111000_000000,
6488 0b_0000000000_000000,
6489 0b_0000000000_000000,
6490 /* ^ */
6491 0b_0000000000_000000,
6492 0b_0000010000_000000,
6493 0b_0000111000_000000,
6494 0b_0001101100_000000,
6495 0b_0011000110_000000,
6496 0b_0000000000_000000,
6497 0b_0000000000_000000,
6498 0b_0000000000_000000,
6499 0b_0000000000_000000,
6500 0b_0000000000_000000,
6501 /* _ */
6502 0b_0000000000_000000,
6503 0b_0000000000_000000,
6504 0b_0000000000_000000,
6505 0b_0000000000_000000,
6506 0b_0000000000_000000,
6507 0b_0000000000_000000,
6508 0b_0000000000_000000,
6509 0b_0000000000_000000,
6510 0b_1111111111_000000,
6511 0b_0000000000_000000,
6512 /* ` */
6513 0b_0000000000_000000,
6514 0b_0001110000_000000,
6515 0b_0000110000_000000,
6516 0b_0000011000_000000,
6517 0b_0000000000_000000,
6518 0b_0000000000_000000,
6519 0b_0000000000_000000,
6520 0b_0000000000_000000,
6521 0b_0000000000_000000,
6522 0b_0000000000_000000,
6523 /* a */
6524 0b_0000000000_000000,
6525 0b_0000000000_000000,
6526 0b_0000000000_000000,
6527 0b_0001111100_000000,
6528 0b_0000000110_000000,
6529 0b_0001111110_000000,
6530 0b_0011000110_000000,
6531 0b_0001111110_000000,
6532 0b_0000000000_000000,
6533 0b_0000000000_000000,
6534 /* b */
6535 0b_0000000000_000000,
6536 0b_0011000000_000000,
6537 0b_0011000000_000000,
6538 0b_0011111100_000000,
6539 0b_0011000110_000000,
6540 0b_0011000110_000000,
6541 0b_0011000110_000000,
6542 0b_0011111100_000000,
6543 0b_0000000000_000000,
6544 0b_0000000000_000000,
6545 /* c */
6546 0b_0000000000_000000,
6547 0b_0000000000_000000,
6548 0b_0000000000_000000,
6549 0b_0001111100_000000,
6550 0b_0011000110_000000,
6551 0b_0011000000_000000,
6552 0b_0011000110_000000,
6553 0b_0001111100_000000,
6554 0b_0000000000_000000,
6555 0b_0000000000_000000,
6556 /* d */
6557 0b_0000000000_000000,
6558 0b_0000000110_000000,
6559 0b_0000000110_000000,
6560 0b_0001111110_000000,
6561 0b_0011000110_000000,
6562 0b_0011000110_000000,
6563 0b_0011000110_000000,
6564 0b_0001111110_000000,
6565 0b_0000000000_000000,
6566 0b_0000000000_000000,
6567 /* e */
6568 0b_0000000000_000000,
6569 0b_0000000000_000000,
6570 0b_0000000000_000000,
6571 0b_0001111100_000000,
6572 0b_0011000110_000000,
6573 0b_0011111110_000000,
6574 0b_0011000000_000000,
6575 0b_0001111100_000000,
6576 0b_0000000000_000000,
6577 0b_0000000000_000000,
6578 /* f */
6579 0b_0000000000_000000,
6580 0b_0000111100_000000,
6581 0b_0001100000_000000,
6582 0b_0001100000_000000,
6583 0b_0011111000_000000,
6584 0b_0001100000_000000,
6585 0b_0001100000_000000,
6586 0b_0001100000_000000,
6587 0b_0000000000_000000,
6588 0b_0000000000_000000,
6589 /* g */
6590 0b_0000000000_000000,
6591 0b_0000000000_000000,
6592 0b_0000000000_000000,
6593 0b_0001111110_000000,
6594 0b_0011000110_000000,
6595 0b_0011000110_000000,
6596 0b_0011000110_000000,
6597 0b_0001111110_000000,
6598 0b_0000000110_000000,
6599 0b_0001111100_000000,
6600 /* h */
6601 0b_0000000000_000000,
6602 0b_0011000000_000000,
6603 0b_0011000000_000000,
6604 0b_0011111100_000000,
6605 0b_0011000110_000000,
6606 0b_0011000110_000000,
6607 0b_0011000110_000000,
6608 0b_0011000110_000000,
6609 0b_0000000000_000000,
6610 0b_0000000000_000000,
6611 /* i */
6612 0b_0000000000_000000,
6613 0b_0000110000_000000,
6614 0b_0000000000_000000,
6615 0b_0001110000_000000,
6616 0b_0000110000_000000,
6617 0b_0000110000_000000,
6618 0b_0000110000_000000,
6619 0b_0001111000_000000,
6620 0b_0000000000_000000,
6621 0b_0000000000_000000,
6622 /* j */
6623 0b_0000000000_000000,
6624 0b_0000011000_000000,
6625 0b_0000000000_000000,
6626 0b_0000111000_000000,
6627 0b_0000011000_000000,
6628 0b_0000011000_000000,
6629 0b_0000011000_000000,
6630 0b_0000011000_000000,
6631 0b_0000011000_000000,
6632 0b_0001110000_000000,
6633 /* k */
6634 0b_0000000000_000000,
6635 0b_0011000000_000000,
6636 0b_0011000000_000000,
6637 0b_0011000110_000000,
6638 0b_0011001100_000000,
6639 0b_0011111000_000000,
6640 0b_0011001100_000000,
6641 0b_0011000110_000000,
6642 0b_0000000000_000000,
6643 0b_0000000000_000000,
6644 /* l */
6645 0b_0000000000_000000,
6646 0b_0001110000_000000,
6647 0b_0000110000_000000,
6648 0b_0000110000_000000,
6649 0b_0000110000_000000,
6650 0b_0000110000_000000,
6651 0b_0000110000_000000,
6652 0b_0000011100_000000,
6653 0b_0000000000_000000,
6654 0b_0000000000_000000,
6655 /* m */
6656 0b_0000000000_000000,
6657 0b_0000000000_000000,
6658 0b_0000000000_000000,
6659 0b_0011001100_000000,
6660 0b_0111111110_000000,
6661 0b_0110110110_000000,
6662 0b_0110110110_000000,
6663 0b_0110000110_000000,
6664 0b_0000000000_000000,
6665 0b_0000000000_000000,
6666 /* n */
6667 0b_0000000000_000000,
6668 0b_0000000000_000000,
6669 0b_0000000000_000000,
6670 0b_0011111100_000000,
6671 0b_0011000110_000000,
6672 0b_0011000110_000000,
6673 0b_0011000110_000000,
6674 0b_0011000110_000000,
6675 0b_0000000000_000000,
6676 0b_0000000000_000000,
6677 /* o */
6678 0b_0000000000_000000,
6679 0b_0000000000_000000,
6680 0b_0000000000_000000,
6681 0b_0001111100_000000,
6682 0b_0011000110_000000,
6683 0b_0011000110_000000,
6684 0b_0011000110_000000,
6685 0b_0001111100_000000,
6686 0b_0000000000_000000,
6687 0b_0000000000_000000,
6688 /* p */
6689 0b_0000000000_000000,
6690 0b_0000000000_000000,
6691 0b_0000000000_000000,
6692 0b_0011111100_000000,
6693 0b_0011000110_000000,
6694 0b_0011000110_000000,
6695 0b_0011111100_000000,
6696 0b_0011000000_000000,
6697 0b_0011000000_000000,
6698 0b_0000000000_000000,
6699 /* q */
6700 0b_0000000000_000000,
6701 0b_0000000000_000000,
6702 0b_0000000000_000000,
6703 0b_0001111110_000000,
6704 0b_0011000110_000000,
6705 0b_0011000110_000000,
6706 0b_0001111110_000000,
6707 0b_0000000110_000000,
6708 0b_0000000111_000000,
6709 0b_0000000000_000000,
6710 /* r */
6711 0b_0000000000_000000,
6712 0b_0000000000_000000,
6713 0b_0000000000_000000,
6714 0b_0011111100_000000,
6715 0b_0011000110_000000,
6716 0b_0011000000_000000,
6717 0b_0011000000_000000,
6718 0b_0011000000_000000,
6719 0b_0000000000_000000,
6720 0b_0000000000_000000,
6721 /* s */
6722 0b_0000000000_000000,
6723 0b_0000000000_000000,
6724 0b_0000000000_000000,
6725 0b_0001111110_000000,
6726 0b_0011000000_000000,
6727 0b_0001111100_000000,
6728 0b_0000000110_000000,
6729 0b_0011111100_000000,
6730 0b_0000000000_000000,
6731 0b_0000000000_000000,
6732 /* t */
6733 0b_0000000000_000000,
6734 0b_0001100000_000000,
6735 0b_0001100000_000000,
6736 0b_0011111000_000000,
6737 0b_0001100000_000000,
6738 0b_0001100000_000000,
6739 0b_0001100000_000000,
6740 0b_0000111100_000000,
6741 0b_0000000000_000000,
6742 0b_0000000000_000000,
6743 /* u */
6744 0b_0000000000_000000,
6745 0b_0000000000_000000,
6746 0b_0000000000_000000,
6747 0b_0011000110_000000,
6748 0b_0011000110_000000,
6749 0b_0011000110_000000,
6750 0b_0011000110_000000,
6751 0b_0001111110_000000,
6752 0b_0000000000_000000,
6753 0b_0000000000_000000,
6754 /* v */
6755 0b_0000000000_000000,
6756 0b_0000000000_000000,
6757 0b_0000000000_000000,
6758 0b_0011000110_000000,
6759 0b_0011000110_000000,
6760 0b_0001101100_000000,
6761 0b_0000111000_000000,
6762 0b_0000010000_000000,
6763 0b_0000000000_000000,
6764 0b_0000000000_000000,
6765 /* w */
6766 0b_0000000000_000000,
6767 0b_0000000000_000000,
6768 0b_0000000000_000000,
6769 0b_0110000110_000000,
6770 0b_0110110110_000000,
6771 0b_0110110110_000000,
6772 0b_0111111110_000000,
6773 0b_0011001100_000000,
6774 0b_0000000000_000000,
6775 0b_0000000000_000000,
6776 /* x */
6777 0b_0000000000_000000,
6778 0b_0000000000_000000,
6779 0b_0000000000_000000,
6780 0b_0011000110_000000,
6781 0b_0001101100_000000,
6782 0b_0000111000_000000,
6783 0b_0001101100_000000,
6784 0b_0011000110_000000,
6785 0b_0000000000_000000,
6786 0b_0000000000_000000,
6787 /* y */
6788 0b_0000000000_000000,
6789 0b_0000000000_000000,
6790 0b_0000000000_000000,
6791 0b_0011000110_000000,
6792 0b_0011000110_000000,
6793 0b_0011000110_000000,
6794 0b_0001111110_000000,
6795 0b_0000000110_000000,
6796 0b_0001111100_000000,
6797 0b_0000000000_000000,
6798 /* z */
6799 0b_0000000000_000000,
6800 0b_0000000000_000000,
6801 0b_0000000000_000000,
6802 0b_0011111100_000000,
6803 0b_0000011000_000000,
6804 0b_0000110000_000000,
6805 0b_0001100000_000000,
6806 0b_0011111100_000000,
6807 0b_0000000000_000000,
6808 0b_0000000000_000000,
6809 /* { */
6810 0b_0000000000_000000,
6811 0b_0000111000_000000,
6812 0b_0001100000_000000,
6813 0b_0001100000_000000,
6814 0b_0011000000_000000,
6815 0b_0001100000_000000,
6816 0b_0001100000_000000,
6817 0b_0000111000_000000,
6818 0b_0000000000_000000,
6819 0b_0000000000_000000,
6820 /* | */
6821 0b_0000110000_000000,
6822 0b_0000110000_000000,
6823 0b_0000110000_000000,
6824 0b_0000110000_000000,
6825 0b_0000000000_000000,
6826 0b_0000110000_000000,
6827 0b_0000110000_000000,
6828 0b_0000110000_000000,
6829 0b_0000110000_000000,
6830 0b_0000000000_000000,
6831 /* } */
6832 0b_0000000000_000000,
6833 0b_0001110000_000000,
6834 0b_0000011000_000000,
6835 0b_0000011000_000000,
6836 0b_0000001100_000000,
6837 0b_0000011000_000000,
6838 0b_0000011000_000000,
6839 0b_0001110000_000000,
6840 0b_0000000000_000000,
6841 0b_0000000000_000000,
6842 /* ~ */
6843 0b_0000000000_000000,
6844 0b_0000000000_000000,
6845 0b_0000000000_000000,
6846 0b_0011100000_000000,
6847 0b_0110110110_000000,
6848 0b_0000011100_000000,
6849 0b_0000000000_000000,
6850 0b_0000000000_000000,
6851 0b_0000000000_000000,
6852 0b_0000000000_000000,
6853 /* 0x7f */
6854 0b_0000000000_000000,
6855 0b_0000010000_000000,
6856 0b_0000111000_000000,
6857 0b_0001101100_000000,
6858 0b_0011000110_000000,
6859 0b_0011000110_000000,
6860 0b_0011000110_000000,
6861 0b_0011111110_000000,
6862 0b_0000000000_000000,
6863 0b_0000000000_000000,
6864 /* 0x80 */
6865 0b_0000000000_000000,
6866 0b_0001111100_000000,
6867 0b_0011000110_000000,
6868 0b_0011000000_000000,
6869 0b_0011000000_000000,
6870 0b_0011000000_000000,
6871 0b_0011000110_000000,
6872 0b_0001111100_000000,
6873 0b_0000110000_000000,
6874 0b_0001100000_000000,
6875 /* 0x81 */
6876 0b_0000000000_000000,
6877 0b_0001101100_000000,
6878 0b_0000000000_000000,
6879 0b_0011000110_000000,
6880 0b_0011000110_000000,
6881 0b_0011000110_000000,
6882 0b_0011000110_000000,
6883 0b_0001111110_000000,
6884 0b_0000000000_000000,
6885 0b_0000000000_000000,
6886 /* 0x82 */
6887 0b_0000011000_000000,
6888 0b_0000110000_000000,
6889 0b_0000000000_000000,
6890 0b_0001111100_000000,
6891 0b_0011000110_000000,
6892 0b_0011111110_000000,
6893 0b_0011000000_000000,
6894 0b_0001111100_000000,
6895 0b_0000000000_000000,
6896 0b_0000000000_000000,
6897 /* 0x83 */
6898 0b_0000111000_000000,
6899 0b_0001101100_000000,
6900 0b_0000000000_000000,
6901 0b_0001111100_000000,
6902 0b_0000000110_000000,
6903 0b_0001111110_000000,
6904 0b_0011000110_000000,
6905 0b_0001111110_000000,
6906 0b_0000000000_000000,
6907 0b_0000000000_000000,
6908 /* 0x84 */
6909 0b_0000000000_000000,
6910 0b_0001101100_000000,
6911 0b_0000000000_000000,
6912 0b_0001111100_000000,
6913 0b_0000000110_000000,
6914 0b_0001111110_000000,
6915 0b_0011000110_000000,
6916 0b_0001111110_000000,
6917 0b_0000000000_000000,
6918 0b_0000000000_000000,
6919 /* 0x85 */
6920 0b_0000110000_000000,
6921 0b_0000011000_000000,
6922 0b_0000000000_000000,
6923 0b_0001111100_000000,
6924 0b_0000000110_000000,
6925 0b_0001111110_000000,
6926 0b_0011000110_000000,
6927 0b_0001111110_000000,
6928 0b_0000000000_000000,
6929 0b_0000000000_000000,
6930 /* 0x86 */
6931 0b_0000111000_000000,
6932 0b_0001101100_000000,
6933 0b_0000111000_000000,
6934 0b_0001111100_000000,
6935 0b_0000000110_000000,
6936 0b_0001111110_000000,
6937 0b_0011000110_000000,
6938 0b_0001111110_000000,
6939 0b_0000000000_000000,
6940 0b_0000000000_000000,
6941 /* 0x87 */
6942 0b_0000000000_000000,
6943 0b_0000000000_000000,
6944 0b_0000000000_000000,
6945 0b_0001111100_000000,
6946 0b_0011000110_000000,
6947 0b_0011000000_000000,
6948 0b_0011000110_000000,
6949 0b_0001111100_000000,
6950 0b_0000110000_000000,
6951 0b_0001100000_000000,
6952 /* 0x88 */
6953 0b_0000111000_000000,
6954 0b_0001101100_000000,
6955 0b_0000000000_000000,
6956 0b_0001111100_000000,
6957 0b_0011000110_000000,
6958 0b_0011111110_000000,
6959 0b_0011000000_000000,
6960 0b_0001111100_000000,
6961 0b_0000000000_000000,
6962 0b_0000000000_000000,
6963 /* 0x89 */
6964 0b_0000000000_000000,
6965 0b_0001101100_000000,
6966 0b_0000000000_000000,
6967 0b_0001111100_000000,
6968 0b_0011000110_000000,
6969 0b_0011111110_000000,
6970 0b_0011000000_000000,
6971 0b_0001111100_000000,
6972 0b_0000000000_000000,
6973 0b_0000000000_000000,
6974 /* 0x8a */
6975 0b_0000110000_000000,
6976 0b_0000011000_000000,
6977 0b_0000000000_000000,
6978 0b_0001111100_000000,
6979 0b_0011000110_000000,
6980 0b_0011111110_000000,
6981 0b_0011000000_000000,
6982 0b_0001111100_000000,
6983 0b_0000000000_000000,
6984 0b_0000000000_000000,
6985 /* 0x8b */
6986 0b_0000000000_000000,
6987 0b_0011011000_000000,
6988 0b_0000000000_000000,
6989 0b_0001110000_000000,
6990 0b_0000110000_000000,
6991 0b_0000110000_000000,
6992 0b_0000110000_000000,
6993 0b_0001111000_000000,
6994 0b_0000000000_000000,
6995 0b_0000000000_000000,
6996 /* 0x8c */
6997 0b_0001110000_000000,
6998 0b_0011011000_000000,
6999 0b_0000000000_000000,
7000 0b_0001110000_000000,
7001 0b_0000110000_000000,
7002 0b_0000110000_000000,
7003 0b_0000110000_000000,
7004 0b_0001111000_000000,
7005 0b_0000000000_000000,
7006 0b_0000000000_000000,
7007 /* 0x8d */
7008 0b_0001100000_000000,
7009 0b_0000110000_000000,
7010 0b_0000000000_000000,
7011 0b_0001110000_000000,
7012 0b_0000110000_000000,
7013 0b_0000110000_000000,
7014 0b_0000110000_000000,
7015 0b_0001111000_000000,
7016 0b_0000000000_000000,
7017 0b_0000000000_000000,
7018 /* 0x8e */
7019 0b_0000000000_000000,
7020 0b_0001101100_000000,
7021 0b_0000000000_000000,
7022 0b_0001111100_000000,
7023 0b_0011000110_000000,
7024 0b_0011111110_000000,
7025 0b_0011000110_000000,
7026 0b_0011000110_000000,
7027 0b_0000000000_000000,
7028 0b_0000000000_000000,
7029 /* 0x8f */
7030 0b_0000111000_000000,
7031 0b_0001101100_000000,
7032 0b_0000111000_000000,
7033 0b_0001111100_000000,
7034 0b_0011000110_000000,
7035 0b_0011111110_000000,
7036 0b_0011000110_000000,
7037 0b_0011000110_000000,
7038 0b_0000000000_000000,
7039 0b_0000000000_000000,
7040 /* 0x90 */
7041 0b_0000011000_000000,
7042 0b_0000110000_000000,
7043 0b_0000000000_000000,
7044 0b_0011111110_000000,
7045 0b_0011000000_000000,
7046 0b_0011111100_000000,
7047 0b_0011000000_000000,
7048 0b_0011111110_000000,
7049 0b_0000000000_000000,
7050 0b_0000000000_000000,
7051 /* 0x91 */
7052 0b_0000000000_000000,
7053 0b_0000000000_000000,
7054 0b_0000000000_000000,
7055 0b_0011101110_000000,
7056 0b_0000111011_000000,
7057 0b_0011111111_000000,
7058 0b_0110111000_000000,
7059 0b_0011101110_000000,
7060 0b_0000000000_000000,
7061 0b_0000000000_000000,
7062 /* 0x92 */
7063 0b_0000000000_000000,
7064 0b_0001111110_000000,
7065 0b_0011011000_000000,
7066 0b_0110011000_000000,
7067 0b_0111111110_000000,
7068 0b_0110011000_000000,
7069 0b_0110011000_000000,
7070 0b_0110011110_000000,
7071 0b_0000000000_000000,
7072 0b_0000000000_000000,
7073 /* 0x93 */
7074 0b_0000111000_000000,
7075 0b_0001101100_000000,
7076 0b_0000000000_000000,
7077 0b_0001111100_000000,
7078 0b_0011000110_000000,
7079 0b_0011000110_000000,
7080 0b_0011000110_000000,
7081 0b_0001111100_000000,
7082 0b_0000000000_000000,
7083 0b_0000000000_000000,
7084 /* 0x94 */
7085 0b_0000000000_000000,
7086 0b_0001101100_000000,
7087 0b_0000000000_000000,
7088 0b_0001111100_000000,
7089 0b_0011000110_000000,
7090 0b_0011000110_000000,
7091 0b_0011000110_000000,
7092 0b_0001111100_000000,
7093 0b_0000000000_000000,
7094 0b_0000000000_000000,
7095 /* 0x95 */
7096 0b_0000110000_000000,
7097 0b_0000011000_000000,
7098 0b_0000000000_000000,
7099 0b_0001111100_000000,
7100 0b_0011000110_000000,
7101 0b_0011000110_000000,
7102 0b_0011000110_000000,
7103 0b_0001111100_000000,
7104 0b_0000000000_000000,
7105 0b_0000000000_000000,
7106 /* 0x96 */
7107 0b_0000111000_000000,
7108 0b_0001101100_000000,
7109 0b_0000000000_000000,
7110 0b_0011000110_000000,
7111 0b_0011000110_000000,
7112 0b_0011000110_000000,
7113 0b_0011000110_000000,
7114 0b_0001111110_000000,
7115 0b_0000000000_000000,
7116 0b_0000000000_000000,
7117 /* 0x97 */
7118 0b_0000110000_000000,
7119 0b_0000011000_000000,
7120 0b_0000000000_000000,
7121 0b_0011000110_000000,
7122 0b_0011000110_000000,
7123 0b_0011000110_000000,
7124 0b_0011000110_000000,
7125 0b_0001111110_000000,
7126 0b_0000000000_000000,
7127 0b_0000000000_000000,
7128 /* 0x98 */
7129 0b_0000000000_000000,
7130 0b_0001101100_000000,
7131 0b_0000000000_000000,
7132 0b_0011000110_000000,
7133 0b_0011000110_000000,
7134 0b_0011000110_000000,
7135 0b_0001111110_000000,
7136 0b_0000000110_000000,
7137 0b_0001111100_000000,
7138 0b_0000000000_000000,
7139 /* 0x99 */
7140 0b_0000000000_000000,
7141 0b_0001101100_000000,
7142 0b_0000000000_000000,
7143 0b_0001111100_000000,
7144 0b_0011000110_000000,
7145 0b_0011000110_000000,
7146 0b_0011000110_000000,
7147 0b_0001111100_000000,
7148 0b_0000000000_000000,
7149 0b_0000000000_000000,
7150 /* 0x9a */
7151 0b_0000000000_000000,
7152 0b_0001101100_000000,
7153 0b_0000000000_000000,
7154 0b_0011000110_000000,
7155 0b_0011000110_000000,
7156 0b_0011000110_000000,
7157 0b_0011000110_000000,
7158 0b_0001111110_000000,
7159 0b_0000000000_000000,
7160 0b_0000000000_000000,
7161 /* 0x9b */
7162 0b_0000000000_000000,
7163 0b_0000000000_000000,
7164 0b_0000010000_000000,
7165 0b_0001111100_000000,
7166 0b_0011010110_000000,
7167 0b_0011010000_000000,
7168 0b_0011010110_000000,
7169 0b_0001111100_000000,
7170 0b_0000010000_000000,
7171 0b_0000000000_000000,
7172 /* 0x9c */
7173 0b_0000000000_000000,
7174 0b_0000111100_000000,
7175 0b_0001100110_000000,
7176 0b_0001100000_000000,
7177 0b_0011111000_000000,
7178 0b_0001100000_000000,
7179 0b_0001100000_000000,
7180 0b_0011000000_000000,
7181 0b_0011111110_000000,
7182 0b_0000000000_000000,
7183 /* 0x9d */
7184 0b_0000000000_000000,
7185 0b_0110000110_000000,
7186 0b_0110000110_000000,
7187 0b_0011001100_000000,
7188 0b_0001111000_000000,
7189 0b_0011111100_000000,
7190 0b_0000110000_000000,
7191 0b_0011111100_000000,
7192 0b_0000110000_000000,
7193 0b_0000000000_000000,
7194 /* 0x9e */
7195 0b_0000000000_000000,
7196 0b_0111111100_000000,
7197 0b_0110000110_000000,
7198 0b_0110110110_000000,
7199 0b_0110110110_000000,
7200 0b_0111111100_000000,
7201 0b_0110110000_000000,
7202 0b_0110110000_000000,
7203 0b_0110011100_000000,
7204 0b_0000000000_000000,
7205 /* 0x9f */
7206 0b_0000000000_000000,
7207 0b_0000011100_000000,
7208 0b_0000110000_000000,
7209 0b_0000110000_000000,
7210 0b_0001111000_000000,
7211 0b_0000110000_000000,
7212 0b_0000110000_000000,
7213 0b_0000110000_000000,
7214 0b_0011100000_000000,
7215 0b_0000000000_000000,
7216 /* 0xa0 */
7217 0b_0000011000_000000,
7218 0b_0000110000_000000,
7219 0b_0000000000_000000,
7220 0b_0001111100_000000,
7221 0b_0000000110_000000,
7222 0b_0001111110_000000,
7223 0b_0011000110_000000,
7224 0b_0001111110_000000,
7225 0b_0000000000_000000,
7226 0b_0000000000_000000,
7227 /* 0xa1 */
7228 0b_0000110000_000000,
7229 0b_0001100000_000000,
7230 0b_0000000000_000000,
7231 0b_0001110000_000000,
7232 0b_0000110000_000000,
7233 0b_0000110000_000000,
7234 0b_0000110000_000000,
7235 0b_0001111000_000000,
7236 0b_0000000000_000000,
7237 0b_0000000000_000000,
7238 /* 0xa2 */
7239 0b_0000011000_000000,
7240 0b_0000110000_000000,
7241 0b_0000000000_000000,
7242 0b_0001111100_000000,
7243 0b_0011000110_000000,
7244 0b_0011000110_000000,
7245 0b_0011000110_000000,
7246 0b_0001111100_000000,
7247 0b_0000000000_000000,
7248 0b_0000000000_000000,
7249 /* 0xa3 */
7250 0b_0000011000_000000,
7251 0b_0000110000_000000,
7252 0b_0000000000_000000,
7253 0b_0011000110_000000,
7254 0b_0011000110_000000,
7255 0b_0011000110_000000,
7256 0b_0011000110_000000,
7257 0b_0001111110_000000,
7258 0b_0000000000_000000,
7259 0b_0000000000_000000,
7260 /* 0xa4 */
7261 0b_0001110110_000000,
7262 0b_0011011100_000000,
7263 0b_0000000000_000000,
7264 0b_0011111100_000000,
7265 0b_0011000110_000000,
7266 0b_0011000110_000000,
7267 0b_0011000110_000000,
7268 0b_0011000110_000000,
7269 0b_0000000000_000000,
7270 0b_0000000000_000000,
7271 /* 0xa5 */
7272 0b_0001110110_000000,
7273 0b_0011011100_000000,
7274 0b_0000000000_000000,
7275 0b_0011100110_000000,
7276 0b_0011110110_000000,
7277 0b_0011011110_000000,
7278 0b_0011001110_000000,
7279 0b_0011000110_000000,
7280 0b_0000000000_000000,
7281 0b_0000000000_000000,
7282 /* 0xa6 */
7283 0b_0000000000_000000,
7284 0b_0001111000_000000,
7285 0b_0000001100_000000,
7286 0b_0001111100_000000,
7287 0b_0011001100_000000,
7288 0b_0001111100_000000,
7289 0b_0000000000_000000,
7290 0b_0000000000_000000,
7291 0b_0000000000_000000,
7292 0b_0000000000_000000,
7293 /* 0xa7 */
7294 0b_0000000000_000000,
7295 0b_0001111000_000000,
7296 0b_0011001100_000000,
7297 0b_0011001100_000000,
7298 0b_0011001100_000000,
7299 0b_0001111000_000000,
7300 0b_0000000000_000000,
7301 0b_0000000000_000000,
7302 0b_0000000000_000000,
7303 0b_0000000000_000000,
7304 /* 0xa8 */
7305 0b_0000000000_000000,
7306 0b_0000110000_000000,
7307 0b_0000000000_000000,
7308 0b_0000110000_000000,
7309 0b_0001100000_000000,
7310 0b_0011000000_000000,
7311 0b_0011000000_000000,
7312 0b_0011001100_000000,
7313 0b_0001111000_000000,
7314 0b_0000000000_000000,
7315 /* 0xa9 */
7316 0b_0000000000_000000,
7317 0b_0000000000_000000,
7318 0b_0000000000_000000,
7319 0b_0000000000_000000,
7320 0b_0011111110_000000,
7321 0b_0011000000_000000,
7322 0b_0011000000_000000,
7323 0b_0011000000_000000,
7324 0b_0000000000_000000,
7325 0b_0000000000_000000,
7326 /* 0xaa */
7327 0b_0000000000_000000,
7328 0b_0000000000_000000,
7329 0b_0000000000_000000,
7330 0b_0000000000_000000,
7331 0b_0011111110_000000,
7332 0b_0000000110_000000,
7333 0b_0000000110_000000,
7334 0b_0000000110_000000,
7335 0b_0000000000_000000,
7336 0b_0000000000_000000,
7337 /* 0xab */
7338 0b_0000000000_000000,
7339 0b_0010000010_000000,
7340 0b_0010000100_000000,
7341 0b_0010001000_000000,
7342 0b_0010010000_000000,
7343 0b_0000101100_000000,
7344 0b_0001000110_000000,
7345 0b_0010001100_000000,
7346 0b_0100001110_000000,
7347 0b_0000000000_000000,
7348 /* 0xac */
7349 0b_0000000000_000000,
7350 0b_0010000010_000000,
7351 0b_0010000100_000000,
7352 0b_0010001000_000000,
7353 0b_0010010000_000000,
7354 0b_0000101010_000000,
7355 0b_0001001010_000000,
7356 0b_0010001110_000000,
7357 0b_0100000010_000000,
7358 0b_0000000000_000000,
7359 /* 0xad */
7360 0b_0000000000_000000,
7361 0b_0000110000_000000,
7362 0b_0000000000_000000,
7363 0b_0000110000_000000,
7364 0b_0000110000_000000,
7365 0b_0001111000_000000,
7366 0b_0001111000_000000,
7367 0b_0000110000_000000,
7368 0b_0000000000_000000,
7369 0b_0000000000_000000,
7370 /* 0xae */
7371 0b_0000000000_000000,
7372 0b_0000000000_000000,
7373 0b_0001100110_000000,
7374 0b_0011001100_000000,
7375 0b_0110011000_000000,
7376 0b_0011001100_000000,
7377 0b_0001100110_000000,
7378 0b_0000000000_000000,
7379 0b_0000000000_000000,
7380 0b_0000000000_000000,
7381 /* 0xaf */
7382 0b_0000000000_000000,
7383 0b_0000000000_000000,
7384 0b_0110011000_000000,
7385 0b_0011001100_000000,
7386 0b_0001100110_000000,
7387 0b_0011001100_000000,
7388 0b_0110011000_000000,
7389 0b_0000000000_000000,
7390 0b_0000000000_000000,
7391 0b_0000000000_000000,
7392 /* 0xb0 */
7393 0b_0010001000_000000,
7394 0b_1000100010_000000,
7395 0b_0010001000_000000,
7396 0b_1000100010_000000,
7397 0b_0010001000_000000,
7398 0b_1000100010_000000,
7399 0b_0010001000_000000,
7400 0b_1000100010_000000,
7401 0b_0010001000_000000,
7402 0b_1000100010_000000,
7403 /* 0xb1 */
7404 0b_0101010101_000000,
7405 0b_1010101010_000000,
7406 0b_0101010101_000000,
7407 0b_1010101010_000000,
7408 0b_0101010101_000000,
7409 0b_1010101010_000000,
7410 0b_0101010101_000000,
7411 0b_1010101010_000000,
7412 0b_0101010101_000000,
7413 0b_1010101010_000000,
7414 /* 0xb2 */
7415 0b_1011101110_000000,
7416 0b_1110111011_000000,
7417 0b_1011101110_000000,
7418 0b_1110111011_000000,
7419 0b_1011101110_000000,
7420 0b_1110111011_000000,
7421 0b_1011101110_000000,
7422 0b_1110111011_000000,
7423 0b_1011101110_000000,
7424 0b_1110111011_000000,
7425 /* 0xb3 */
7426 0b_0000110000_000000,
7427 0b_0000110000_000000,
7428 0b_0000110000_000000,
7429 0b_0000110000_000000,
7430 0b_0000110000_000000,
7431 0b_0000110000_000000,
7432 0b_0000110000_000000,
7433 0b_0000110000_000000,
7434 0b_0000110000_000000,
7435 0b_0000110000_000000,
7436 /* 0xb4 */
7437 0b_0000110000_000000,
7438 0b_0000110000_000000,
7439 0b_0000110000_000000,
7440 0b_0000110000_000000,
7441 0b_1111110000_000000,
7442 0b_1111110000_000000,
7443 0b_0000110000_000000,
7444 0b_0000110000_000000,
7445 0b_0000110000_000000,
7446 0b_0000110000_000000,
7447 /* 0xb5 */
7448 0b_0000110000_000000,
7449 0b_0000110000_000000,
7450 0b_1111110000_000000,
7451 0b_1111110000_000000,
7452 0b_0000110000_000000,
7453 0b_0000110000_000000,
7454 0b_1111110000_000000,
7455 0b_1111110000_000000,
7456 0b_0000110000_000000,
7457 0b_0000110000_000000,
7458 /* 0xb6 */
7459 0b_0011001100_000000,
7460 0b_0011001100_000000,
7461 0b_0011001100_000000,
7462 0b_0011001100_000000,
7463 0b_1111001100_000000,
7464 0b_1111001100_000000,
7465 0b_0011001100_000000,
7466 0b_0011001100_000000,
7467 0b_0011001100_000000,
7468 0b_0011001100_000000,
7469 /* 0xb7 */
7470 0b_0000000000_000000,
7471 0b_0000000000_000000,
7472 0b_0000000000_000000,
7473 0b_0000000000_000000,
7474 0b_1111111100_000000,
7475 0b_1111111100_000000,
7476 0b_0011001100_000000,
7477 0b_0011001100_000000,
7478 0b_0011001100_000000,
7479 0b_0011001100_000000,
7480 /* 0xb8 */
7481 0b_0000000000_000000,
7482 0b_0000000000_000000,
7483 0b_1111110000_000000,
7484 0b_1111110000_000000,
7485 0b_0000110000_000000,
7486 0b_0000110000_000000,
7487 0b_1111110000_000000,
7488 0b_1111110000_000000,
7489 0b_0000110000_000000,
7490 0b_0000110000_000000,
7491 /* 0xb9 */
7492 0b_0011001100_000000,
7493 0b_0011001100_000000,
7494 0b_1111001100_000000,
7495 0b_1111001100_000000,
7496 0b_0000001100_000000,
7497 0b_0000001100_000000,
7498 0b_1111001100_000000,
7499 0b_1111001100_000000,
7500 0b_0011001100_000000,
7501 0b_0011001100_000000,
7502 /* 0xba */
7503 0b_0011001100_000000,
7504 0b_0011001100_000000,
7505 0b_0011001100_000000,
7506 0b_0011001100_000000,
7507 0b_0011001100_000000,
7508 0b_0011001100_000000,
7509 0b_0011001100_000000,
7510 0b_0011001100_000000,
7511 0b_0011001100_000000,
7512 0b_0011001100_000000,
7513 /* 0xbb */
7514 0b_0000000000_000000,
7515 0b_0000000000_000000,
7516 0b_1111111100_000000,
7517 0b_1111111100_000000,
7518 0b_0000001100_000000,
7519 0b_0000001100_000000,
7520 0b_1111001100_000000,
7521 0b_1111001100_000000,
7522 0b_0011001100_000000,
7523 0b_0011001100_000000,
7524 /* 0xbc */
7525 0b_0011001100_000000,
7526 0b_0011001100_000000,
7527 0b_1111001100_000000,
7528 0b_1111001100_000000,
7529 0b_0000001100_000000,
7530 0b_0000001100_000000,
7531 0b_1111111100_000000,
7532 0b_1111111100_000000,
7533 0b_0000000000_000000,
7534 0b_0000000000_000000,
7535 /* 0xbd */
7536 0b_0011001100_000000,
7537 0b_0011001100_000000,
7538 0b_0011001100_000000,
7539 0b_0011001100_000000,
7540 0b_1111111100_000000,
7541 0b_1111111100_000000,
7542 0b_0000000000_000000,
7543 0b_0000000000_000000,
7544 0b_0000000000_000000,
7545 0b_0000000000_000000,
7546 /* 0xbe */
7547 0b_0001100000_000000,
7548 0b_0001100000_000000,
7549 0b_1111100000_000000,
7550 0b_1111100000_000000,
7551 0b_0001100000_000000,
7552 0b_0001100000_000000,
7553 0b_1111100000_000000,
7554 0b_1111100000_000000,
7555 0b_0000000000_000000,
7556 0b_0000000000_000000,
7557 /* 0xbf */
7558 0b_0000000000_000000,
7559 0b_0000000000_000000,
7560 0b_0000000000_000000,
7561 0b_0000000000_000000,
7562 0b_1111110000_000000,
7563 0b_1111110000_000000,
7564 0b_0000110000_000000,
7565 0b_0000110000_000000,
7566 0b_0000110000_000000,
7567 0b_0000110000_000000,
7568 /* 0xc0 */
7569 0b_0000110000_000000,
7570 0b_0000110000_000000,
7571 0b_0000110000_000000,
7572 0b_0000110000_000000,
7573 0b_0000111111_000000,
7574 0b_0000111111_000000,
7575 0b_0000000000_000000,
7576 0b_0000000000_000000,
7577 0b_0000000000_000000,
7578 0b_0000000000_000000,
7579 /* 0xc1 */
7580 0b_0000110000_000000,
7581 0b_0000110000_000000,
7582 0b_0000110000_000000,
7583 0b_0000110000_000000,
7584 0b_1111111111_000000,
7585 0b_1111111111_000000,
7586 0b_0000000000_000000,
7587 0b_0000000000_000000,
7588 0b_0000000000_000000,
7589 0b_0000000000_000000,
7590 /* 0xc2 */
7591 0b_0000000000_000000,
7592 0b_0000000000_000000,
7593 0b_0000000000_000000,
7594 0b_0000000000_000000,
7595 0b_1111111111_000000,
7596 0b_1111111111_000000,
7597 0b_0000110000_000000,
7598 0b_0000110000_000000,
7599 0b_0000110000_000000,
7600 0b_0000110000_000000,
7601 /* 0xc3 */
7602 0b_0000110000_000000,
7603 0b_0000110000_000000,
7604 0b_0000110000_000000,
7605 0b_0000110000_000000,
7606 0b_0000111111_000000,
7607 0b_0000111111_000000,
7608 0b_0000110000_000000,
7609 0b_0000110000_000000,
7610 0b_0000110000_000000,
7611 0b_0000110000_000000,
7612 /* 0xc4 */
7613 0b_0000000000_000000,
7614 0b_0000000000_000000,
7615 0b_0000000000_000000,
7616 0b_0000000000_000000,
7617 0b_1111111111_000000,
7618 0b_1111111111_000000,
7619 0b_0000000000_000000,
7620 0b_0000000000_000000,
7621 0b_0000000000_000000,
7622 0b_0000000000_000000,
7623 /* 0xc5 */
7624 0b_0000110000_000000,
7625 0b_0000110000_000000,
7626 0b_0000110000_000000,
7627 0b_0000110000_000000,
7628 0b_1111111111_000000,
7629 0b_1111111111_000000,
7630 0b_0000110000_000000,
7631 0b_0000110000_000000,
7632 0b_0000110000_000000,
7633 0b_0000110000_000000,
7634 /* 0xc6 */
7635 0b_0000110000_000000,
7636 0b_0000110000_000000,
7637 0b_0000111111_000000,
7638 0b_0000111111_000000,
7639 0b_0000110000_000000,
7640 0b_0000110000_000000,
7641 0b_0000111111_000000,
7642 0b_0000111111_000000,
7643 0b_0000110000_000000,
7644 0b_0000110000_000000,
7645 /* 0xc7 */
7646 0b_0011001100_000000,
7647 0b_0011001100_000000,
7648 0b_0011001100_000000,
7649 0b_0011001100_000000,
7650 0b_0011001111_000000,
7651 0b_0011001111_000000,
7652 0b_0011001100_000000,
7653 0b_0011001100_000000,
7654 0b_0011001100_000000,
7655 0b_0011001100_000000,
7656 /* 0xc8 */
7657 0b_0011001100_000000,
7658 0b_0011001100_000000,
7659 0b_0011001111_000000,
7660 0b_0011001111_000000,
7661 0b_0011000000_000000,
7662 0b_0011000000_000000,
7663 0b_0011111111_000000,
7664 0b_0011111111_000000,
7665 0b_0000000000_000000,
7666 0b_0000000000_000000,
7667 /* 0xc9 */
7668 0b_0000000000_000000,
7669 0b_0000000000_000000,
7670 0b_0011111111_000000,
7671 0b_0011111111_000000,
7672 0b_0011000000_000000,
7673 0b_0011000000_000000,
7674 0b_0011001111_000000,
7675 0b_0011001111_000000,
7676 0b_0011001100_000000,
7677 0b_0011001100_000000,
7678 /* 0xca */
7679 0b_0011001100_000000,
7680 0b_0011001100_000000,
7681 0b_1111001111_000000,
7682 0b_1111001111_000000,
7683 0b_0000000000_000000,
7684 0b_0000000000_000000,
7685 0b_1111111111_000000,
7686 0b_1111111111_000000,
7687 0b_0000000000_000000,
7688 0b_0000000000_000000,
7689 /* 0xcb */
7690 0b_0000000000_000000,
7691 0b_0000000000_000000,
7692 0b_1111111111_000000,
7693 0b_1111111111_000000,
7694 0b_0000000000_000000,
7695 0b_0000000000_000000,
7696 0b_1111001111_000000,
7697 0b_1111001111_000000,
7698 0b_0011001100_000000,
7699 0b_0011001100_000000,
7700 /* 0xcc */
7701 0b_0011001100_000000,
7702 0b_0011001100_000000,
7703 0b_0011001111_000000,
7704 0b_0011001111_000000,
7705 0b_0011000000_000000,
7706 0b_0011000000_000000,
7707 0b_0011001111_000000,
7708 0b_0011001111_000000,
7709 0b_0011001100_000000,
7710 0b_0011001100_000000,
7711 /* 0xcd */
7712 0b_0000000000_000000,
7713 0b_0000000000_000000,
7714 0b_1111111111_000000,
7715 0b_1111111111_000000,
7716 0b_0000000000_000000,
7717 0b_0000000000_000000,
7718 0b_1111111111_000000,
7719 0b_1111111111_000000,
7720 0b_0000000000_000000,
7721 0b_0000000000_000000,
7722 /* 0xce */
7723 0b_0011001100_000000,
7724 0b_0011001100_000000,
7725 0b_1111001111_000000,
7726 0b_1111001111_000000,
7727 0b_0000000000_000000,
7728 0b_0000000000_000000,
7729 0b_1111001111_000000,
7730 0b_1111001111_000000,
7731 0b_0011001100_000000,
7732 0b_0011001100_000000,
7733 /* 0xcf */
7734 0b_0000110000_000000,
7735 0b_0000110000_000000,
7736 0b_1111111111_000000,
7737 0b_1111111111_000000,
7738 0b_0000000000_000000,
7739 0b_0000000000_000000,
7740 0b_1111111111_000000,
7741 0b_1111111111_000000,
7742 0b_0000000000_000000,
7743 0b_0000000000_000000,
7744 /* 0xd0 */
7745 0b_0011001100_000000,
7746 0b_0011001100_000000,
7747 0b_0011001100_000000,
7748 0b_0011001100_000000,
7749 0b_1111111111_000000,
7750 0b_1111111111_000000,
7751 0b_0000000000_000000,
7752 0b_0000000000_000000,
7753 0b_0000000000_000000,
7754 0b_0000000000_000000,
7755 /* 0xd1 */
7756 0b_0000000000_000000,
7757 0b_0000000000_000000,
7758 0b_1111111111_000000,
7759 0b_1111111111_000000,
7760 0b_0000000000_000000,
7761 0b_0000000000_000000,
7762 0b_1111111111_000000,
7763 0b_1111111111_000000,
7764 0b_0000110000_000000,
7765 0b_0000110000_000000,
7766 /* 0xd2 */
7767 0b_0000000000_000000,
7768 0b_0000000000_000000,
7769 0b_0000000000_000000,
7770 0b_0000000000_000000,
7771 0b_1111111111_000000,
7772 0b_1111111111_000000,
7773 0b_0011001100_000000,
7774 0b_0011001100_000000,
7775 0b_0011001100_000000,
7776 0b_0011001100_000000,
7777 /* 0xd3 */
7778 0b_0011001100_000000,
7779 0b_0011001100_000000,
7780 0b_0011001100_000000,
7781 0b_0011001100_000000,
7782 0b_0011111111_000000,
7783 0b_0011111111_000000,
7784 0b_0000000000_000000,
7785 0b_0000000000_000000,
7786 0b_0000000000_000000,
7787 0b_0000000000_000000,
7788 /* 0xd4 */
7789 0b_0000110000_000000,
7790 0b_0000110000_000000,
7791 0b_0000111111_000000,
7792 0b_0000111111_000000,
7793 0b_0000110000_000000,
7794 0b_0000110000_000000,
7795 0b_0000111111_000000,
7796 0b_0000111111_000000,
7797 0b_0000000000_000000,
7798 0b_0000000000_000000,
7799 /* 0xd5 */
7800 0b_0000000000_000000,
7801 0b_0000000000_000000,
7802 0b_0000111111_000000,
7803 0b_0000111111_000000,
7804 0b_0000110000_000000,
7805 0b_0000110000_000000,
7806 0b_0000111111_000000,
7807 0b_0000111111_000000,
7808 0b_0000110000_000000,
7809 0b_0000110000_000000,
7810 /* 0xd6 */
7811 0b_0000000000_000000,
7812 0b_0000000000_000000,
7813 0b_0000000000_000000,
7814 0b_0000000000_000000,
7815 0b_0011111111_000000,
7816 0b_0011111111_000000,
7817 0b_0011001100_000000,
7818 0b_0011001100_000000,
7819 0b_0011001100_000000,
7820 0b_0011001100_000000,
7821 /* 0xd7 */
7822 0b_0011001100_000000,
7823 0b_0011001100_000000,
7824 0b_0011001100_000000,
7825 0b_0011001100_000000,
7826 0b_1111001111_000000,
7827 0b_1111001111_000000,
7828 0b_0011001100_000000,
7829 0b_0011001100_000000,
7830 0b_0011001100_000000,
7831 0b_0011001100_000000,
7832 /* 0xd8 */
7833 0b_0000110000_000000,
7834 0b_0000110000_000000,
7835 0b_1111111111_000000,
7836 0b_1111111111_000000,
7837 0b_0000000000_000000,
7838 0b_0000000000_000000,
7839 0b_1111111111_000000,
7840 0b_1111111111_000000,
7841 0b_0000110000_000000,
7842 0b_0000110000_000000,
7843 /* 0xd9 */
7844 0b_0000110000_000000,
7845 0b_0000110000_000000,
7846 0b_0000110000_000000,
7847 0b_0000110000_000000,
7848 0b_1111110000_000000,
7849 0b_1111110000_000000,
7850 0b_0000000000_000000,
7851 0b_0000000000_000000,
7852 0b_0000000000_000000,
7853 0b_0000000000_000000,
7854 /* 0xda */
7855 0b_0000000000_000000,
7856 0b_0000000000_000000,
7857 0b_0000000000_000000,
7858 0b_0000000000_000000,
7859 0b_0000111111_000000,
7860 0b_0000111111_000000,
7861 0b_0000110000_000000,
7862 0b_0000110000_000000,
7863 0b_0000110000_000000,
7864 0b_0000110000_000000,
7865 /* 0xdb */
7866 0b_1111111111_000000,
7867 0b_1111111111_000000,
7868 0b_1111111111_000000,
7869 0b_1111111111_000000,
7870 0b_1111111111_000000,
7871 0b_1111111111_000000,
7872 0b_1111111111_000000,
7873 0b_1111111111_000000,
7874 0b_1111111111_000000,
7875 0b_1111111111_000000,
7876 /* 0xdc */
7877 0b_0000000000_000000,
7878 0b_0000000000_000000,
7879 0b_0000000000_000000,
7880 0b_0000000000_000000,
7881 0b_0000000000_000000,
7882 0b_1111111111_000000,
7883 0b_1111111111_000000,
7884 0b_1111111111_000000,
7885 0b_1111111111_000000,
7886 0b_1111111111_000000,
7887 /* 0xdd */
7888 0b_1111100000_000000,
7889 0b_1111100000_000000,
7890 0b_1111100000_000000,
7891 0b_1111100000_000000,
7892 0b_1111100000_000000,
7893 0b_1111100000_000000,
7894 0b_1111100000_000000,
7895 0b_1111100000_000000,
7896 0b_1111100000_000000,
7897 0b_1111100000_000000,
7898 /* 0xde */
7899 0b_0000011111_000000,
7900 0b_0000011111_000000,
7901 0b_0000011111_000000,
7902 0b_0000011111_000000,
7903 0b_0000011111_000000,
7904 0b_0000011111_000000,
7905 0b_0000011111_000000,
7906 0b_0000011111_000000,
7907 0b_0000011111_000000,
7908 0b_0000011111_000000,
7909 /* 0xdf */
7910 0b_1111111111_000000,
7911 0b_1111111111_000000,
7912 0b_1111111111_000000,
7913 0b_1111111111_000000,
7914 0b_1111111111_000000,
7915 0b_0000000000_000000,
7916 0b_0000000000_000000,
7917 0b_0000000000_000000,
7918 0b_0000000000_000000,
7919 0b_0000000000_000000,
7920 /* 0xe0 */
7921 0b_0000000000_000000,
7922 0b_0000000000_000000,
7923 0b_0000000000_000000,
7924 0b_0001110110_000000,
7925 0b_0011011100_000000,
7926 0b_0011001000_000000,
7927 0b_0011011100_000000,
7928 0b_0001110110_000000,
7929 0b_0000000000_000000,
7930 0b_0000000000_000000,
7931 /* 0xe1 */
7932 0b_0000000000_000000,
7933 0b_0001111000_000000,
7934 0b_0011001100_000000,
7935 0b_0011001100_000000,
7936 0b_0011011000_000000,
7937 0b_0011001100_000000,
7938 0b_0011000110_000000,
7939 0b_0011011100_000000,
7940 0b_0011000000_000000,
7941 0b_0000000000_000000,
7942 /* 0xe2 */
7943 0b_0000000000_000000,
7944 0b_0011111110_000000,
7945 0b_0011000110_000000,
7946 0b_0011000000_000000,
7947 0b_0011000000_000000,
7948 0b_0011000000_000000,
7949 0b_0011000000_000000,
7950 0b_0011000000_000000,
7951 0b_0000000000_000000,
7952 0b_0000000000_000000,
7953 /* 0xe3 */
7954 0b_0000000000_000000,
7955 0b_0000000000_000000,
7956 0b_0111111110_000000,
7957 0b_0011001100_000000,
7958 0b_0011001100_000000,
7959 0b_0011001100_000000,
7960 0b_0011001100_000000,
7961 0b_0011001100_000000,
7962 0b_0000000000_000000,
7963 0b_0000000000_000000,
7964 /* 0xe4 */
7965 0b_0000000000_000000,
7966 0b_0011111110_000000,
7967 0b_0001100000_000000,
7968 0b_0000110000_000000,
7969 0b_0000011000_000000,
7970 0b_0000110000_000000,
7971 0b_0001100000_000000,
7972 0b_0011111110_000000,
7973 0b_0000000000_000000,
7974 0b_0000000000_000000,
7975 /* 0xe5 */
7976 0b_0000000000_000000,
7977 0b_0000000000_000000,
7978 0b_0000000000_000000,
7979 0b_0001111110_000000,
7980 0b_0011011000_000000,
7981 0b_0011001100_000000,
7982 0b_0011001100_000000,
7983 0b_0001111000_000000,
7984 0b_0000000000_000000,
7985 0b_0000000000_000000,
7986 /* 0xe6 */
7987 0b_0000000000_000000,
7988 0b_0000000000_000000,
7989 0b_0000000000_000000,
7990 0b_0110001100_000000,
7991 0b_0110001100_000000,
7992 0b_0110011100_000000,
7993 0b_0111110110_000000,
7994 0b_0110000000_000000,
7995 0b_0110000000_000000,
7996 0b_0000000000_000000,
7997 /* 0xe7 */
7998 0b_0000000000_000000,
7999 0b_0000000000_000000,
8000 0b_0000000000_000000,
8001 0b_0011111100_000000,
8002 0b_0000110000_000000,
8003 0b_0000110000_000000,
8004 0b_0000110000_000000,
8005 0b_0000011000_000000,
8006 0b_0000000000_000000,
8007 0b_0000000000_000000,
8008 /* 0xe8 */
8009 0b_0000000000_000000,
8010 0b_0001111000_000000,
8011 0b_0000110000_000000,
8012 0b_0011111100_000000,
8013 0b_0110110110_000000,
8014 0b_0110110110_000000,
8015 0b_0011111100_000000,
8016 0b_0000110000_000000,
8017 0b_0001111000_000000,
8018 0b_0000000000_000000,
8019 /* 0xe9 */
8020 0b_0000000000_000000,
8021 0b_0001111000_000000,
8022 0b_0011001100_000000,
8023 0b_0011001100_000000,
8024 0b_0011111100_000000,
8025 0b_0011001100_000000,
8026 0b_0011001100_000000,
8027 0b_0001111000_000000,
8028 0b_0000000000_000000,
8029 0b_0000000000_000000,
8030 /* 0xea */
8031 0b_0000000000_000000,
8032 0b_0001111100_000000,
8033 0b_0011000110_000000,
8034 0b_0011000110_000000,
8035 0b_0011000110_000000,
8036 0b_0011000110_000000,
8037 0b_0001101100_000000,
8038 0b_0011101110_000000,
8039 0b_0000000000_000000,
8040 0b_0000000000_000000,
8041 /* 0xeb */
8042 0b_0000000000_000000,
8043 0b_0001111100_000000,
8044 0b_0000110000_000000,
8045 0b_0000011000_000000,
8046 0b_0001111100_000000,
8047 0b_0011000110_000000,
8048 0b_0011000110_000000,
8049 0b_0001111100_000000,
8050 0b_0000000000_000000,
8051 0b_0000000000_000000,
8052 /* 0xec */
8053 0b_0000000000_000000,
8054 0b_0000000000_000000,
8055 0b_0000000000_000000,
8056 0b_0011101110_000000,
8057 0b_0110011011_000000,
8058 0b_0110010011_000000,
8059 0b_0110110011_000000,
8060 0b_0011101110_000000,
8061 0b_0000000000_000000,
8062 0b_0000000000_000000,
8063 /* 0xed */
8064 0b_0000000000_000000,
8065 0b_0000000000_000000,
8066 0b_0000000110_000000,
8067 0b_0011111100_000000,
8068 0b_0110011110_000000,
8069 0b_0110110110_000000,
8070 0b_0111100110_000000,
8071 0b_0011111100_000000,
8072 0b_0110000000_000000,
8073 0b_0000000000_000000,
8074 /* 0xee */
8075 0b_0000000000_000000,
8076 0b_0000000000_000000,
8077 0b_0000000000_000000,
8078 0b_0001111100_000000,
8079 0b_0011000000_000000,
8080 0b_0001111000_000000,
8081 0b_0011000000_000000,
8082 0b_0001111100_000000,
8083 0b_0000000000_000000,
8084 0b_0000000000_000000,
8085 /* 0xef */
8086 0b_0000000000_000000,
8087 0b_0001111100_000000,
8088 0b_0011000110_000000,
8089 0b_0011000110_000000,
8090 0b_0011000110_000000,
8091 0b_0011000110_000000,
8092 0b_0011000110_000000,
8093 0b_0011000110_000000,
8094 0b_0000000000_000000,
8095 0b_0000000000_000000,
8096 /* 0xf0 */
8097 0b_0000000000_000000,
8098 0b_0000000000_000000,
8099 0b_0011111100_000000,
8100 0b_0000000000_000000,
8101 0b_0011111100_000000,
8102 0b_0000000000_000000,
8103 0b_0011111100_000000,
8104 0b_0000000000_000000,
8105 0b_0000000000_000000,
8106 0b_0000000000_000000,
8107 /* 0xf1 */
8108 0b_0000000000_000000,
8109 0b_0000110000_000000,
8110 0b_0000110000_000000,
8111 0b_0011111100_000000,
8112 0b_0000110000_000000,
8113 0b_0000110000_000000,
8114 0b_0000000000_000000,
8115 0b_0011111100_000000,
8116 0b_0000000000_000000,
8117 0b_0000000000_000000,
8118 /* 0xf2 */
8119 0b_0000000000_000000,
8120 0b_0000011000_000000,
8121 0b_0000110000_000000,
8122 0b_0001100000_000000,
8123 0b_0000110000_000000,
8124 0b_0000011000_000000,
8125 0b_0000000000_000000,
8126 0b_0011111100_000000,
8127 0b_0000000000_000000,
8128 0b_0000000000_000000,
8129 /* 0xf3 */
8130 0b_0000000000_000000,
8131 0b_0001100000_000000,
8132 0b_0000110000_000000,
8133 0b_0000011000_000000,
8134 0b_0000110000_000000,
8135 0b_0001100000_000000,
8136 0b_0000000000_000000,
8137 0b_0011111100_000000,
8138 0b_0000000000_000000,
8139 0b_0000000000_000000,
8140 /* 0xf4 */
8141 0b_0000000000_000000,
8142 0b_0000011100_000000,
8143 0b_0000110110_000000,
8144 0b_0000110110_000000,
8145 0b_0000110000_000000,
8146 0b_0000110000_000000,
8147 0b_0000110000_000000,
8148 0b_0000110000_000000,
8149 0b_0000110000_000000,
8150 0b_0000110000_000000,
8151 /* 0xf5 */
8152 0b_0000110000_000000,
8153 0b_0000110000_000000,
8154 0b_0000110000_000000,
8155 0b_0000110000_000000,
8156 0b_0000110000_000000,
8157 0b_0000110000_000000,
8158 0b_0110110000_000000,
8159 0b_0110110000_000000,
8160 0b_0011100000_000000,
8161 0b_0000000000_000000,
8162 /* 0xf6 */
8163 0b_0000000000_000000,
8164 0b_0000000000_000000,
8165 0b_0000110000_000000,
8166 0b_0000000000_000000,
8167 0b_0011111100_000000,
8168 0b_0000000000_000000,
8169 0b_0000110000_000000,
8170 0b_0000000000_000000,
8171 0b_0000000000_000000,
8172 0b_0000000000_000000,
8173 /* 0xf7 */
8174 0b_0000000000_000000,
8175 0b_0011100000_000000,
8176 0b_0110110110_000000,
8177 0b_0000011100_000000,
8178 0b_0000000000_000000,
8179 0b_0011100000_000000,
8180 0b_0110110110_000000,
8181 0b_0000011100_000000,
8182 0b_0000000000_000000,
8183 0b_0000000000_000000,
8184 /* 0xf8 */
8185 0b_0000000000_000000,
8186 0b_0000111000_000000,
8187 0b_0001101100_000000,
8188 0b_0001101100_000000,
8189 0b_0000111000_000000,
8190 0b_0000000000_000000,
8191 0b_0000000000_000000,
8192 0b_0000000000_000000,
8193 0b_0000000000_000000,
8194 0b_0000000000_000000,
8195 /* 0xf9 */
8196 0b_0000000000_000000,
8197 0b_0000000000_000000,
8198 0b_0000000000_000000,
8199 0b_0000110000_000000,
8200 0b_0000110000_000000,
8201 0b_0000000000_000000,
8202 0b_0000000000_000000,
8203 0b_0000000000_000000,
8204 0b_0000000000_000000,
8205 0b_0000000000_000000,
8206 /* 0xfa */
8207 0b_0000000000_000000,
8208 0b_0000000000_000000,
8209 0b_0000000000_000000,
8210 0b_0000000000_000000,
8211 0b_0000110000_000000,
8212 0b_0000000000_000000,
8213 0b_0000000000_000000,
8214 0b_0000000000_000000,
8215 0b_0000000000_000000,
8216 0b_0000000000_000000,
8217 /* 0xfb */
8218 0b_0000000000_000000,
8219 0b_0000011111_000000,
8220 0b_0000011000_000000,
8221 0b_0000011000_000000,
8222 0b_0110011000_000000,
8223 0b_0011011000_000000,
8224 0b_0001111000_000000,
8225 0b_0000111000_000000,
8226 0b_0000011000_000000,
8227 0b_0000001000_000000,
8228 /* 0xfc */
8229 0b_0000000000_000000,
8230 0b_0011111000_000000,
8231 0b_0011001100_000000,
8232 0b_0011001100_000000,
8233 0b_0011001100_000000,
8234 0b_0011001100_000000,
8235 0b_0000000000_000000,
8236 0b_0000000000_000000,
8237 0b_0000000000_000000,
8238 0b_0000000000_000000,
8239 /* 0xfd */
8240 0b_0000000000_000000,
8241 0b_0001111000_000000,
8242 0b_0000001100_000000,
8243 0b_0000111000_000000,
8244 0b_0001100000_000000,
8245 0b_0001111100_000000,
8246 0b_0000000000_000000,
8247 0b_0000000000_000000,
8248 0b_0000000000_000000,
8249 0b_0000000000_000000,
8250 /* 0xfe */
8251 0b_0000000000_000000,
8252 0b_0000000000_000000,
8253 0b_0000000000_000000,
8254 0b_0001111000_000000,
8255 0b_0001111000_000000,
8256 0b_0001111000_000000,
8257 0b_0001111000_000000,
8258 0b_0000000000_000000,
8259 0b_0000000000_000000,
8260 0b_0000000000_000000,
8261 /* 0xff */
8262 0b_0000000000_000000,
8263 0b_0000000000_000000,
8264 0b_0000000000_000000,
8265 0b_0000000000_000000,
8266 0b_0000000000_000000,
8267 0b_0000000000_000000,
8268 0b_0000000000_000000,
8269 0b_0000000000_000000,
8270 0b_0000000000_000000,
8271 0b_0000000000_000000,