Update for new Scintilla styles
[geany-mirror.git] / data / filetypes.vhdl
blob490c9146bb9bcfbab0095364e3417950000badc0
1 # For complete documentation of this file, please see Geany's main documentation
2 [styling]
3 # Edit these in the colorscheme .conf file instead
4 default=default
5 comment=comment
6 comment_line_bang=comment_line
7 block_comment=comment
8 number=number_1
9 string=string_1
10 operator=operator
11 identifier=identifier_1
12 stringeol=string_eol
13 keyword=keyword_1
14 stdoperator=operator
15 attribute=attribute
16 stdfunction=function
17 stdpackage=preprocessor
18 stdtype=type
19 userword=keyword_2
21 [keywords]
22 # all items must be in one line
23 keywords=access after alias all architecture array assert attribute begin block body buffer bus case component configuration constant disconnect downto else elsif end entity exit file for function generate generic group guarded if impure in inertial inout is label library linkage literal loop map new next null of on open others out package port postponed procedure process pure range record register reject report return select severity shared signal subtype then to transport type unaffected units until use variable wait when while with
24 operators=abs and mod nand nor not or rem rol ror sla sll sra srl xnor xor
25 attributes=left right low high ascending image value pos val succ pred leftof rightof base range reverse_range length delayed stable quiet transaction event active last_event last_active last_value driving driving_value simple_name path_name instance_name
26 std_functions=now readline read writeline write endfile resolved to_bit to_bitvector to_stdulogic to_stdlogicvector to_stdulogicvector to_x01 to_x01z to_UX01 rising_edge falling_edge is_x shift_left shift_right rotate_left rotate_right resize to_integer to_unsigned to_signed std_match to_01
27 std_packages=std ieee work standard textio std_logic_1164 std_logic_arith std_logic_misc std_logic_signed std_logic_textio std_logic_unsigned numeric_bit numeric_std math_complex math_real vital_primitives vital_timing
28 std_types=boolean bit character severity_level integer real time delay_length natural positive string bit_vector file_open_kind file_open_status line text side width std_ulogic std_ulogic_vector std_logic std_logic_vector X01 X01Z UX01 UX01Z unsigned signed
29 userwords=
31 [settings]
32 # default extension used when saving files
33 extension=vhd
35 # MIME type
36 mime_type=text/x-vhdl
38 # the following characters are these which a "word" can contains, see documentation
39 #wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789
41 # single comments, like # in this file
42 comment_single=--
43 # multiline comments
44 #comment_open=
45 #comment_close=
47 # set to false if a comment character/string should start at column 0 of a line, true uses any
48 # indentation of the line, e.g. setting to true causes the following on pressing CTRL+d
49         #command_example();
50 # setting to false would generate this
51 #       command_example();
52 # This setting works only for single line comments
53 comment_use_indent=true
55 # context action command (please see Geany's main documentation for details)
56 context_action_cmd=
58 [indentation]
59 #width=4
60 # 0 is spaces, 1 is tabs, 2 is tab & spaces
61 #type=1