Update for new Scintilla styles
[geany-mirror.git] / data / filetypes.sql
blobe332df624c4415f8f9ca218dc50dffa98b547d88
1 # For complete documentation of this file, please see Geany's main documentation
2 [styling]
3 # Edit these in the colorscheme .conf file instead
4 default=default
5 comment=comment
6 commentline=comment_line
7 commentlinedoc=comment_line_doc
8 commentdoc=comment_doc
9 commentdockeyword=comment_doc_keyword
10 commentdockeyworderror=comment_doc_keyword_error
11 number=number_1
12 word=keyword_1
13 word2=keyword_2
14 string=string_1
15 character=character
16 operator=operator
17 identifier=identifier_1
18 sqlplus=default
19 sqlplus_prompt=default
20 sqlplus_comment=comment
21 quotedidentifier=identifier_2
22 qoperator=operator
24 [keywords]
25 # all items must be in one line
26 keywords=absolute action add admin after aggregate alias all allocate alter and any are array as asc assertion at authorization auto_increment before begin bfile bigint binary bit blob bool boolean both breadth by call cascade cascaded case cast catalog char charset character check class client clob close cluster collate collation column comment commit completion connect connection constraint constraints constructor continue corresponding create cross cube current current_date current_path current_role current_time current_timestamp current_user cursor cycle data date day deallocate dec decimal declare default deferrable deferred delete depth deref desc describe descriptor destroy destructor deterministic diagnostics dictionary dimension disconnect diskgroup distinct domain double drop dynamic each else elsif end end-exec engine equals escape every except exception exec execute exists explain external false fetch first fixed flashback float for foreign found from free full function general get global go goto grant group grouping having hold host hour identity if ignore immediate in index indextype indicator initialize initially inner inout input insert int integer intersect interval into is isolation iterate join key language large last lateral leading left less level like limit local localtime localtimestamp locator log long loop map match materialized mediumblob mediumint mediumtext merge message middleint minus minute modifies modify module month names national natural nchar nclob new next no noaudit none not null numeric nvarchar2 object of off old on only open operation option or order ordinality out outer output owner package pad parameter parameters partial path postfix precision prefix preorder prepare preserve primary print prior privileges procedure profile public purge raise read reads real recursive ref references referencing regexp regexp_like relative rename replace restrict result return returning returns revoke right role rollback rollup routine row rows savepoint schema scroll scope search second section select sequence session session_user serial set sets size smallint some space specific specifictype sql sqlexception sqlstate sqlwarning start state statement static structure synonym system_user table tablespace temporary terminate text than then time timestamp timezone_hour timezone_minute tinyint to trailing transaction translation treat trigger true truncate type under union unique uniqueidentifier unknown unnest unsigned update usage user using value values varchar varchar2 variable varying view when whenever where while with without work write year zone
28 [settings]
29 # default extension used when saving files
30 extension=sql
32 # MIME type
33 mime_type=text/x-sql
35 # the following characters are these which a "word" can contains, see documentation
36 #wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789
38 # single comments, like # in this file
39 comment_single=--\s
40 # multiline comments
41 comment_open=/*
42 comment_close=*/
44 # set to false if a comment character/string should start at column 0 of a line, true uses any
45 # indentation of the line, e.g. setting to true causes the following on pressing CTRL+d
46         #command_example();
47 # setting to false would generate this
48 #       command_example();
49 # This setting works only for single line comments
50 comment_use_indent=true
52 # context action command (please see Geany's main documentation for details)
53 context_action_cmd=
55 [indentation]
56 #width=4
57 # 0 is spaces, 1 is tabs, 2 is tab & spaces
58 #type=1