Bump API version for new plugin entry points (oops)
[geany-mirror.git] / data / filetypes.pascal
blob621b70f500eda6be11f7335daab80e363fefec60
1 # For complete documentation of this file, please see Geany's main documentation
2 [styling]
3 # Edit these in the colorscheme .conf file instead
4 default=default
5 identifier=identifier_1
6 comment=comment
7 comment2=comment_doc
8 commentline=comment_line
9 preprocessor=preprocessor
10 preprocessor2=preprocessor
11 number=number_1
12 hexnumber=number_1
13 word=keyword_1
14 string=string
15 stringeol=string_eol
16 character=character
17 operator=operator
18 asm=number_2
21 [keywords]
22 # all items must be in one line
23 primary=absolute abstract add and array as asm assembler automated begin boolean break byte case cdecl char class const constructor contains default deprecated destructor dispid dispinterface div do downto dynamic else end except export exports external far file final finalization finally for forward function goto if implementation implements in index inherited initialization inline integer interface is label library message mod name near nil nodefault not object of on or out overload override package packed pascal platform private procedure program property protected public published raise read readonly real record register reintroduce remove repeat requires resourcestring safecall sealed set shl shr static stdcall stored strict string then threadvar to try type unit unsafe until uses var varargs virtual while with word write writeonly xor
25 [lexer_properties]
26 # only highlight keywords like read,write if in appropriate context
27 lexer.pascal.smart.highlighting=1
29 [settings]
30 # default extension used when saving files
31 extension=pas
33 # MIME type
34 mime_type=text/x-pascal
36 # the following characters are these which a "word" can contains, see documentation
37 #wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789
39 # single comments, like # in this file
40 #comment_single=
41 # multiline comments
42 comment_open={
43 comment_close=}
45 # set to false if a comment character/string should start at column 0 of a line, true uses any
46 # indentation of the line, e.g. setting to true causes the following on pressing CTRL+d
47         #command_example();
48 # setting to false would generate this
49 #       command_example();
50 # This setting works only for single line comments
51 comment_use_indent=true
53 # context action command (please see Geany's main documentation for details)
54 context_action_cmd=
56 [indentation]
57 #width=4
58 # 0 is spaces, 1 is tabs, 2 is tab & spaces
59 #type=1
61 [build_settings]
62 # %f will be replaced by the complete filename
63 # %e will be replaced by the filename without extension
64 # (use only one of it at one time)
65 compiler=fpc "%f"
66 run_cmd="./%e"