Bump API version for new plugin entry points (oops)
[geany-mirror.git] / data / filetypes.haskell
blob5d589f376fe2f0c315432b2fe4bdb3313c7de6a9
1 # For complete documentation of this file, please see Geany's main documentation
2 [styling]
3 # Edit these in the colorscheme .conf file instead
4 default=default
5 commentline=comment_line
6 commentblock=comment
7 commentblock2=comment
8 commentblock3=comment
9 literate_comment=comment
10 literate_codedelim=preprocessor
11 number=number_1
12 keyword=keyword_1
13 reserved_operator=keyword_1
14 import=preprocessor
15 string=string_1
16 character=character
17 class=class
18 operator=operator
19 identifier=identifier_1
20 instance=type
21 capital=type
22 module=function
23 data=number_2
24 pragma=preprocessor
25 preprocessor=preprocessor
26 stringeol=string_eol
28 [keywords]
29 # all items must be in one line
30 keywords=case class data default deriving do else forall foreign if import in infix infixl infixr instance let module newtype of then type where
31 ffi=capi ccall export import interruptible prim safe stdcall unsafe
32 reserved_operators=-> .. :: <- = => @ \ | ~ ← → ∀ ∷ ★
34 [lexer_properties]
35 lexer.haskell.allow.hash=1
36 lexer.haskell.allow.quotes=1
37 lexer.haskell.allow.questionmark=0
38 lexer.haskell.import.safe=1
39 lexer.haskell.cpp=1
40 styling.within.preprocessor=0
41 fold.haskell.imports=0
43 [settings]
44 # default extension used when saving files
45 extension=hs
47 # MIME type
48 mime_type=text/x-haskell
50 # the following characters are these which a "word" can contains, see documentation
51 #wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789
53 # single comments, like # in this file
54 comment_single=--
55 # multiline comments
56 #comment_open=
57 #comment_close=
59 # set to false if a comment character/string should start at column 0 of a line, true uses any
60 # indentation of the line, e.g. setting to true causes the following on pressing CTRL+d
61 #command_example();
62 # setting to false would generate this
63 # command_example();
64 # This setting works only for single line comments
65 comment_use_indent=true
67 # context action command (please see Geany's main documentation for details)
68 context_action_cmd=
70 [indentation]
71 #width=4
72 # 0 is spaces, 1 is tabs, 2 is tab & spaces
73 #type=1
75 [build-menu]
76 # %f will be replaced by the complete filename
77 # %e will be replaced by the filename without extension
78 # (use only one of it at one time)
79 FT_00_LB=_Compile
80 FT_00_CM=ghc --make "%f"
81 FT_00_WD=
82 FT_02_LB=_Lint
83 FT_02_CM=hlint "%f"
84 FT_02_WD=
85 EX_00_LB=_Execute
86 EX_00_CM="./%e"
87 EX_00_WD=