Bump API version for new plugin entry points (oops)
[geany-mirror.git] / data / filetypes.forth
blob240992a730623b249288ff2a2bb3675044695984
1 # For complete documentation of this file, please see Geany's main documentation
2 [styling]
3 # Edit these in the colorscheme .conf file instead
4 default=default
5 comment=comment
6 commentml=comment_doc
7 identifier=identifier_1
8 control=keyword_1
9 keyword=keyword_1
10 defword=keyword_2
11 preword1=keyword_3
12 preword2=keyword_4
13 number=number_1
14 string=string_1
15 locale=other
17 [keywords]
18 # all items must be in one line
19 primary=abort exit do loop unloop begin until while repeat exit if else then case endcase of endof again leave
20 keyword=require included decimal hex also only previous
21 defword=create does> variable value 2variable constant , 2, c,
22 string=." " s" c" abort"
23 preword1=dup drop swap over pick roll 2dup 2drop 2swas 2over
24 preword2=! c! @ c@ 2! 2@ and or xor invert negate / /mod mod rshift lshift
26 [settings]
27 # default extension used when saving files
28 extension=fs
30 # the following characters are these which a "word" can contains, see documentation
31 #wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789
33 # single comments, like # in this file
34 comment_single=\\
35 # multiline comments
36 comment_open=(
37 comment_close= )
39 # comment_open=\
40 # comment_close=
42 # set to false if a comment character/string should start at column 0 of a line, true uses any
43 # indentation of the line, e.g. setting to true causes the following on pressing CTRL+d
44         #command_example();
45 # setting to false would generate this
46 #       command_example();
47 # This setting works only for single line comments
48 comment_use_indent=true
50 # context action command (please see Geany's main documentation for details)
51 context_action_cmd=
53 [indentation]
54 #width=4
55 # 0 is spaces, 1 is tabs, 2 is tab & spaces
56 #type=1