Update HACKING for changed doc generation instructions
[geany-mirror.git] / data / filetypes.verilog
blobabc550ec883f4d456f2ee010004a33caf9fc1127
1 # For complete documentation of this file, please see Geany's main documentation
2 [styling]
3 # Edit these in the colorscheme .conf file instead
4 default=default
5 comment=comment
6 comment_line=comment_line
7 comment_line_bang=comment_line
8 number=number_1
9 word=keyword_1
10 word2=keyword_2
11 word3=keyword_3
12 string=string_1
13 preprocessor=preprocessor
14 operator=operator
15 identifier=identifier_1
16 stringeol=string_eol
17 userword=type
19 [keywords]
20 # all items must be in one line
21 word=always and assign attribute begin buf bufif0 bufif1 case casex casez cmos deassign default defparam disable edge else end endattribute endcase endfunction endmodule endprimitive endspecify endtable endtask event for force forever fork function highz0 highz1 if ifnone initial join medium module large macromodule nand negedge nmos nor not notif0 notif1 or parameter pmos posedge primitive pull0 pull1 pulldown pullup rcmos realtime release repeat rnmos rpmos rtran rtranif0 rtranif1 scalared signed small specify specparam strength strong0 strong1 supply0 supply1 table task tran tranif0 tranif1 tri tri0 tri1 triand trior trireg unsigned vectored wait wand weak0 weak1 while wor xnor xor @
22 word2=$display $write $fdisplay $fwrite $strobe $fstrobe $monitor $fmonitor $time $realtime $finish $stop $setup $hold $width $setuphold $readmemb $readmemh $sreadmemb $sreadmemh $getpattern $history $save $restart $incsave $shm_open $shm_probe $shm_close $scale $showscopes $showvars
23 word3=real integer time reg wire input output inout
25 [settings]
26 # default extension used when saving files
27 extension=v
29 # MIME type
30 mime_type=text/x-verilog
32 # the following characters are these which a "word" can contains, see documentation
33 #wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789
35 # single comments, like # in this file
36 comment_single=//
37 # multiline comments
38 comment_open=/*
39 comment_close=*/
41 # set to false if a comment character/string should start at column 0 of a line, true uses any
42 # indentation of the line, e.g. setting to true causes the following on pressing CTRL+d
43 #command_example();
44 # setting to false would generate this
45 # command_example();
46 # This setting works only for single line comments
47 comment_use_indent=true
49 # context action command (please see Geany's main documentation for details)
50 context_action_cmd=
52 [indentation]
53 #width=4
54 # 0 is spaces, 1 is tabs, 2 is tab & spaces
55 #type=1