plugins: plugin loader redesign
[geany-mirror.git] / tests / ctags / invalid_name.f90
blob28d1c206aff1312701746b2fda7eabc9a56249ad
1 ! Test of parsing type with invalid name
2 Module TR_DetectionRecording
3 Implicit None
4 Save
5 Integer :: DR_Lun
6 Character*1024 DR_Filename
7 Type Detection_Record
8 Integer(2) :: Activity
9 Integer(2) :: Type ! "Type" not valid entity name
10 Integer(2) :: Face
11 End Type Detection_Record
12 End Module TR_DetectionRecording