plugins: plugin loader redesign
[geany-mirror.git] / data / filetypes.cobol
blob6e6b0c090abf081f1f50a9ad88da19bb6336ab23
1 # For complete documentation of this file, please see Geany's main documentation
2 [styling]
3 # Edit these in the colorscheme .conf file instead
4 default=default
5 comment=comment
6 commentline=comment_line
7 commentdoc=comment_doc
8 number=number_1
9 word=keyword_1
10 word2=keyword_2
11 string=string_1
12 character=character
13 operator=operator
14 identifier=identifier_1
15 quotedidentifier=identifier_2
17 [keywords]
18 # all items must be in one line
19 keywords=accept access add address advancing after alphabet alphabetic alphabetic-lower alphabetic-upper alphanumeric alphanumeric-edited als alternate and any are area areas ascending assign at author before binary blank block bottom by cancel cbll cd cf ch character characters class clock-units close cobol code code-set collating column comma common communications computational compute configuration content continue control converting corr corresponding count currency data date date-compiled date-written day day-of-week de debug-contents debug-item debug-line debug-name debug-sub-1 debug-sub-2 debug-sub-3 debugging decimal-point delaratives delete delimited delimiter depending descending destination detail disable display divide division down duplicates dynamic egi else emi enable end-add end-compute end-delete end-divide end-evaluate end-if end-multiply end-of-page end-perform end-read end-receive end-return end-rewrite end-search end-start end-string end-subtract end-unstring end-write environment equal error esi evaluate every exception extend external false fd file file-control filler final first footing for from generate giving global greater group heading high-value high-values i-o i-o-control identification in index indexed indicate initial initialize initiate input input-output inspect installation into is just justified key label last leading left length lock memory merge message mode modules move multiple multiply native negative next no not number numeric numeric-edited object-computer occurs of off omitted on open optional or order organization other output overflow packed-decimal padding page page-counter perform pf ph pic picture plus position positive printing procedure procedures procedd program program-id purge queue quotes random rd read receive record records redefines reel reference references relative release remainder removal replace replacing report reporting reports rerun reserve reset return returning reversed rewind rewrite rf rh right rounded same sd search section security segment segment-limited select send sentence separate sequence sequential set sign size sort sort-merge source source-computer special-names standard standard-1 standard-2 start status string sub-queue-1 sub-queue-2 sub-queue-3 subtract sum suppress symbolic sync synchronized table tallying tape terminal terminate test text than then through thru time times to top trailing true type unit unstring until up upon usage use using value values varying when with words working-storage write
21 [settings]
22 # default extension used when saving files
23 extension=cob
25 # MIME type
26 mime_type=text/x-cobol
28 # the following characters are these which a "word" can contains, see documentation
29 #wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789
31 # single comments, like # in this file
32 comment_single=*>
33 # multiline comments
34 #comment_open=
35 #comment_close=
37 # set to false if a comment character/string should start at column 0 of a line, true uses any
38 # indentation of the line, e.g. setting to true causes the following on pressing CTRL+d
39 #command_example();
40 # setting to false would generate this
41 # command_example();
42 # This setting works only for single line comments
43 comment_use_indent=false
45 # context action command (please see Geany's main documentation for details)
46 context_action_cmd=
48 [indentation]
49 #width=4
50 # 0 is spaces, 1 is tabs, 2 is tab & spaces
51 #type=1