1 2015-02-05 Artur Malabarba <bruce.connor.am@gmail.com>
3 * emacs-lisp/package.el (package--sort-by-dependence): New
4 function. Return PACKAGE-LIST sorted by dependencies.
5 (package-menu-execute): Use it to delete packages in order.
6 (package--sort-deps-in-alist): New function.
7 (package-menu-mark-install): Can mark dependencies.
8 (package--newest-p): New function.
9 (package-delete): Don't delesect when deleting an older version of
12 2015-02-05 Stefan Monnier <monnier@iro.umontreal.ca>
14 * textmodes/css-mode.el (scss-smie--not-interpolation-p): Vars can be
15 hyphenated (bug#19263).
17 * textmodes/css-mode.el (css-fill-paragraph): Fix filling in presence
18 of variable interpolation (bug#19751).
20 2015-02-05 Era Eriksson <era+emacs@iki.fi>
22 * json.el (json-end-of-file): New error (bug#19768).
23 (json-pop, json-read): Use it.
25 2015-02-05 Kelly Dean <kelly@prtime.org>
27 * help-mode.el (help-xref-interned): Pass BUFFER and FRAME to
30 * help-fns.el (describe-function-or-variable): New function.
32 * help.el (help-map): Bind `describe-function-or-variable' to o.
33 (help-for-help-internal): Document o key.
35 2015-02-05 Stefan Monnier <monnier@iro.umontreal.ca>
37 * emacs-lisp/eieio-compat.el (eieio--defmethod): Use new
38 special (:documentation ...) feature.
39 * emacs-lisp/eieio-core.el (eieio-make-class-predicate)
40 (eieio-make-child-predicate): Same.
41 (eieio-copy-parents-into-subclass): Remove unused arg.
42 (eieio-defclass-internal): Adjust call accordingly and remove redundant
44 (eieio--slot-name-index): Remove unused arg `obj' and adjust all
47 * emacs-lisp/cconv.el (cconv--convert-function):
48 Add `docstring' argument.
49 (cconv-convert): Use it to handle the new (:documentation ...) form.
50 (cconv-analyze-form): Handle the new (:documentation ...) form.
52 * emacs-lisp/bytecomp.el:
53 (byte-compile-initial-macro-environment): Use macroexp-progn.
54 (byte-compile-cl-warn): Don't silence use of cl-macroexpand-all.
55 (byte-compile-file-form-defvar-function): Rename from
56 byte-compile-file-form-define-abbrev-table.
57 (defvaralias, byte-compile-file-form-custom-declare-variable): Use it.
58 (byte-compile): Use byte-compile-top-level rather than
59 byte-compile-lambda so we can compile non-values.
60 (byte-compile-form): Add warnings for failed uses of lexical vars via
62 (byte-compile-unfold-bcf): Improve message for failed inlining.
63 (byte-compile-make-closure): Handle new format of internal-make-closure
64 for dynamically-generated docstrings.
66 * delsel.el: Deprecate the `kill' option. Use lexical-binding.
67 (open-line): Delete like all other commands, instead of killing.
68 (delete-active-region): Don't define any return any value.
70 * progmodes/python.el: Try to preserve compatibility with Emacs-24.
71 (python-mode): Don't assume eldoc-documentation-function has a non-nil
74 2015-02-04 Sam Steingold <sds@gnu.org>
76 * progmodes/python.el (python-indent-calculate-indentation):
77 Avoid the error when computing top-level indentation.
79 2015-02-04 Stefan Monnier <monnier@iro.umontreal.ca>
81 * emacs-lisp/cl-generic.el (cl--generic-member-method): Fix paren typo.
83 * textmodes/flyspell.el: Use lexical-binding and cl-lib.
84 (mail-mode-flyspell-verify): Fix last change.
85 (flyspell-external-point-words, flyspell-large-region):
86 Avoid add-to-list on local vars.
88 2015-02-04 Tassilo Horn <tsdh@gnu.org>
90 * emacs-lisp/package.el (package-installed-p): Fix typo causing
93 2015-02-04 Artur Malabarba <bruce.connor.am@gmail.com>
95 * emacs-lisp/package.el (package-delete): Remove package from
96 `package-selected-packages' even if it can't be deleted.
97 (package-installed-p): Accept package-desc objects.
98 (package-install): Can be used to mark dependencies as
99 selected. When given a package-desc object which is already
100 installed, the package is not downloaded again, but it is marked
101 as selected (if it wasn't already).
102 (package-reinstall): Accept package-desc objects.
104 2015-02-03 Artur Malabarba <bruce.connor.am@gmail.com>
106 * emacs-lisp/package.el (package-delete): Document NOSAVE.
107 (package--get-deps): delete-dups when ONLY is nil.
108 (package-autoremove): Warn the user if `package-selected-packages'
111 (package--user-selected-p): New function.
112 (package-delete, package-install, package-install-from-buffer):
114 (package-selected-packages): Mention it.
116 (package-initialize): Don't populate `package-selected-packages'.
117 (package-install-user-selected-packages, package-autoremove):
118 Special handling for empty `package-selected-packages'.
119 (package-install): Fix when PKG is a package-desc.
121 (package-desc-status): Add "dependency" status to the Package
123 (package-menu--status-predicate, package-menu--print-info)
124 (package-menu-mark-delete, package-menu--find-upgrades)
125 (package-menu--status-predicate, describe-package-1): Use it
127 (package--removable-packages): New function.
128 (package-autoremove): Use it.
129 (package-menu-execute): Offer to remove unneeded packages.
131 (package--read-pkg-desc, package-tar-file-info): Fix reference to
134 2015-02-03 Thierry Volpiatto <thierry.volpiatto@gmail.com>
136 * emacs-lisp/package.el (package-reinstall): Don't change package's selected status.
137 (package-delete): New NOSAVE argument.
139 2015-02-03 Michael Albinus <michael.albinus@gmx.de>
141 * net/tramp-sh.el (tramp-histfile-override): Fix docstring.
142 (tramp-open-shell, tramp-maybe-open-connection): Set also
143 HISTFILESIZE and HISTSIZE when needed. (Bug#19731)
145 2015-02-02 Artur Malabarba <bruce.connor.am@gmail.com>
147 * emacs-lisp/package.el (package--find-non-dependencies):
149 (package-initialize): Use it to populate `package-selected-packages'.
150 (package-menu-execute): Clean unnecessary `and'.
151 (package--get-deps): Fix returning duplicates.
153 2015-02-02 Michael Albinus <michael.albinus@gmx.de>
155 * net/tramp-sh.el (tramp-histfile-override): Add another choice t.
157 (tramp-open-shell, tramp-maybe-open-connection): Support it.
160 2015-02-02 Thierry Volpiatto <thierry.volpiatto@gmail.com>
162 * emacs-lisp/package.el (package-delete): Remove package from
163 package-selected-packages.
164 (package-autoremove): Remove unneeded variable.
166 2015-02-01 Artur Malabarba <bruce.connor.am@gmail.com>
168 * emacs-lisp/package.el (package-selected-packages): Fix :type
169 (package-install): Rename ARG to MARK-SELECTED.
170 (package--get-deps): Fix for indirect dependencies.
171 (package-used-elsewhere-p): Rename to
172 (package--used-elsewhere-p): New function.
173 (package-reinstall, package-user-selected-packages-install)
174 (package-autoremove): Use sharp-quote.
175 (package-user-selected-packages-install): Reindent and rename to
176 (package-install-user-selected-packages): New function.
178 2015-02-01 Thierry Volpiatto <thierry.volpiatto@gmail.com>
180 * emacs-lisp/package.el: Don't allow deleting dependencies.
182 (package-used-elsewhere-p): New function.
183 (package-delete): Use it, return now an error when trying to
184 delete a package used as dependency by another package.
186 Add a reinstall package command.
187 (package-reinstall): New function.
189 Add a package-autoremove command.
190 (package-selected-packages): New user var.
191 (package-install): Add an optional arg to notify interactive use.
192 Fix docstring. Save installed package to
193 packages-installed-directly.
194 (package-install-from-buffer): Same.
195 (package-user-selected-packages-install): Allow installing all
196 packages in packages-installed-directly at once.
197 (package--get-deps): New function.
198 (package-autoremove): New function.
199 (package-install-button-action): Call package-install with
201 (package-menu-execute): Same but only for only for not installed
204 2015-01-31 Stefan Monnier <monnier@iro.umontreal.ca>
206 * emacs-lisp/eieio.el (defclass): Use new eieio-make-class-predicate
207 and eieio-make-child-predicate.
208 (eieio-class-parents): Use eieio--class-object.
209 (slot-boundp, find-class, eieio-override-prin1): Avoid class-p.
210 (slot-exists-p): Use find-class.
212 * emacs-lisp/eieio-opt.el (eieio-help-class, eieio-help-constructor):
213 Use find-lisp-object-file-name, help-fns-short-filename and new calling
214 convention for eieio-class-def.
215 (eieio-build-class-list): Remove function, unused.
216 (eieio-method-def): Remove button type, unused.
217 (eieio-class-def): Inherit from help-function-def.
218 (eieio--defclass-regexp): New constant.
219 (find-function-regexp-alist): Use it.
220 (eieio--specializers-apply-to-class-p): Handle eieio--static as well.
221 (eieio-help-find-method-definition, eieio-help-find-class-definition):
224 * emacs-lisp/eieio-core.el (eieio--check-type): Remove.
225 Use cl-check-type everywhere instead.
226 (eieio-class-object): Remove, use find-class instead when needed.
227 (class-p): Don't inline.
228 (eieio-object-p): Check more thoroughly, so we don't treat cl-structs,
229 such as eieio classes, as objects. Don't inline.
230 (object-p): Mark as obsolete.
231 (eieio-defclass-autoload, eieio-defclass-internal, eieio-oref)
232 (eieio--generic-tagcode): Avoid `class-p'.
233 (eieio-make-class-predicate, eieio-make-child-predicate): New functions.
234 (eieio-defclass-internal): Use current-load-list rather than
237 * emacs-lisp/cl-generic.el (cl--generic-search-method): Fix regexp.
239 2015-01-30 Stefan Monnier <monnier@iro.umontreal.ca>
241 * emacs-lisp/backquote.el (backquote-delay-process): Don't reuse `s'
242 since it may be "equivalent" in some sense, yet different (bug#19734).
244 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
246 * outline.el (outline-font-lock-face): Add docstring.
247 (outline-invisible-p): Improve docstring.
248 (outline-invent-heading): Add docstring.
249 (outline-promote): Improve docstring.
250 (outline-demote): Improve docstring.
251 (outline-head-from-level): Improve docstring.
252 (outline-end-of-heading): Add docstring.
253 (outline-next-visible-heading): Improve docstring.
254 (outline-previous-visible-heading): Improve docstring.
255 (outline-hide-region-body): Improve docstring.
256 (outline-flag-subtree): Add docstring.
257 (outline-end-of-subtree): Add docstring.
258 (outline-headers-as-kill): Improve docstring.
260 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
262 * outline.el (outline-hide-entry): Rename from `hide-entry'.
263 (hide-entry): Declare as obsolete.
264 (outline-show-entry): Rename from `show-entry'.
265 (show-entry): Declare as obsolete.
266 (outline-hide-body): Rename from `hide-body'.
267 (hide-body): Declare as obsolete.
268 (outline-hide-region-body): Rename from `hide-region-body'.
269 (hide-region-body): Declare as obsolete.
270 (outline-show-all): Rename from `show-all'.
271 (show-all): Declare as obsolete.
272 (outline-hide-subtree): Rename from `hide-subtree'.
273 (hide-subtree): Declare as obsolete.
274 (outline-hide-leaves): Rename from `hide-leaves'.
275 (hide-leaves): Declare as obsolete.
276 (outline-show-subtree): Rename from `show-subtree'.
277 (show-subtree): Declare as obsolete.
278 (outline-hide-sublevels): Rename from `hide-sublevels'.
279 (hide-sublevels): Declare as obsolete.
280 (outline-hide-other): Rename from `hide-other'.
281 (hide-other): Declare as obsolete.
282 (outline-show-children): Rename from `show-children'.
283 (show-children): Declare as obsolete.
284 (outline-show-branches): Rename from `show-branches'.
285 (show-branches): Declare as obsolete.
287 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
289 * outline.el (outline-mode): Clean up docstring.
290 (font-lock-warning-face): Remove obsolete declaration.
291 (outline-font-lock-face): Remove obsolete comment.
293 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
295 * lisp/custom.el (defface): Set `indent' to 1.
297 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
299 * emacs-lisp/easy-mmode.el (define-minor-mode): Set `indent' to 1.
301 2015-01-30 Michal Nazarewicz <mina86@mina86.com>
303 * lisp/files.el (save-buffers-kill-emacs): If `confirm-kill-emacs'
304 is set, but user has just been asked whether they really want to
305 kill Emacs (for example with a ‘Modified buffers exist; exit
306 anyway?’ prompt), do not ask them for another confirmation.
308 2015-01-29 Jay Belanger <jay.p.belanger@gmail.com>
310 * lisp/calc/calc-units.el (calc-convert-exact-units): New function.
311 (calc-convert-units): Check for missing units.
312 (math-consistent-units-p): Strengthen the test for consistent units.
314 * lisp/calc/calc-ext.el (calc-init-extensions): Autoload
315 `calc-convert-exact-units' and assign it a keybinding.
317 * lisp/calc/calc-help (calc-u-prefix-help): Add help for the
320 2015-01-28 Stefan Monnier <monnier@iro.umontreal.ca>
322 * emacs-lisp/cl.el (cl--function-convert): Simplify.
324 2015-01-28 Tassilo Horn <tsdh@gnu.org>
326 * textmodes/reftex.el (reftex-syntax-table-for-bib): Give ( and )
327 punctuation syntax since to allow bibtex fields with values such
328 as {Test 1) and 2)} (bug#19205, bug#19707).
329 (reftex--prepare-syntax-tables): New function.
330 (reftex-mode): Use it.
332 2015-01-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
334 python.el: New non-global state dependent indentation engine.
335 (Bug#18319, Bug#19595)
336 * progmodes/python.el (python-syntax-comment-or-string-p):
337 Accept PPSS as argument.
338 (python-syntax-closing-paren-p): New function.
339 (python-indent-current-level)
340 (python-indent-levels): Mark obsolete.
341 (python-indent-context): Return more context cases.
342 (python-indent--calculate-indentation)
343 (python-indent--calculate-levels): New functions.
344 (python-indent-calculate-levels): Use them.
345 (python-indent-calculate-indentation, python-indent-line):
346 (python-indent-line-function): Rewritten to use new API.
347 (python-indent-dedent-line): Simplify logic.
348 (python-indent-dedent-line-backspace): Use `unless`.
349 (python-indent-toggle-levels): Delete function.
351 2015-01-28 Daniel Koning <dk@danielkoning.com> (tiny change)
353 * subr.el (posnp): Correct docstring of `posnp'.
354 (posn-col-row): Make it work with all mouse position objects.
355 * textmodes/artist.el (artist-mouse-draw-continously):
356 Cancel timers if an error occurs during continuous drawing. (Bug#6130)
358 2015-01-28 Eli Zaretskii <eliz@gnu.org>
360 * button.el (button-activate, push-button): Doc fix. (Bug#19628)
362 2015-01-28 Michael Albinus <michael.albinus@gmx.de>
364 * filenotify.el (file-notify-descriptors, file-notify-handle-event):
366 (file-notify--descriptor): New defun.
367 (file-notify-callback, file-notify-add-watch, file-notify-rm-watch):
368 Adapt docstring. Handle multiple values for
369 `file-notify-descriptors' entries. (Bug#18880)
371 * net/tramp.el (tramp-handle-file-notify-rm-watch): Do not check
372 `file-notify-descriptors', the implementation has been changed.
374 2015-01-28 Eli Zaretskii <eliz@gnu.org>
376 * net/net-utils.el (net-utils-run-program, net-utils-run-simple):
377 On MS-Windows, bind coding-system-for-read to the console output
378 codepage. (Bug#19458)
380 2015-01-28 Dmitry Gutov <dgutov@yandex.ru>
382 Unbreak `mouse-action' property in text buttons.
383 * button.el (push-button): Fix regression from 2012-12-06.
385 2015-01-28 Glenn Morris <rgm@gnu.org>
387 * progmodes/sh-script.el (sh-mode): Doc fix.
388 (sh-basic-indent-line): Handle electric newline. (Bug#18756)
390 2015-01-28 Paul Eggert <eggert@cs.ucla.edu>
392 Fix dired quoting bug with "Hit`N`Hide". Fixes Bug#19498.
393 * files.el (shell-quote-wildcard-pattern): Also quote "`".
395 2015-01-28 Stefan Monnier <monnier@iro.umontreal.ca>
397 Tighten up the tagcode used for eieio and cl-struct objects.
398 * loadup.el: Load cl-preloaded.
399 * emacs-lisp/eieio-core.el (eieio-defclass-internal): Set the function
400 slot of the tag symbol to :quick-object-witness-check.
401 (eieio-object-p): Use :quick-object-witness-check.
402 (eieio--generic-tagcode): Use cl--generic-struct-tag.
403 * emacs-lisp/cl-preloaded.el: New file.
404 * emacs-lisp/cl-macs.el (cl--bind-inits): Remove, unused.
405 (cl--transform-lambda, cl-destructuring-bind): Remove cl--bind-inits.
406 (cl--make-usage-args): Strip away &aux args.
407 (cl-case, cl-typecase, cl--parse-loop-clause): Use macroexp-let2.
408 (cl-the, cl-check-type): Use macroexp-let2 and cl-typep.
409 (cl-defstruct): Use `declare' and cl-struct-define.
410 * emacs-lisp/cl-generic.el (cl--generic-struct-tag): New function.
411 (cl--generic-struct-tagcode): Use it to tighten the tagcode.
413 2015-01-27 Katsumi Yamaoka <yamaoka@jpl.org>
415 * emacs-lisp/cl.el (cl--function-convert):
416 Merge cache that cl--labels-convert adds (bug#19699).
418 2015-01-27 Ivan Shmakov <ivan@siamics.net>
420 * tar-mode.el: Allow for adding new archive members. (Bug#19274)
421 (tar-new-regular-file-header, tar--pad-to, tar--put-at)
422 (tar-header-serialize): New functions.
423 (tar-current-position): Split from tar-current-descriptor.
424 (tar-current-descriptor): Use it.
425 (tar-new-entry): New command.
426 (tar-mode-map): Bind it.
428 2015-01-27 Sam Steingold <sds@gnu.org>
430 * progmodes/python.el (python-check-custom-command): Buffer local
431 because it usually includes the buffer name.
432 (python-check-command): Set to epylint when pyflakes is not available.
434 2015-01-27 Artur Malabarba <bruce.connor.am@gmail.com>
436 * isearch.el (isearch-process-search-char): Add docstring.
438 2015-01-27 Oleh Krehel <ohwoeowho@gmail.com>
440 * emacs-lisp/derived.el (define-derived-mode): Declare indent 3.
442 2015-01-27 Katsumi Yamaoka <yamaoka@jpl.org>
444 * emacs-lisp/cl.el (cl--function-convert): Run cl--labels-convert
445 for the case cl-flet or cl-labels form is wrapped with lexical-let
448 2015-01-26 Stefan Monnier <monnier@iro.umontreal.ca>
450 * emacs-lisp/cl-generic.el (cl--generic-method): New struct.
451 (cl--generic): The method-table is now a (list-of cl--generic-method).
452 (cl--generic-member-method): New function.
453 (cl-generic-define-method): Use it.
454 (cl--generic-build-combined-method, cl--generic-cache-miss):
455 Adapt to new method-table.
456 (cl--generic-no-next-method-function): Add `method' argument.
457 (cl-generic-call-method): Adapt to new method representation.
458 (cl--generic-cnm-sample, cl--generic-nnm-sample): Adjust.
459 (cl-find-method, cl-method-qualifiers): New functions.
460 (cl--generic-method-info): Adapt to new method representation.
461 Return a string for the qualifiers.
462 (cl--generic-describe):
463 * emacs-lisp/eieio-opt.el (eieio-help-class): Adjust accordingly.
464 (eieio-all-generic-functions, eieio-method-documentation):
465 Adjust to new method representation.
467 * emacs-lisp/eieio-compat.el (eieio--defmethod): Use cl-find-method.
469 2015-01-26 Stefan Monnier <monnier@iro.umontreal.ca>
471 * emacs-lisp/cl-generic.el: Add a method-combination hook.
472 (cl-generic-method-combination-function): New var.
473 (cl--generic-lambda): Remove `with-cnm' arg.
474 (cl-defmethod): Change accordingly.
475 (cl-generic-define-method): Don't check qualifiers validity.
476 Preserve all qualifiers in `method-table'.
477 (cl-generic-call-method): New function.
478 (cl--generic-nest): Remove (morph into cl-generic-call-method).
479 (cl--generic-build-combined-method): Adjust to new format of method-table
480 and use cl-generic-method-combination-function.
481 (cl--generic-standard-method-combination): New function, extracted from
482 cl--generic-build-combined-method.
483 (cl--generic-cnm-sample): Adjust to new format of method-table.
485 * emacs-lisp/eieio-compat.el (eieio--defmethod): Use () qualifiers
488 * emacs-lisp/eieio-datadebug.el (eieio-debug-methodinvoke):
489 Remove obsolete function.
491 2015-01-26 Lars Ingebrigtsen <larsi@gnus.org>
493 * net/shr.el (shr-make-table-1): Fix colspan typo.
494 (shr-make-table-1): Add comments.
495 (shr-make-table-1): Make colspan display more sensibly.
497 * net/eww.el (eww-add-bookmark): Fix prompt and clean up the code
500 2015-01-25 Stefan Monnier <monnier@iro.umontreal.ca>
502 * emacs-lisp/cl-generic.el (cl--generic-no-next-method-function): New fun.
503 (cl--generic-build-combined-method, cl--generic-nnm-sample): Use it
505 (cl--generic-typeof-types): Add support for `sequence'.
506 (cl-defmethod): Add non-keywords in the qualifiers.
508 2015-01-25 Dmitry Gutov <dgutov@yandex.ru>
510 * emacs-lisp/find-func.el (find-function-regexp): Don't match
511 `defgroup' (regression from the previous change here).
513 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
515 * net/ldap.el (ldap-search-internal): Mention binddn in invalid
516 credentials error message.
518 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
520 * net/ldap.el (ldap-password-read): Validate password before
522 (ldap-search-internal): Handle ldapsearch error conditions.
524 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
526 * net/ldap.el (ldap-password-read): Handle password-cache being nil.
528 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
530 * net/eudc.el (eudc-expand-inline): Always restore former server
533 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
535 * net/eudcb-ldap.el: Don't nag the user in case a default base is
536 provided by the LDAP system configuration file.
538 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
540 * net/eudc.el (eudc-format-query): Preserve the
541 eudc-inline-query-format ordering of attributes in the returned list.
542 * net/eudcb-ldap.el (eudc-ldap-format-query-as-rfc1558):
543 Append the LDAP wildcard character to the last attribute value.
545 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
547 * net/eudcb-ldap.el (eudc-ldap-cleanup-record-simple):
548 Downcase field names of LDAP results.
549 (eudc-ldap-cleanup-record-filtering-addresses): Likewise.
551 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
553 * net/ldap.el (ldap-ldapsearch-password-prompt): New defcustom.
554 (ldap-search-internal): Send password to ldapsearch through a pipe
555 instead of via the command line.
557 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
559 * net/ldap.el: Require password-cache.
560 (ldap-password-read): New function.
561 (ldap-search-internal): Call ldap-password-read when it is
562 configured to be called.
564 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
566 * net/eudc-vars.el (eudc-expansion-overwrites-query):
567 Change default to nil.
569 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
571 * net/eudc.el (eudc-expand-inline): Ignore text properties of
574 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
576 * net/eudc-vars.el (eudc-inline-expansion-format): Default to a
577 format that includes first name and surname.
579 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
581 * net/eudc-vars.el (eudc-inline-query-format): Change default to
582 query email and first name instead of surname.
584 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
586 * net/ldap.el (ldap-search-internal): Support new-style LDAP URIs.
588 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
590 * net/eudc-vars.el (eudc-server): Adjust docstring to mention
592 (eudc-server-hotlist): Move from eudc.el and make defcustom.
593 * net/eudc.el (eudc-server-hotlist): Move to eudc-vars.el.
594 (eudc-set-server): Allow setting protocol to nil.
595 (eudc-expand-inline): Support hotlist-only expansions when server
598 2015-01-23 Stefan Monnier <monnier@iro.umontreal.ca>
600 * emacs-lisp/cl-generic.el (cl-no-primary-method): New fun and error.
601 (cl--generic-build-combined-method): Use it.
603 2015-01-22 Paul Eggert <eggert@cs.ucla.edu>
605 Don't downcase system diagnostics' first letters
606 * emacs-lisp/bytecomp.el (byte-compile-file):
607 * ffap.el (find-file-at-point):
608 * files.el (insert-file-1):
609 * net/ange-ftp.el (ange-ftp-barf-if-not-directory)
610 (ange-ftp-copy-file-internal):
611 * progmodes/etags.el (visit-tags-table):
612 Keep diagnostics consistent with system's.
613 * ffap.el (ffap-machine-p):
614 Ignore case while comparing diagnostics.
616 2015-01-22 Stefan Monnier <monnier@iro.umontreal.ca>
618 * help.el (help-make-usage): Don't turn a "_" arg into an empty-string
620 * emacs-lisp/cl-generic.el (cl--generic-lambda): Don't confuse a string
621 body with a docstring.
623 2015-01-22 Dmitry Gutov <dgutov@yandex.ru>
625 * progmodes/xref.el (xref-location-marker, xref-location-group):
626 Use `cl-defgeneric' and `cl-defmethod' instead of the EIEIO
629 * progmodes/etags.el (xref-location-marker): Same.
631 * progmodes/xref.el (xref--current): Rename from `xref--selected'.
632 (xref--inhibit-mark-current): Rename from
633 `xref--inhibit-mark-selected'. Update the usages.
634 (xref-quit): Reword the docstring. Kill buffers after quitting
635 windows instead of before.
636 (xref--insert-xrefs): Tweak help-echo.
637 (xref--read-identifier-history, xref--read-pattern-history):
639 (xref--read-identifier, xref-find-apropos): Use them.
641 2015-01-21 Ulrich Müller <ulm@gentoo.org>
643 * play/gamegrid.el (gamegrid-add-score-with-update-game-score):
644 Allow the 'update-game-score' helper program to run suid or sgid.
646 2015-01-21 Stefan Monnier <monnier@iro.umontreal.ca>
648 * emacs-lisp/eieio.el: Use cl-defmethod.
649 (defclass): Generate cl-defmethod calls; use setf methods for :accessor.
650 (eieio-object-name-string): Declare as obsolete.
652 * emacs-lisp/eieio-opt.el: Adapt to cl-generic.
653 (eieio--specializers-apply-to-class-p): New function.
654 (eieio-all-generic-functions): Use it.
655 (eieio-method-documentation): Use it as well as cl--generic-method-info.
656 Change format of return value.
657 (eieio-help-class): Adapt accordingly.
659 * emacs-lisp/eieio-compat.el (eieio--defmethod): Avoid no-next-method
660 errors when there's a `before' but no `primary' (bug#19645).
661 (next-method-p): Return nil rather than signal an error.
662 (eieio-defgeneric): Remove bogus (fboundp 'method).
664 * emacs-lisp/eieio-speedbar.el:
665 * emacs-lisp/eieio-datadebug.el:
666 * emacs-lisp/eieio-custom.el:
667 * emacs-lisp/eieio-base.el: Use cl-defmethod.
669 * emacs-lisp/cl-generic.el (cl-defgeneric): Add support for `declare'.
670 (cl--generic-setf-rewrite): Setup the setf expander right away.
671 (cl-defmethod): Make sure the setf expander is setup before we expand
673 (cl-defmethod): Silence byte-compiler warnings.
674 (cl-generic-define-method): Shuffle code to change return value.
675 (cl--generic-method-info): New function, extracted from
676 cl--generic-describe.
677 (cl--generic-describe): Use it.
679 2015-01-21 Dmitry Gutov <dgutov@yandex.ru>
681 * progmodes/xref.el (xref--xref-buffer-mode-map): Define before
682 the major mode. Remap `quit-window' to `xref-quit'.
683 (xref--xref-buffer-mode): Inherit from special-mode.
685 xref: Keep track of temporary buffers (bug#19466).
686 * progmodes/xref.el (xref--temporary-buffers, xref--selected)
687 (xref--inhibit-mark-selected): New variables.
688 (xref--mark-selected): New function.
689 (xref--show-location): Maybe add the buffer to
690 `xref--temporary-buffers', add `xref--mark-selected' to
691 `buffer-list-update-hook' there.
692 (xref--window): Add docstring.
693 (xref-quit): Rename from `xref--quit'. Update both references.
694 Add KILL argument. When it's non-nil, kill the temporary buffers
695 that haven't been selected by the user.
696 (xref--show-xref-buffer): Change the second argument to alist,
697 extract the values for `xref--window' and
698 `xref--temporary-buffers' from it. Add `xref--mark-selected' to
699 `buffer-list-update-hook' to each buffer in the list.
700 (xref--show-xrefs): Move the logic of calling `xref-find-function'
701 here. Save the difference between buffer lists before and after
702 it's called as "temporary buffers", and `pass it to
703 `xref-show-xrefs-function'.
704 (xref--find-definitions, xref-find-references)
705 (xref-find-apropos): Update accordingly.
707 2015-01-20 Artur Malabarba <bruce.connor.am@gmail.com>
709 * emacs-lisp/package.el (package-dir-info): Fix `while' logic.
711 2015-01-20 Stefan Monnier <monnier@iro.umontreal.ca>
713 * emacs-lisp/eieio-generic.el: Remove.
714 (defgeneric, defmethod): Move to eieio-compat.el. Mark obsolete.
715 * emacs-lisp/eieio-compat.el: New file.
716 * emacs-lisp/eieio.el: Don't require eieio-generic any more.
717 * emacs-lisp/eieio-core.el (eieio--slot-originating-class-p):
718 Remove unused function.
719 (eieio-defclass): Move to eieio-compat.el.
720 * emacs-lisp/macroexp.el (macroexp-macroexpand): New function.
721 (macroexp--expand-all): Use it.
722 * emacs-lisp/bytecomp.el (byte-compile-recurse-toplevel): Here too.
724 2015-01-20 Michal Nazarewicz <mina86@mina86.com>
726 * emacs-lisp/eldoc.el (eldoc-documentation-function): Describe how
727 major modes should use `add-function' to alter value of the variable.
728 * hexl.el (hexl-mode):
729 * ielm.el (inferior-emacs-lisp-mode):
730 * progmodes/cfengine.el (cfengine3-mode):
731 * progmodes/elisp-mode (emacs-lisp-mode):
732 * progmodes/octave.el (octave-mode):
733 * progmodes/python.el (python-mode):
734 * simple.el (read--expression): Set `eldoc-documentation-function'
735 using `add-function' so the default value is always used.
737 * descr-text.el (describe-char-eldoc): New function returning
738 basic Unicode codepoint information (e.g. name) about character
739 at point. It is meant to be used as a default value of the
740 `eldoc-documentation-function' variable.
741 (describe-char-eldoc--format, describe-char-eldoc--truncate):
742 New helper functions for `describe-char-eldoc' function.
744 2015-01-20 Michal Nazarewicz <mina86@mina86.com>
746 * textmodes/paragraphs.el (sentence-end-base): Include an
747 ellipsis (…) and interrobang (‽) characters as end of a sentence,
748 and a closing single quote (’) as an end of a quote.
750 2015-01-20 Michal Nazarewicz <mina86@mina86.com>
752 * textmodes/tildify.el (tildify-double-space-undos): A new
753 variable specifying whether pressing space in `tildify-mode' after
754 a space has been replaced with hard space undos the substitution.
755 (tildify-space): Add code branch for handling `tildify-doule-space'.
757 * textmodes/tildify.el (tildify-space): A new function
758 which can be used as a `post-self-insert-hook' to automatically
759 convert spaces into hard spaces.
760 (tildify-space-pattern): A new variable specifying pattern where
761 `tildify-space' should take effect.
762 (tildify-space-predicates): A new variable specifying list of
763 predicate functions that all must return non-nil for
764 `tildify-space' to take effect.
765 (tildify-space-region-predicate): A new functions meant to be
766 used as a predicate in `tildify-space-predicates' list.
767 (tildify-mode): A new minor mode enabling `tildify-space' as a
768 `post-self-insert-hook'
770 2015-01-20 Daniel Colascione <dancol@dancol.org>
772 * vc/vc-dir.el (vc-dir): Default to repository root, not
775 2015-01-20 Dmitry Gutov <dgutov@yandex.ru>
777 * progmodes/etags.el (xref-etags-location): New class.
778 (xref-make-etags-location): New function.
779 (etags--xref-find-definitions): Use it.
780 (xref-location-marker): New method implementation.
782 * progmodes/xref.el: Mention that xref-location is an EIEIO class.
783 (xref--insert-xrefs): Expand help-echo string.
785 2015-01-19 Dmitry Gutov <dgutov@yandex.ru>
787 * ido.el: Update Customization instructions.
789 2015-01-19 Jonas Bernoulli <jonas@bernoul.li>
791 Define Ido keymaps once (bug#17000).
792 * ido.el (ido-common-completion-map)
793 (ido-file-dir-completion-map)
794 (ido-file-completion-map, ido-buffer-completion-map): Set up key
795 bindings when each variable is defined.
796 (ido-completion-map): Move definition.
797 (ido-init-completion-maps): Noop.
798 (ido-common-initialization): Don't call it.
799 (ido-setup-completion-map): Improve doc-string, cleanup.
801 2015-01-19 Ivan Shmakov <ivan@siamics.net>
803 * cus-dep.el (custom-make-dependencies): Ensure that
804 default-directory is interpreted as a directory (see bug#19140.)
806 2015-01-19 Dmitry Gutov <dgutov@yandex.ru>
808 * progmodes/xref.el (xref--display-position):
809 Set `other-window-scroll-buffer'.
810 (xref-goto-xref): Use `user-error'.
812 2015-01-19 Dmitry Gutov <dgutov@yandex.ru>
814 * progmodes/xref.el (xref--display-history): New variable.
815 (xref--window-configuration): Remove.
816 (xref--save-to-history): New function.
817 (xref--display-position): Use it. Add new argument.
818 (xref--restore-window-configuration): Remove.
819 (xref--show-location, xref-show-location-at-point):
821 (xref--xref-buffer-mode): Don't use `pre-command-hook'.
822 (xref--quit): New command.
823 (xref-goto-xref): Use it.
824 (xref--xref-buffer-mode-map): Bind `q' to it.
826 2015-01-18 Dmitry Gutov <dgutov@yandex.ru>
828 * progmodes/xref.el (xref-goto-xref): Perform the jump even inside
829 indentation or at eol.
831 2015-01-18 Stefan Monnier <monnier@iro.umontreal.ca>
833 * emacs-lisp/eieio-core.el: Add `subclass' specializer for cl-generic.
834 (eieio--generic-subclass-tagcode, eieio--generic-subclass-tag-types):
836 (cl-generic-tagcode-function, cl-generic-tag-types-function): Use them.
838 * emacs-lisp/eieio.el (defclass): Add obsolescence warning for the
841 * emacs-lisp/cl-generic.el (cl-generic-define-method): Correctly handle
842 introduction of a new dispatch argument.
843 (cl--generic-cache-miss): Handle dispatch on an argument which was not
844 considered as dispatchable for this method.
845 (cl-defmethod): Warn when adding a method to an obsolete generic function.
846 (cl--generic-lambda): Make sure it works if cl-lib is not yet loaded.
848 * emacs-lisp/eieio-generic.el (eieio--defgeneric-init-form): Use autoloadp.
850 2015-01-18 Artur Malabarba <bruce.connor.am@gmail.com>
852 * emacs-lisp/package.el (package--append-to-alist): Rename from
853 `package--add-to-alist'
854 Updated docstring due to new name.
856 2015-01-18 Leo Liu <sdl.web@gmail.com>
858 * emacs-lisp/cl-extra.el (cl-subseq): Use seq-subseq and fix
859 multiple evaluation. (Bug#19519)
861 * emacs-lisp/seq.el (seq-subseq): Throw bad bounding indices
864 2015-01-18 Stefan Monnier <monnier@iro.umontreal.ca>
866 * emacs-lisp/eieio-core.el: Add `subclass' specializer for cl-generic.
867 (eieio--generic-subclass-tagcode, eieio--generic-subclass-tag-types):
869 (cl-generic-tagcode-function, cl-generic-tag-types-function): Use them.
871 * emacs-lisp/cl-macs.el (cl-defstruct): Minor optimization when include
873 (cl-struct-type-p): New function.
875 * emacs-lisp/cl-generic.el: Add support for cl-next-method-p.
876 (cl-defmethod): Add edebug spec.
877 (cl--generic-build-combined-method): Fix call to
878 cl-no-applicable-method.
879 (cl--generic-nnm-sample, cl--generic-cnm-sample): New constant.
880 (cl--generic-isnot-nnm-p): New function.
881 (cl--generic-lambda): Use it to add support for cl-next-method-p.
882 (cl-no-next-method, cl-no-applicable-method): Simplify arg list.
883 (cl-next-method-p): New function.
885 2015-01-17 Ulrich Müller <ulm@gentoo.org>
887 * version.el (emacs-repository-get-version): Update docstring.
889 2015-01-17 Ivan Shmakov <ivan@siamics.net>
891 * url/url-cookie.el (url-cookie-write-file): Let-bind print-length
892 and print-level to nil to avoid writing a garbled list. (Bug#16805)
894 * files.el (find-file-other-window, find-file-other-frame):
895 Use mapc instead of mapcar. (Bug#18175)
897 * files.el (dir-locals-collect-variables): Use default-directory
898 in place of the file name while working on non-file buffers, just
899 like hack-dir-local-variables already does. (Bug#19140)
901 * textmodes/enriched.el (enriched-encode):
902 Use inhibit-point-motion-hooks in addition to inhibit-read-only.
905 * desktop.el (desktop-read): Do not call desktop-clear when no
906 desktop file is found. (Bug#18371)
908 * misearch.el (multi-isearch-unload-function): New function.
909 (misearch-unload-function): New alias. (Bug#19566)
911 2015-01-17 Stefan Monnier <monnier@iro.umontreal.ca>
913 * emacs-lisp/eieio-core.el (eieio--class-constructor): Rename from
914 class-constructor, and make it an alias for `identity'.
917 * emacs-lisp/eieio.el (eieio-constructor): Handle obsolete object name
918 argument here (bug#19620)...
919 (defclass): ...instead of in the constructor here.
921 2015-01-16 Jorgen Schaefer <contact@jorgenschaefer.de>
923 * emacs-lisp/package.el (package-archive-priorities):
924 Specify correct type.
926 2015-01-17 Ulrich Müller <ulm@gentoo.org>
928 * version.el (emacs-bzr-version-dirstate, emacs-bzr-version-bzr):
930 (emacs-repository-get-version): Discard the Bazaar case.
931 * vc/vc-bzr.el (vc-bzr-version-dirstate): Rename from
932 emacs-bzr-version-dirstate and move from version.el to here.
933 (vc-bzr-working-revision): Use it.
935 2015-01-17 Stefan Monnier <monnier@iro.umontreal.ca>
937 * emacs-lisp/eieio-generic.el (call-next-method): Don't bother checking
938 eieio--scoped-class any more.
940 * emacs-lisp/eieio-core.el (eieio--scoped-class-stack): Remove var.
941 (eieio--scoped-class): Remove function.
942 (eieio--with-scoped-class): Remove macro. Replace uses with `progn'.
943 (eieio--slot-name-index): Don't check the :protection anymore.
944 (eieio-initializing-object): Remove var.
945 (eieio-set-defaults): Don't let-bind eieio-initializing-object.
947 2015-01-17 Stefan Monnier <monnier@iro.umontreal.ca>
949 Improve handling of doc-strings and describe-function for cl-generic.
951 * help-mode.el (help-function-def): Add optional arg `type'.
953 * help-fns.el (find-lisp-object-file-name): Accept any `type' as long
955 (help-fns-short-filename): New function.
956 (describe-function-1): Use it. Use autoload-do-load.
958 * emacs-lisp/find-func.el: Use lexical-binding.
959 (find-function-regexp): Don't rule out `defgeneric'.
960 (find-function-regexp-alist): Document new possibility of including
961 a function instead of a regexp.
962 (find-function-search-for-symbol): Implement that new possibility.
963 (find-function-library): Don't assume that `function' is a symbol.
964 (find-function-do-it): Remove unused var `orig-buf'.
966 * emacs-lisp/eieio-generic.el (eieio--defalias): Move from eieio-core.
967 (eieio--defgeneric-init-form): Don't throw away a previous docstring.
968 (eieio--method-optimize-primary): Don't mess with the docstring.
969 (defgeneric): Keep the `args' in the docstring.
970 (defmethod): Don't use the method's docstring for the generic
971 function's docstring.
973 * emacs-lisp/eieio-core.el (eieio--defalias): Move to eieio-generic.el.
974 (eieio-defclass-autoload): Don't record the superclasses any more.
975 (eieio-defclass-internal): Reuse the old class object if it was just an
977 (eieio--class-precedence-list): Load the class if it's autoloaded.
979 * emacs-lisp/cl-generic.el (cl-generic-ensure-function): It's OK to
980 override an autoload.
981 (cl-generic-current-method-specializers): Replace dyn-bind variable
982 with a lexically-scoped macro.
983 (cl--generic-lambda): Update accordingly.
984 (cl-generic-define-method): Record manually in the load-history with
986 (cl--generic-get-dispatcher): Minor optimization.
987 (cl--generic-search-method): New function.
988 (find-function-regexp-alist): Add entry for `cl-defmethod' type.
989 (cl--generic-search-method): Add hyperlinks for methods. Merge the
990 specializers and the function's arguments.
992 2015-01-16 Artur Malabarba <bruce.connor.am@gmail.com>
994 * emacs-lisp/package.el (package--read-pkg-desc):
995 New function. Read a `define-package' form in current buffer.
996 Return the pkg-desc, with desc-kind set to KIND.
997 (package-dir-info): New function. Find package information for a
998 directory. The return result is a `package-desc'.
999 (package-install-from-buffer): Install packages from dired buffer.
1000 (package-install-file): Install packages from directory.
1001 (package-desc-suffix)
1002 (package-install-from-archive)
1003 * emacs-lisp/package-x.el (package-upload-buffer-internal):
1004 Ensure all remaining instances of `package-desc-kind' handle the 'dir
1007 2015-01-16 Jorgen Schaefer <contact@jorgenschaefer.de>
1009 * emacs-lisp/package.el: Provide repository priorities.
1010 (package-archive-priorities): New variable.
1011 (package--add-to-alist): New function.
1012 (package--add-to-archive-contents): Use it.
1013 (package-menu--find-upgrades): Use it as well. Small clean up to
1014 make the use of the package name here explicit.
1015 (package-archive-priority): New function.
1016 (package-desc-priority-version): New function.
1018 2015-01-16 Daniel Colascione <dancol@dancol.org>
1020 * cus-start.el (all): Make `ring-bell-function' customizable.
1022 2015-01-16 Dmitry Gutov <dgutov@yandex.ru>
1024 * vc/vc-svn.el (vc-svn-dir-status-files): Pass t as
1025 vc-svn-after-dir-status's second argument. (Bug#19429)
1027 2015-01-16 Samer Masterson <samer@samertm.com> (tiny change)
1029 * pcomplete.el (pcomplete-parse-arguments): Parse arguments
1030 regardless of pcomplete-cycle-completions's value. (Bug#18950)
1032 2015-01-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
1034 * dom.el (dom-strings): New function.
1036 * files.el (directory-files-recursively): Don't use the word
1037 "path" for a file name.
1039 2015-01-15 Wolfgang Jenkner <wjenkner@inode.at>
1041 * calc/calc-units.el (math-units-in-expr-p)
1042 (math-single-units-in-expr-p, math-find-compatible-unit-rec)
1043 (math-extract-units): Handle the `neg' operator. (Bug#19582)
1045 2015-01-15 Stefan Monnier <monnier@iro.umontreal.ca>
1047 * emacs-lisp/cl-macs.el (cl--labels-magic): New constant.
1048 (cl--labels-convert): Use it to ask the macro what is its replacement
1051 * emacs-lisp/cl-generic.el (cl--generic-build-combined-method):
1052 Return the value of the primary rather than the after method.
1054 * emacs-lisp/eieio-core.el: Provide support for cl-generic.
1055 (eieio--generic-tagcode): New function.
1056 (cl-generic-tagcode-function): Use it.
1057 (eieio--generic-tag-types): New function.
1058 (cl-generic-tag-types-function): Use it.
1059 (eieio-object-p): Tighten up the test.
1061 * emacs-lisp/cl-generic.el (cl-generic-define-method): Fix paren typo.
1063 2015-01-14 Stefan Monnier <monnier@iro.umontreal.ca>
1065 * emacs-lisp/cl-generic.el: New file.
1067 * emacs-lisp/cl-macs.el (cl-flet): Allow (FUN EXP) forms.
1068 (cl-load-time-value, cl-labels): Use closures rather than
1070 (cl-macrolet): Use `eval' to create the function value, and support CL
1071 style arguments in for the defined macros.
1073 2015-01-14 Stefan Monnier <monnier@iro.umontreal.ca>
1075 * net/eww.el: Use lexical-binding.
1076 (eww-links-at-point): Remove unused arg.
1077 (eww-mode-map): Inherit from special-mode-map.
1078 (eww-mode): Derive from special-mode. Don't use `setq' on a hook.
1080 2015-01-13 Alan Mackenzie <acm@muc.de>
1082 Allow compilation during loading of CC Mode-derived modes (bug#19206).
1083 * progmodes/cc-bytecomp.el (cc-bytecomp-compiling-or-loading):
1084 New function which walks the stack to discover whether we're compiling
1086 (cc-bytecomp-is-compiling): Reformulate, and move towards beginning.
1087 (cc-bytecomp-is-loading): New defsubst.
1088 (cc-bytecomp-setup-environment, cc-bytecomp-restore-environment):
1089 Use the above defsubsts.
1090 (cc-require-when-compile, cc-bytecomp-defvar)
1091 (cc-bytecomp-defun): Simplify conditionals.
1092 * progmodes/cc-defs.el (cc-bytecomp-compiling-or-loading):
1093 "Borrow" this function from cc-bytecomp.el.
1094 (c-get-current-file): Reformulate using the above.
1095 (c-lang-defconst): Prevent duplicate entries of file names in a
1096 symbol's 'source property.
1097 (c-lang-const): Use cc-bytecomp-is-compiling.
1098 * progmodes/cc-langs.el (c-make-init-lang-vars-fun):
1099 Use cc-bytecomp-is-compiling.
1101 2015-01-13 Stefan Monnier <monnier@iro.umontreal.ca>
1103 * emacs-lisp/eieio-core.el (eieio-defclass): Fix call to `defclass'
1106 2015-01-13 Dmitry Gutov <dgutov@yandex.ru>
1108 * menu-bar.el (menu-bar-goto-menu): Before calling
1109 `xref-marker-stack-empty-p', first check that `xref' is loaded.
1112 2015-01-12 Martin Rudalics <rudalics@gmx.at>
1114 * progmodes/xref.el (xref-marker-stack-empty-p): Add autoload
1117 * frame.el (frame-notice-user-settings): Remove code dealing with
1118 frame-initial-frame-tool-bar-height. Turn off `tool-bar-mode'
1119 only if `window-system-frame-alist' or `default-frame-alist' ask
1121 (make-frame): Update frame-adjust-size-history if needed.
1123 2015-01-12 Paul Eggert <eggert@cs.ucla.edu>
1125 Have 'make' output better GEN names
1126 * Makefile.in (PHONY_EXTRAS): New macro.
1127 (.PHONY): Depend on it, and on $(lisp)/loaddefs.el, so that the
1128 relevant files' time stamps are ignored.
1129 (custom-deps, $(lisp)/cus-load.el, finder-data)
1130 ($(lisp)/finder-inf.el): Use PHONY_EXTRAS.
1131 (custom-deps, $(lisp)/cus-load.el, finder-data)
1132 ($(lisp)/finder-inf.el, autoloads, $(lisp)/loaddefs.el)
1133 ($(lisp)/subdirs.el, update-subdirs):
1134 Output more-accurate destination names with GEN.
1136 Say "ELC foo.elc" instead of "GEN foo.elc"
1137 * Makefile.in (AM_V_ELC, am__v_ELC_, am__v_ELC_0, am__v_ELC_1):
1139 ($(THEFILE)c, .el.elc): Use them.
1141 2015-01-11 Michael Albinus <michael.albinus@gmx.de>
1143 * files.el (directory-files-recursively): Do not include
1144 superfluous remote file names.
1146 2015-01-11 Lars Magne Ingebrigtsen <larsi@gnus.org>
1148 * net/eww.el (eww): Interpret anything that looks like a protocol
1149 designator as a full URL.
1151 2015-01-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
1153 * net/shr.el (shr-urlify): Don't bother the user about
1154 invalidly-encoded display strings.
1156 2015-01-10 Ivan Shmakov <ivan@siamics.net>
1158 * net/shr.el (shr-urlify): Decode URLs before using them as titles
1161 2015-01-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
1163 * net/eww.el (eww): Always interpret URLs that start with https?:
1164 as plain URLs, even if they have spaces in them (bug#19556).
1165 (eww): Also interpret things like "en.wikipedia.org/wiki/Free
1166 software" as an URL.
1167 (eww): Don't interpret "org/foo" as an URL.
1168 (eww): Clear the title when loading so that we don't display
1169 misleading information.
1171 2015-01-10 Daniel Colascione <dancol@dancol.org>
1173 * vc/vc-hooks.el (vc-prefix-map): Bind vc-delete-file to C-x v x,
1174 by analogy with dired.
1176 2015-01-09 Daniel Colascione <dancol@dancol.org>
1178 * progmodes/js.el (js--function-heading-1-re)
1179 (js--function-prologue-beginning): Parse ES6 generator function
1180 declarations. (That is, "function* name()").
1182 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1184 * emacs-lisp/eieio.el (defclass): Move from eieio-defclass all the code
1185 that creates functions, and most of the sanity checks.
1186 Mark as obsolete the <class>-child-p function.
1187 * emacs-lisp/eieio-core.el (eieio--define-field-accessors): Remove.
1188 (eieio--class, eieio--object): Use cl-defstruct.
1189 (eieio--object-num-slots): Define manually.
1190 (eieio-defclass-autoload): Use eieio--class-make.
1191 (eieio-defclass-internal): Rename from eieio-defclass. Move all the
1192 `(lambda...) definitions and most of the sanity checks to `defclass'.
1193 Mark as obsolete the <class>-list-p function, the <class> variable and
1194 the <initarg> variables. Use pcase-dolist.
1195 (eieio-defclass): New compatibility function.
1196 * emacs-lisp/eieio-opt.el (eieio-build-class-alist)
1197 (eieio-class-speedbar): Don't use eieio-default-superclass var.
1199 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1201 * emacs-lisp/eieio-generic.el: New file.
1202 * emacs-lisp/eieio-core.el: Move all generic function code to
1204 (eieio--defmethod): Declare.
1206 * emacs-lisp/eieio.el: Require eieio-generic. Move all generic
1207 function code to eieio-generic.el.
1208 * emacs-lisp/eieio-opt.el (eieio-help-generic): Move to
1210 * emacs-lisp/eieio-datadebug.el (eieio-debug-methodinvoke): Update call
1211 to eieio--generic-call.
1212 * emacs-lisp/eieio-base.el (eieio-instance-inheritor): Don't use
1215 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1217 * emacs-lisp/chart.el (chart-add-sequence, chart-bar-quickie):
1218 Don't use <class> as a variable.
1220 * emacs-lisp/eieio.el (same-class-p): Accept class object as well.
1221 (call-next-method): Simplify.
1222 (clone): Obey eieio-backward-compatibility.
1224 * emacs-lisp/eieio-opt.el (eieio-read-generic-p): Remove.
1225 (eieio-read-generic): Use `generic-p' instead.
1227 * emacs-lisp/eieio-core.el (eieio-backward-compatibility): New var.
1228 (eieio-defclass-autoload): Obey it.
1229 (eieio--class-object): Improve error behavior.
1230 (eieio-class-children-fast, same-class-fast-p): Remove. Inline at
1232 (eieio--defgeneric-form-primary-only): Rename from
1233 eieio-defgeneric-form-primary-only; update all callers.
1234 (eieio--defgeneric-form-primary-only-one): Rename from
1235 eieio-defgeneric-form-primary-only-one; update all callers.
1236 (eieio-defgeneric-reset-generic-form)
1237 (eieio-defgeneric-reset-generic-form-primary-only)
1238 (eieio-defgeneric-reset-generic-form-primary-only-one): Remove.
1239 (eieio--method-optimize-primary): New function to replace them.
1240 (eieio--defmethod, eieio-defmethod): Use it.
1241 (eieio--perform-slot-validation): Rename from
1242 eieio-perform-slot-validation; update all callers.
1243 (eieio--validate-slot-value): Rename from eieio-validate-slot-value.
1244 Change `class' to be a class object. Update all callers.
1245 (eieio--validate-class-slot-value): Rename from
1246 eieio-validate-class-slot-value. Change `class' to be a class object.
1248 (eieio-oset-default): Accept class object as well.
1249 (eieio--generic-call-primary-only): Rename from
1250 eieio-generic-call-primary-only. Update all callers.
1252 * emacs-lisp/eieio-base.el (eieio-persistent-validate/fix-slot-value):
1253 Improve error messages.
1254 (eieio-persistent-slot-type-is-class-p): Handle `list-of' types, as
1255 well as user-defined types. Emit errors for legacy types like
1256 <class>-child and <class>-list, if not eieio-backward-compatibility.
1258 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1260 * emacs-lisp/eieio.el (eieio-class-parents): Accept class objects.
1261 (eieio--class-slot-initarg): Rename from class-slot-initarg.
1262 Change `class' arg to be a class object. Update all callers.
1263 (call-next-method): Adjust to new return value of `eieio-generic-form'.
1264 (eieio-default-superclass): Set var to the class object.
1265 (eieio-edebug-prin1-to-string): Fix recursive call for lists.
1266 Change print behavior to affect class objects rather than
1269 * emacs-lisp/eieio-core.el (eieio-class-object): New function.
1270 (eieio-class-parents-fast): Remove macro.
1271 (eieio--class-option-assoc): Rename from class-option-assoc.
1273 (eieio--class-option): Rename from class-option. Change `class' arg to
1274 be a class object. Update all callers.
1275 (eieio--class-method-invocation-order): Rename from
1276 class-method-invocation-order. Change `class' arg to be a class
1277 object. Update all callers.
1278 (eieio-defclass-autoload, eieio-defclass): Set the `parent' field to
1279 a list of class objects rather than names.
1280 (eieio-defclass): Remove redundant quotes. Use `eieio-oref-default'
1281 for accessors to class allocated slots.
1282 (eieio--perform-slot-validation-for-default): Rename from
1283 eieio-perform-slot-validation-for-default. Update all callers.
1284 (eieio--add-new-slot): Rename from eieio-add-new-slot.
1285 Update all callers. Use push.
1286 (eieio-copy-parents-into-subclass): Adjust to new content of
1287 `parent' field. Use dolist.
1288 (eieio-oref): Remove support for providing a class rather than
1290 (eieio-oref-default): Prefer class objects over class names.
1291 (eieio--slot-originating-class-p): Rename from
1292 eieio-slot-originating-class-p. Update all callers. Use `or'.
1293 (eieio--slot-name-index): Turn check into assertion.
1294 (eieio--class-slot-name-index): Rename from
1295 eieio-class-slot-name-index. Change `class' arg to be a class object.
1297 (eieio-attribute-to-initarg): Move to eieio-test-persist.el.
1298 (eieio--c3-candidate): Rename from eieio-c3-candidate.
1300 (eieio--c3-merge-lists): Rename from eieio-c3-merge-lists.
1302 (eieio--class-precedence-c3): Rename from eieio-class-precedence-c3.
1304 (eieio--class-precedence-dfs): Rename from eieio-class-precedence-dfs.
1306 (eieio--class-precedence-bfs): Rename from eieio-class-precedence-bfs.
1307 Update all callers. Adjust to new `parent' content.
1308 (eieio--class-precedence-list): Rename from -class-precedence-list.
1310 (eieio-generic-call): Use autoloadp and autoload-do-load.
1311 Slight simplification.
1312 (eieio-generic-call, eieio-generic-call-primary-only): Adjust to new
1313 return value of `eieio-generic-form'.
1314 (eieiomt-add): Index the hashtable with class objects rather than
1316 (eieio-generic-form): Accept class objects as well.
1318 * emacs-lisp/eieio-base.el (eieio-persistent-convert-list-to-object):
1319 Adjust to new convention for eieio-persistent-validate/fix-slot-value.
1320 (eieio-persistent-validate/fix-slot-value):
1321 Change `class' arg to be a class object. Update all callers.
1323 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1325 * emacs-lisp/eieio.el (child-of-class-p): Make it accept class objects
1326 additionally to class names.
1328 * emacs-lisp/eieio-core.el (eieio--with-scoped-class): Use let-binding.
1329 (object): Remove first (constant) slot; rename second to `class-tag'.
1330 (eieio--object-class-object, eieio--object-class-name): New funs
1331 to replace eieio--object-class.
1332 (eieio--class-object, eieio--class-p): New functions.
1333 (same-class-fast-p): Make it a defsubst, change its implementation
1334 to check the class objects rather than their names.
1335 (eieio-object-p): Rewrite.
1336 (eieio-defclass): Adjust the object initialization according to the new
1338 (eieio--scoped-class): Declare it returns a class object (not a class
1339 name any more). Adjust calls accordingly (along with calls to
1340 eieio--with-scoped-class).
1341 (eieio--slot-name-index): Rename from eieio-slot-name-index and change
1342 its class arg to be a class object. Adjust callers accordingly.
1343 (eieio-slot-originating-class-p): Make its start-class arg a class
1344 object. Adjust all callers.
1345 (eieio--initarg-to-attribute): Rename from eieio-initarg-to-attribute.
1346 Make its `class' arg a class object. Adjust all callers.
1348 * emacs-lisp/eieio-base.el (eieio-persistent-validate/fix-slot-value):
1349 Use eieio--slot-name-index rather than eieio-slot-name-index.
1351 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1353 * emacs-lisp/eieio.el (make-instance): Simplify by not adding an object
1355 (eieio-object-name): Use eieio-object-name-string.
1356 (eieio--object-names): New const.
1357 (eieio-object-name-string, eieio-object-set-name-string): Re-implement
1358 using a hashtable rather than a built-in slot.
1359 (eieio-constructor): Rename from `constructor'. Remove `newname' arg.
1360 (clone): Don't mess with the object's "name".
1362 * emacs-lisp/eieio-custom.el (eieio-widget-test): Remove dummy arg.
1363 (eieio-object-value-get): Use eieio-object-set-name-string.
1365 * emacs-lisp/eieio-core.el (eieio--defalias): Follow aliases.
1366 (eieio--object): Remove `name' field.
1367 (eieio-defclass): Adjust to new convention where constructors don't
1368 take an "object name" any more.
1369 (eieio--defgeneric-init-form, eieio--defmethod): Follow aliases.
1370 (eieio-validate-slot-value, eieio-oset-default)
1371 (eieio-slot-name-index): Don't hardcode eieio--object-num-slots.
1372 (eieio-generic-call-primary-only): Simplify.
1374 * emacs-lisp/eieio-base.el (clone) <eieio-instance-inheritor>:
1375 Use call-next-method.
1376 (eieio-constructor): Rename from `constructor'.
1377 (eieio-persistent-convert-list-to-object): Drop objname.
1378 (eieio-persistent-validate/fix-slot-value): Don't hardcode
1379 eieio--object-num-slots.
1380 (eieio-named): Use a normal slot.
1381 (slot-missing) <eieio-named>: Remove.
1382 (eieio-object-name-string, eieio-object-set-name-string, clone)
1383 <eieio-named>: New methods.
1385 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1387 * emacs-lisp/eieio-core.el (eieio--class-v): Rename from class-v.
1388 (method-*): Add a "eieio--" prefix to those constants.
1390 * emacs-lisp/eieio.el: Move edebug specs to the corresponding macro.
1392 * emacs-lisp/eieio-speedbar.el: Use lexical-binding.
1394 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1396 * emacs-lisp/eieio.el (child-of-class-p): Fix case where `class' is
1397 `eieio-default-superclass'.
1399 * emacs-lisp/eieio-datadebug.el: Use lexical-binding.
1401 * emacs-lisp/eieio-custom.el: Use lexical-binding.
1402 (eieio-object-value-to-abstract): Simplify.
1404 * emacs-lisp/eieio-opt.el (eieio-build-class-list): Use cl-mapcan.
1405 (eieio-build-class-alist): Use dolist.
1406 (eieio-all-generic-functions): Adjust to use of hashtables.
1408 * emacs-lisp/eieio-core.el (class): Rename field symbol-obarray to
1409 symbol-hashtable. It contains a hashtable instead of an obarray.
1410 (generic-p): Use symbol property `eieio-method-hashtable' instead of
1411 `eieio-method-obarray'.
1412 (generic-primary-only-p, generic-primary-only-one-p):
1413 Slight optimization.
1414 (eieio-defclass-autoload-map): Use a hashtable instead of an obarray.
1415 (eieio-defclass-autoload, eieio-defclass): Adjust/simplify accordingly.
1416 (eieio-class-un-autoload): Use autoload-do-load.
1417 (eieio-defclass): Use dolist, cl-pushnew, cl-callf.
1418 Use new cl-deftype-satisfies. Adjust to use of hashtables.
1419 Don't hardcode the value of eieio--object-num-slots.
1420 (eieio-defgeneric-form-primary-only-one): Remove `doc-string' arg.
1421 Use a closure rather than a backquoted lambda.
1422 (eieio--defmethod): Adjust call accordingly. Set doc-string via the
1423 function-documentation property.
1424 (eieio-slot-originating-class-p, eieio-slot-name-index)
1425 (eieiomt--optimizing-hashtable, eieiomt-install, eieiomt-add)
1426 (eieio-generic-form): Adjust to use of hashtables.
1427 (eieiomt--sym-optimize): Rename from eieiomt-sym-optimize; take
1428 additional class argument.
1429 (eieio-generic-call-methodname): Remove, unused.
1431 * emacs-lisp/eieio-base.el (eieio-persistent-slot-type-is-class-p):
1434 2015-01-08 Eli Zaretskii <eliz@gnu.org>
1436 * simple.el (line-move-visual): When converting X pixel coordinate
1437 to temporary-goal-column, adjust the value for right-to-left
1438 screen lines. This fixes vertical-motion, next/prev-line, etc.
1440 2015-01-08 Glenn Morris <rgm@gnu.org>
1442 * files.el (file-tree-walk): Remove; of unknown authorship. (Bug#19325)
1444 2015-01-07 K. Handa <handa@gnu.org>
1446 * international/ccl.el (define-ccl-program): Improve the docstring.
1448 2015-01-06 Sam Steingold <sds@gnu.org>
1450 * shell.el (shell-display-buffer-actions): Remove,
1451 use `display-buffer-alist' instead.
1453 2015-01-05 Dmitry Gutov <dgutov@yandex.ru>
1455 * progmodes/xref.el (xref--insert-xrefs): Add `help-echo' property
1458 2015-01-05 Stefan Monnier <monnier@iro.umontreal.ca>
1460 * minibuffer.el (completion-category-defaults): New var.
1461 Set unicode-name to use substring completion.
1462 (completion-category-defaults): Set it to nil.
1464 2015-01-04 Dmitry Gutov <dgutov@yandex.ru>
1466 Add mouse interaction to xref.
1467 * progmodes/xref.el (xref--button-map): New variable.
1468 (xref--mouse-2): New command.
1469 (xref--insert-xrefs): Add `mouse-face' and `keymap' properties to
1470 the inserted references.
1472 2015-01-04 Paul Eggert <eggert@cs.ucla.edu>
1474 Less 'make' chatter for lisp dir
1475 * Makefile.in (THEFILE): Define to be 'no-such-file' by default,
1476 to make it clearer that the caller must specify it.
1477 (compile-onefile): Remove, replacing by ...
1478 ($(THEFILE)c): ... new rule. This lets us use AM_V_GEN here.
1479 ($(THEFILE)c, .el.elc, $(MH_E_DIR)/mh-loaddefs.el)
1480 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
1481 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
1482 Use AM_V_GEN to lessen 'make' chatter.
1483 (.el.elc): Omit duplicate comment.
1485 Less 'make' chatter in batch mode
1486 * emacs-lisp/autoload.el (autoload-generate-file-autoloads):
1487 * emacs-lisp/bytecomp.el (byte-compile-file):
1488 * files.el (save-buffer, basic-save-buffer):
1489 * international/quail.el (quail-update-leim-list-file):
1490 Don't output messages like "Generating ..." in batch mode.
1492 2015-01-04 Dmitry Gutov <dgutov@yandex.ru>
1494 Unbreak `mouse-action' property in text buttons.
1495 * button.el (push-button): Fix regression from 2012-12-06.
1497 2015-01-03 Dmitry Gutov <dgutov@yandex.ru>
1499 * progmodes/xref.el (xref-marker-stack-empty-p): New function.
1501 * menu-bar.el (menu-bar-goto-menu): Use it.
1503 2015-01-03 Dmitry Gutov <dgutov@yandex.ru>
1505 * progmodes/xref.el (xref--window-configuration): New variable.
1506 (xref-show-location-at-point): New command.
1507 (xref--restore-window-configuration): New function.
1508 (xref-next-line, xref-prev-line): Delegate to
1509 `xref-show-location-at-point'.
1510 (xref--location-at-point): Don't signal the error.
1511 (xref-goto-xref): Do that here instead.
1512 (xref--xref-buffer-mode): Add `xref--restore-window-configuration'
1513 to `pre-command-hook'.
1514 (xref--xref-buffer-mode-map): Don't remap `next-line' and
1515 `previous-line'. Additionally bind `xref-next-line' and
1516 `xref-prev-line' to `n' and `p' respectively.
1517 Bind `xref-show-location-at-point' to `C-o'.
1519 2015-01-01 Eli Zaretskii <eliz@gnu.org>
1521 * tool-bar.el (tool-bar-local-item)
1522 (tool-bar-local-item-from-menu): Call force-mode-line-update to
1523 make sure the tool-bar changes show on display.
1525 2015-01-01 Michael Albinus <michael.albinus@gmx.de>
1527 Sync with Tramp 2.2.11.
1529 * net/tramp-compat.el (top): Require cl-macs for Emacs 22.
1530 Make an alias for `default-toplevel-value' if it doesn't exist.
1532 * net/tramp-smb.el (tramp-smb-handle-copy-directory):
1533 Use `tramp-compat-delete-directory'.
1535 * net/trampver.el: Update release number.
1537 2015-01-01 Filipp Gunbin <fgunbin@fastmail.fm>
1539 * autorevert.el (auto-revert-handler): Fix auto-revert-tail-mode
1540 for remote files. (Bug#19449)
1542 2015-01-01 Simen Heggestøyl <simenheg@gmail.com> (tiny change)
1544 * textmodes/css-mode.el (scss-mode): Fix typo (bug#19446).
1546 2014-12-31 Paul Eggert <eggert@cs.ucla.edu>
1548 Less 'make' chatter in lisp directory
1549 * Makefile.in (AM_DEFAULT_VERBOSITY, AM_V_GEN, am__v_GEN_)
1550 (am__v_GEN_0, am__v_GEN_1): New macros, from ../src/Makefile.in.
1551 (custom-deps, finder-data, autoloads, update-subdirs): Use them.
1553 2014-12-31 Filipp Gunbin <fgunbin@fastmail.fm>
1555 * info.el (info-display-manual): Limit the completion alternatives
1556 to currently visited manuals if prefix argument is non-nil.
1558 2014-12-30 Paul Eggert <eggert@cs.ucla.edu>
1560 * Makefile.in (semantic): Simplify.
1562 2014-12-30 Juri Linkov <juri@linkov.net>
1564 * net/eww.el (eww-isearch-next-buffer): New function.
1565 (eww-mode): Set multi-isearch-next-buffer-function to it.
1567 2014-12-30 Dmitry Gutov <dgutov@yandex.ru>
1569 * progmodes/xref.el (xref-find-definitions): Mention "no
1570 identifier at point" case in the docstring.
1572 * menu-bar.el (menu-bar-goto-uses-etags-p): New function.
1573 (menu-bar-goto-menu): Use it to show or hide the `set-tags-name'
1574 and `separator-tag-file' items.
1576 2014-12-29 Paul Eggert <eggert@cs.ucla.edu>
1578 * obsolete/pc-select.el (pc-selection-mode): Use system-type.
1579 This is instead of system-name, which is both wrong here and obsolete.
1580 * desktop.el (desktop-save-frameset):
1581 * dnd.el (dnd-get-local-file-uri):
1582 * nxml/rng-uri.el (rng-uri-file-name-1):
1583 Prefer (system-name) to system-name, and avoid naming
1584 locals 'system-name'.
1585 * startup.el (system-name): Now an obsolete variable. (Bug#19438)
1587 2014-12-29 Dmitry Gutov <dgutov@yandex.ru>
1589 * menu-bar.el (menu-bar-next-tag-other-window)
1590 (menu-bar-next-tag): Remove.
1592 2014-12-29 K. Handa <handa@gnu.org>
1594 * international/mule.el (make-translation-table-from-alist):
1595 Accept nil or zero-length vector for FROM and TO.
1597 2014-12-29 Lars Ingebrigtsen <larsi@gnus.org>
1599 * net/eww.el (eww-mode): Truncate overlong lines for prettier
1600 display when resizing.
1602 * net/shr.el (shr-width): Default to using the window width when
1605 2014-12-29 Dmitry Gutov <dgutov@yandex.ru>
1607 Unbreak jumping to an alias's definition.
1608 * emacs-lisp/find-func.el (find-function-library): Return a pair
1609 (ORIG-FUNCTION . LIBRARY) instead of just its second element.
1610 (find-function-noselect): Use it.
1611 * progmodes/elisp-mode.el (elisp--xref-identifier-file): Rename to
1612 `elisp--xref-identifier-location', incorporate logic from
1613 `elisp--xref-find-definitions', use the changed
1614 `find-function-library' return value.
1616 2014-12-29 Juri Linkov <juri@linkov.net>
1618 * comint.el (comint-history-isearch-message): Use field-beginning
1619 instead of comint-line-beginning-position - that's more fixes for
1620 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00305.html
1621 (comint-history-isearch-message): Fix args of isearch-message-prefix.
1623 2014-12-29 Juri Linkov <juri@linkov.net>
1625 * vc/vc-dir.el (vc-dir-display-file): New command (bug#19450).
1626 (vc-dir-mode-map): Bind it to "\C-o".
1627 (vc-dir-menu-map): Add it to menu.
1629 2014-12-29 Dmitry Gutov <dgutov@yandex.ru>
1631 * progmodes/etags.el (find-tag-other-window)
1632 (find-tag-other-frame, find-tag-regexp, tags-loop-continue)
1633 (tags-apropos): Declare obsolete.
1635 * menu-bar.el (menu-bar-goto-menu): Replace all but one etags item
1638 2014-12-28 Eli Zaretskii <eliz@gnu.org>
1640 * international/mule.el (define-coding-system): Fix typos in the
1643 2014-12-28 Kenichi Handa <handa@gnu.org>
1645 * international/mule.el (define-coding-system): Improve the doc
1648 2014-12-28 Ivan Shmakov <ivan@siamics.net>
1650 * net/shr.el (shr-tag-table): Fix handling of tbody/header/footer
1651 elements in tables (bug#19444).
1653 * net/eww.el (eww-handle-link): Fix typo in "up" rel handling
1656 2014-12-28 Juri Linkov <juri@linkov.net>
1658 * vc/compare-w.el: Require diff-mode for diff faces.
1659 (compare-windows-removed, compare-windows-added): New faces
1660 inheriting from diff faces.
1661 (compare-windows): Define obsolete face alias.
1662 (compare-windows-highlight): Replace face `compare-windows' with
1663 new faces `compare-windows-added' and `compare-windows-removed'
1665 (compare-windows-get-recent-window): Signal an error when
1666 no other window is found (bug#19170).
1668 2014-12-27 Dmitry Gutov <dgutov@yandex.ru>
1670 * progmodes/elisp-mode.el (elisp--xref-identifier-file):
1671 Skip features that have no sources.
1673 * simple.el (execute-extended-command):
1674 When `suggest-key-bindings' is nil, don't.
1676 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
1678 python.el: Native readline completion.
1679 * progmodes/python.el (python-shell-completion-native-disabled-interpreters)
1680 (python-shell-completion-native-enable)
1681 (python-shell-completion-native-output-timeout): New defcustoms.
1682 (python-shell-completion-native-interpreter-disabled-p)
1683 (python-shell-completion-native-try)
1684 (python-shell-completion-native-setup)
1685 (python-shell-completion-native-turn-off)
1686 (python-shell-completion-native-turn-on)
1687 (python-shell-completion-native-turn-on-maybe)
1688 (python-shell-completion-native-turn-on-maybe-with-msg)
1689 (python-shell-completion-native-toggle): New functions.
1690 (python-shell-completion-native-get-completions): New function.
1691 (python-shell-completion-at-point): Use it.
1693 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
1695 python.el: Enhance shell user interaction and deprecate
1696 python-shell-get-or-create-process.
1697 * progmodes/python.el (python-shell-get-process-or-error):
1699 (python-shell-with-shell-buffer): Use it.
1700 (python-shell-send-string, python-shell-send-region)
1701 (python-shell-send-buffer, python-shell-send-defun)
1702 (python-shell-send-file, python-shell-switch-to-shell): Use it.
1703 Add argument MSG to display user-friendly message when no process
1705 (python-shell-switch-to-shell): Call pop-to-buffer with NORECORD.
1706 (python-shell-make-comint): Rename argument SHOW from POP.
1707 Use display-buffer instead of pop-to-buffer.
1708 (run-python): Doc fix. Return process.
1709 (python-shell-get-or-create-process): Make obsolete.
1711 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
1713 * progmodes/python.el (python-shell-buffer-substring):
1714 Handle cornercase when region sent starts at point-min.
1716 2014-12-27 Eli Zaretskii <eliz@gnu.org>
1718 * language/misc-lang.el (composition-function-table): Add Syriac
1719 characters and also ZWJ/ZWNJ.
1720 See http://lists.gnu.org/archive/html/help-gnu-emacs/2014-12/msg00248.html
1723 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
1725 python.el: Fix message when sending region.
1726 * progmodes/python.el (python-shell-send-region): Rename argument
1727 send-main from nomain. Fix message.
1728 (python-shell-send-buffer): Rename argument send-main from arg.
1730 python.el: Cleanup temp files even with eval errors.
1731 * progmodes/python.el (python-shell-send-file): Make file-name
1732 mandatory. Fix temp file removal in the majority of cases.
1734 python.el: Handle file encoding for shell.
1735 * progmodes/python.el (python-rx-constituents): Add coding-cookie.
1736 (python-shell--save-temp-file): Write file with proper encoding.
1737 (python-shell-buffer-substring): Add coding cookie for detected
1738 encoding to generated content. Fix blank lines when removing
1740 (python-shell-send-file): Handle file encoding.
1741 (python-info-encoding-from-cookie)
1742 (python-info-encoding): New functions.
1744 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
1746 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
1747 Use `tramp-rsh-end-of-line', it ought to be more robust.
1749 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
1751 * progmodes/js.el (js-syntax-propertize): "return" can't be divided
1754 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
1756 * net/tramp.el (tramp-read-passwd): Ignore errors from `auth-source-*'.
1758 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band): Use "\n"
1759 as end-of-line delimeter for passwords, when running on MS Windows.
1761 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
1763 * progmodes/sh-script.el (sh-set-shell): Don't change the global value
1764 of indent-line-function (bug#19433).
1766 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
1768 Fix line numbers on Python shell.
1769 * progmodes/python.el (python-shell--save-temp-file): Do not
1770 append coding cookie.
1771 (python-shell-send-string): Generalize for
1772 python-shell-send-region.
1773 (python--use-fake-loc): Delete var.
1774 (python-shell-buffer-substring): Cleanup fake-loc logic.
1775 (python-shell-send-region): Remove fake-loc logic, simplify.
1777 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
1779 * progmodes/python.el (python-indent-post-self-insert-function):
1780 Make colon to re-indent only for dedenters, handling
1781 multiline-statements gracefully.
1783 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
1785 * net/tramp.el (tramp-handle-insert-file-contents):
1786 Set `find-file-not-found-functions' in case of errors. (Bug#18623)
1788 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
1790 * net/tramp-sh.el (tramp-send-command-and-read): New optional
1792 (tramp-get-remote-path): Use it.
1794 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
1796 * subr.el (redisplay-dont-pause): Mark as obsolete.
1798 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
1800 * net/tramp.el (tramp-error-with-buffer): Call `message' properly.
1801 (tramp-accept-process-output): Use nil as argument for
1802 `accept-process-output', when there is a gateway prepended.
1804 * net/tramp-gw.el (tramp-gw-open-connection): Suppress traces in
1806 (tramp-gw-open-connection): Set process coding system 'binary.
1807 (tramp-gw-open-network-stream): Handle HTTP error 403.
1809 * net/tramp-sh.el (tramp-compute-multi-hops): Suppress traces in
1811 (tramp-maybe-open-connection): Set connection property "gateway".
1813 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
1815 * subr.el (sit-for): Tweak docstring (bug#19381).
1817 2014-12-27 Dmitry Gutov <dgutov@yandex.ru>
1819 * vc/vc-git.el (vc-git-after-dir-status-stage): Move `up-to-date'
1820 stage to after `diff-index' (bug#19386).
1822 2014-12-27 João Távora <joaotavora@gmail.com>
1824 * textmodes/tex-mode.el (tex-insert-quote): Consider and respect
1825 `electric-pair-mode' (bug#19356).
1827 2014-12-27 Dmitry Gutov <dgutov@yandex.ru>
1829 elisp-xref-find: Don't create buffers eagerly.
1831 * progmodes/elisp-mode.el (elisp--identifier-location): Fold back
1832 into `elisp--company-location'.
1833 (elisp--identifier-completion-table): Rename to
1834 `elisp--identifier-completion-table', and do not include just any
1835 symbols with a property list.
1836 (elisp-completion-at-point): Revert the 2014-12-25 change.
1837 (elisp--xref-identifier-file): New function.
1838 (elisp--xref-find-definitions): Use it.
1840 * emacs-lisp/find-func.el (find-function-library): New function,
1841 extracted from `find-function-noselect'.
1843 * progmodes/xref.el (xref-elisp-location): New class.
1844 (xref-make-elisp-location): New function.
1845 (xref-location-marker): New implementation.
1847 2014-12-27 Juri Linkov <juri@linkov.net>
1849 * minibuffer.el (minibuffer-completion-help):
1850 Use shrink-window-if-larger-than-buffer in window-height
1851 when temp-buffer-resize-mode is nil.
1853 * window.el (with-displayed-buffer-window): Remove window-height
1854 from the action alist in the temp-buffer-window-show call
1855 when window-height is handled explicitly afterwards (bug#19355).
1857 2014-12-27 Juri Linkov <juri@linkov.net>
1859 Support subdirectories when saving places in dired.
1860 * saveplace.el (toggle-save-place, save-place-to-alist)
1861 (save-places-to-alist, save-place-dired-hook):
1862 Use dired-current-directory instead of dired-directory (bug#19436).
1863 (save-place-dired-hook): Add check for alist to make the new
1864 format future-proof to allow other possible formats.
1866 2014-12-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
1868 python.el: Generate clearer shell buffer names.
1869 * progmodes/python.el (python-shell-get-process-name)
1870 (python-shell-internal-get-process-name): Use `buffer-name`.
1871 (python-shell-internal-get-or-create-process): Simplify.
1873 2014-12-26 Dmitry Gutov <dgutov@yandex.ru>
1875 Add basic xref apropos implementation to elisp-mode.
1877 * progmodes/elisp-mode.el (elisp--xref-find-definitions):
1878 Filter out nil results.
1879 (elisp--xref-find-apropos): New function.
1880 (elisp-xref-find): Use it.
1882 * progmodes/xref.el (xref--show-xrefs): Use `user-error'.
1884 2014-12-25 Filipp Gunbin <fgunbin@fastmail.fm>
1886 * dired-aux.el (dired-maybe-insert-subdir):
1887 Make dired-maybe-insert-subdir always skip trivial files.
1889 2014-12-25 Helmut Eller <eller.helmut@gmail.com>
1890 Dmitry Gutov <dgutov@yandex.ru>
1892 Consolidate cross-referencing commands.
1894 Move autoloaded bindings for `M-.', `M-,', `C-x 4 .' and
1895 `C-x 5 .' from etags.el to xref.el.
1897 * progmodes/xref.el: New file.
1899 * progmodes/elisp-mode.el (elisp--identifier-types): New variable.
1900 (elisp--identifier-location): New function, extracted from
1901 `elisp--company-location'.
1902 (elisp--company-location): Use it.
1903 (elisp--identifier-completion-table): New variable.
1904 (elisp-completion-at-point): Use it.
1905 (emacs-lisp-mode): Set the local values of `xref-find-function'
1906 and `xref-identifier-completion-table-function'.
1907 (elisp-xref-find, elisp--xref-find-definitions)
1908 (elisp--xref-identifier-completion-table): New functions.
1910 * progmodes/etags.el (find-tag-marker-ring): Mark obsolete in
1911 favor of `xref--marker-ring'.
1912 (tags-lazy-completion-table): Autoload.
1913 (tags-reset-tags-tables): Use `xref-clear-marker-stack'.
1914 (find-tag-noselect): Use `xref-push-marker-stack'.
1915 (pop-tag-mark): Make an alias for `xref-pop-marker-stack'.
1916 (etags--xref-limit): New constant.
1917 (etags-xref-find, etags--xref-find-definitions): New functions.
1919 2014-12-25 Martin Rudalics <rudalics@gmx.at>
1921 * cus-start.el (resize-mini-windows): Make it customizable.
1923 2014-12-24 Stephen Leake <stephen_leake@stephe-leake.org>
1925 * startup.el (fancy-about-text): Change buttons for etc/CONTRIBUTE
1926 to (info "(emacs)Contributing"). (Bug#19299)
1928 2014-12-24 Martin Rudalics <rudalics@gmx.at>
1930 * window.el (mouse-autoselect-window-position-1): New variable.
1931 (mouse-autoselect-window-cancel)
1932 (mouse-autoselect-window-select, handle-select-window):
1933 With delayed autoselection select window only if mouse moves after
1934 selecting its frame.
1936 2014-12-24 Michael Albinus <michael.albinus@gmx.de>
1938 * eshell/esh-ext.el (eshell-find-interpreter): Expand relative
1939 remote file names. (Bug#18782)
1941 2014-12-23 Sam Steingold <sds@gnu.org>
1943 * shell.el (shell-display-buffer-actions): New user option.
1944 (shell): Pass it to `pop-to-buffer' instead of hard-coding
1945 `pop-to-buffer-same-window'.
1947 2014-12-23 Stefan Monnier <monnier@iro.umontreal.ca>
1949 * progmodes/js.el (js--syntax-propertize-regexp-syntax-table): New var.
1950 (js-syntax-propertize-regexp): Use it to recognize "slash in
1951 a character class" (bug#19397).
1953 2014-12-22 Stefan Monnier <monnier@iro.umontreal.ca>
1955 * completion.el: Use post-self-insert-hook (bug#19400).
1956 (completion-separator-self-insert-command)
1957 (completion-separator-self-insert-autofilling): Remove.
1958 (completion-separator-chars): New var.
1959 (completion-c-mode-hook, completion-setup-fortran-mode): Use it instead
1960 of changing the keymap.
1961 (completion--post-self-insert): New function.
1962 (dynamic-completion-mode): Use it instead of rebinding keys.
1963 (cmpl--completion-string): Rename from completion-string.
1964 (add-completion-to-head, delete-completion): Let-bind it explicitly.
1966 2014-12-22 Bozhidar Batsov <bozhidar@batsov.com>
1968 * progmodes/ruby-mode.el (ruby--string-region): Simplify code
1969 by leveraging `syntax-ppss'.
1971 2014-12-22 Artur Malabarba <bruce.connor.am@gmail.com>
1973 * let-alist.el (let-alist): Use `make-symbol' instead of `gensym'.
1975 2014-12-20 Michael Albinus <michael.albinus@gmx.de>
1977 * net/tramp-sh.el (tramp-histfile-override): Add :version.
1979 2014-12-20 Teodor Zlatanov <tzz@lifelogs.com>
1981 * net/tramp-sh.el (tramp-histfile-override): Clarify docstring.
1983 2014-12-19 Artur Malabarba <bruce.connor.am@gmail.com>
1985 * let-alist.el (let-alist): Enable access to deeper alists by
1986 using dots inside the dotted symbols.
1988 2014-12-19 Alan Mackenzie <acm@muc.de>
1990 Make C++11 uniform init syntax work.
1991 New keywords "final" and "override".
1992 * progmodes/cc-engine.el (c-back-over-member-initializer-braces):
1994 (c-guess-basic-syntax): Set `containing-sex' and `lim' using the
1996 * progmodes/cc-fonts.el (c-font-lock-declarations): Check more
1997 carefully for "are we at a declarator?" using
1998 c-back-over-member-initializers.
1999 * progmodes/cc-langs.el (c-type-modifier-kwds): Include "final"
2000 and "override" in the C++ value.
2002 2014-12-19 Martin Rudalics <rudalics@gmx.at>
2004 * textmodes/ispell.el (ispell-command-loop): Don't use `next-window'.
2006 2014-12-21 Lars Ingebrigtsen <larsi@gnus.org>
2008 * net/nsm.el (nsm-save-host): Don't save the host name twice
2011 2014-12-18 Sam Steingold <sds@gnu.org>
2013 Keyboard interface (C-f10) to `mouse-buffer-menu' (C-down-mouse-1).
2014 * mouse.el (mouse-buffer-menu-map): Extract from `mouse-buffer-menu'.
2015 (mouse-buffer-menu): Use `mouse-buffer-menu-map'.
2016 * menu-bar.el (menu-bar-buffer-vector): Extract from
2017 `menu-bar-update-buffers'.
2018 (menu-bar-update-buffers): Use `menu-bar-buffer-vector'.
2019 (buffer-menu-open): New user command, bound globally to C-f10,
2020 provides a keyboard interface to `mouse-buffer-menu' (C-down-mouse-1).
2021 (mouse-buffer-menu-keymap): Use `menu-bar-buffer-vector' to
2022 convert the value returned by `mouse-buffer-menu-map' to a list
2023 acceptable to `popup-menu' for `buffer-menu-open'.
2025 2014-12-18 Artur Malabarba <bruce.connor.am@gmail.com>
2027 * let-alist.el (let-alist): Evaluate the `alist' argument only once.
2029 2014-12-18 Sam Steingold <sds@gnu.org>
2031 * emacs-lisp/package.el: Avoid compilation warning by declaring
2032 the `find-library-name' function.
2033 (package-activate-1): Fix the `with-demoted-errors' calls:
2034 the first argument must be a string literal.
2036 2014-12-18 Martin Rudalics <rudalics@gmx.at>
2038 Add code for "preserving" window sizes.
2039 * dired.el (dired-pop-to-buffer): Call fit-window-to-buffer with
2041 (dired-mark-pop-up): Preserve size of window showing marked files.
2042 * electric.el (Electric-pop-up-window):
2043 * help.el (resize-temp-buffer-window): Call fit-window-to-buffer
2044 with `preserve-size' t.
2045 * minibuffer.el (minibuffer-completion-help):
2046 Use `resize-temp-buffer-window' instead of `fit-window-to-buffer'
2047 (Bug#19355). Preserve size of completions window.
2048 * register.el (register-preview): Preserve size of register
2050 * tmm.el (tmm-add-prompt): Call fit-window-to-buffer
2051 with `preserve-size' t (Bug#1291).
2052 * window.el (with-displayed-buffer-window): Add calls to
2053 `window-preserve-size'.
2054 (window-min-pixel-size, window--preservable-size)
2055 (window-preserve-size, window-preserved-size)
2056 (window--preserve-size, window--min-size-ignore-p): New functions.
2057 (window-min-size, window-min-delta, window--resizable)
2058 (window--resize-this-window, split-window-below)
2059 (split-window-right): Amend doc-string.
2060 (window--min-size-1, window-sizable, window--size-fixed-1)
2061 (window-size-fixed-p, window--min-delta-1)
2062 (frame-windows-min-size, window--max-delta-1, window-resize)
2063 (window--resize-child-windows, window--resize-siblings)
2064 (enlarge-window, shrink-window, split-window): Handle preserving
2066 (adjust-window-trailing-edge): Handle preserving window
2067 sizes. Signal user-error instead of an error when there's no
2068 window above or below.
2069 (window--state-put-2): Handle horizontal scroll bars.
2070 (window--display-buffer): Call `preserve-size' if asked for.
2071 (display-buffer): Mention `preserve-size' alist member in doc-string.
2072 (fit-window-to-buffer): New argument PRESERVE-SIZE.
2073 * textmodes/ispell.el (ispell-command-loop): Suppress horizontal
2074 scroll bar on ispell's windows. Don't count window lines and
2075 don't deal with dedicated windows.
2076 (ispell-show-choices, ispell-help): Let `ispell-display-buffer'
2077 do the window handling.
2078 (ispell-adjusted-window-height, ispell-overlay-window): Remove.
2079 (ispell-display-buffer): New function to reuse, create and fit
2080 window to ispell's buffers. (Bug#3413)
2082 2014-12-18 Dmitry Gutov <dgutov@yandex.ru>
2084 * emacs-lisp/package.el (package-activate): Do not re-activate or
2085 reload the dependencies (bug#19390).
2087 2014-12-18 Stefan Monnier <monnier@iro.umontreal.ca>
2089 * progmodes/cc-cmds.el (c-subword-mode): Alias to subword-mode.
2090 (c-update-modeline):
2091 * progmodes/cc-langs.el (c-mode-menu): Use c-subword-mode.
2092 * progmodes/cc-mode.el (subword-mode): Move autoload to cc-cmds.el.
2093 (c-mode-base-map): Use c-subword-mode.
2095 2014-12-18 Eli Zaretskii <eliz@gnu.org>
2097 * international/mule-diag.el (describe-font-internal):
2098 Display additional info returned by font-info.
2100 * linum.el (linum--face-width): Rename from linum--face-height,
2101 and use the new functionality of font-info.
2102 (linum-update-window): Use linum--face-width and frame-char-width,
2103 instead of approximating with height.
2105 2014-12-18 Dmitry Gutov <dgutov@yandex.ru>
2107 * vc/vc-svn.el (vc-svn-dir-status-files): Revert the 2014-12-02
2108 change (bug#19387). Use `apply' on `vc-dir-command' (bug#19405).
2110 * emacs-lisp/package.el (package-activate-1): Add RELOAD argument
2112 (package-activate): Call itself on dependencies on PACKAGE with
2113 the same FORCE argument. Pass FORCE as RELOAD into
2114 `package-activate-1' (bug#19390).
2116 2014-12-17 Sam Steingold <sds@gnu.org>
2118 * emacs-lisp/package.el (package--list-loaded-files):
2119 Handle `(nil ...)' elements in `load-history'.
2121 2014-12-17 Teodor Zlatanov <tzz@lifelogs.com>
2123 * net/tramp-sh.el (tramp-histfile-override): New variable.
2124 (tramp-open-shell, tramp-maybe-open-connection): Use it.
2126 2014-12-17 Dmitry Gutov <dgutov@yandex.ru>
2128 * vc/vc.el: Improve `dir-status-files' description.
2130 * emacs-lisp/package.el (package--list-loaded-files): Don't call
2131 file-truename on load-history elements (bug#19390).
2133 2014-12-16 Nicolas Petton <petton.nicolas@gmail.com>
2135 * emacs-lisp/seq.el: New file.
2137 2014-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
2139 * jit-lock.el (jit-lock-function): Don't defer if jit-lock-defer-time
2140 is 0 and there is no input pending.
2142 2014-12-15 Juri Linkov <juri@linkov.net>
2144 * replace.el (query-replace-read-from): Use query-replace-compile-replacement
2145 only on the return value (bug#19383).
2147 2014-12-15 Juri Linkov <juri@linkov.net>
2149 * isearch.el (isearch-lazy-highlight-search): Extend the bound of
2150 the wrapped search by the length of the search string to be able
2151 to lazy-highlight the whole search string at point (bug#19353).
2153 2014-12-15 Lars Magne Ingebrigtsen <larsi@gnus.org>
2155 * net/shr.el (shr-fold-text): Don't bug out on zero-length text.
2157 2014-12-14 Alan Mackenzie <acm@muc.de>
2159 * cus-start.el (all): Add fast-but-imprecise-scrolling.
2161 2014-12-14 Artur Malabarba <bruce.connor.am@gmail.com>
2163 * let-alist.el: Add lexical binding.
2165 2014-12-14 Steve Purcell <steve@sanityinc.com> (tiny change)
2167 * emacs-lisp/package.el (package-menu-mode): Use an extra column
2168 for the "Version" column, to accomodate date-and-time-based versions.
2170 2014-12-14 Cameron Desautels <camdez@gmail.com>
2172 * cus-edit.el (custom-unsaved-options): New function, extracted
2173 from `customize-unsaved'.
2174 (custom-unsaved): Use it.
2175 (custom-prompt-customize-unsaved-options): New function.
2178 2014-12-14 Dmitry Gutov <dgutov@yandex.ru>
2180 * fringe.el (fringe-bitmap-p): Fix 2014-12-05 breakage.
2182 2014-12-14 Dmitry Gutov <dgutov@yandex.ru>
2184 Move ASYNC argument to the `diff' VC command to the fifth
2185 position, for better compatibility with existing third-party code,
2188 * vc/vc.el (vc-diff-internal): Pass `async' argument to the
2189 backend `diff' command in the last position.
2191 * vc/vc-svn.el (vc-svn-diff):
2192 * vc/vc-src.el (vc-src-diff):
2193 * vc/vc-sccs.el (vc-sccs-diff):
2194 * vc/vc-rcs.el (vc-rcs-diff):
2195 * vc/vc-mtn.el (vc-mtn-diff):
2196 * vc/vc-hg.el (vc-hg-diff):
2197 * vc/vc-git.el (vc-git-diff):
2198 * vc/vc-dav.el (vc-dav-diff):
2199 * vc/vc-cvs.el (vc-cvs-diff):
2200 * vc/vc-bzr.el (vc-bzr-diff):
2201 * obsolete/vc-arch.el (vc-arch-diff): Move ASYNC argument to the end.
2203 2014-12-14 Paul Eggert <eggert@cs.ucla.edu>
2205 * emacs-lisp/cconv.el (cconv--analyze-use):
2206 Rename from cconv--analyse-use.
2207 (cconv--analyze-function): Rename from cconv--analyse-function.
2208 (cconv-analyze-form): Rename from cconv-analyse-form.
2210 2014-12-13 Andreas Schwab <schwab@linux-m68k.org>
2212 * net/shr.el (shr-next-link): Don't error out at eob.
2214 2014-12-05 Nicolas Richard <theonewiththeevillook@yahoo.fr>
2216 * isearch.el (isearch-open-necessary-overlays): Open overlay
2217 ending at point (bug#19333).
2219 2014-12-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
2221 * net/shr.el (shr-fold-text): New function.
2222 (shr-show-alt-text, shr-urlify, shr-tag-img): Use it to fold long
2224 (shr-fold-text): Inhibit state from being altered.
2226 * files.el (directory-files-recursively): Really check whether
2228 (directory-name-p): New function.
2229 (directory-files-recursively): Use it.
2231 2014-12-13 Artur Malabarba <bruce.connor.am@gmail.com>
2233 * emacs-lisp/package.el (package--list-loaded-files): New function
2234 to list files in a given directory which correspond to already
2236 (package-activate-1): Reload files given by `package--list-loaded-files'.
2237 Fix bug#10125, bug#18443, and bug#18448.
2239 2014-12-13 Eric S. Raymond <esr@snark.thyrsus.com>
2241 * vc/vc-svn.el (vc-svn-diff): Fix bug #19312.
2243 2014-12-13 Michael Albinus <michael.albinus@gmx.de>
2245 * simple.el (password-word-equivalents): Add "passcode", used for
2246 numeric secrets like PINs or RSA tokens.
2248 2014-12-13 Michael Albinus <michael.albinus@gmx.de>
2250 * net/tramp-sh.el (tramp-get-remote-path): Use a login shell in
2251 order to determine `tramp-own-remote-path'.
2253 2014-12-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
2255 * progmodes/python.el (python-shell-parse-command):
2256 Quote `python-shell-interpreter`. (Bug#19289)
2258 2014-12-12 Stefan Monnier <monnier@iro.umontreal.ca>
2260 * progmodes/python.el (python-indent-line): Use `noindent' in strings.
2261 (python-indent-levels): Document extra value.
2262 (python-indent-calculate-indentation): Return `noindent' in strings.
2263 (python-indent-post-self-insert-function)
2264 (python-indent-calculate-levels): Handle new value.
2266 2014-12-12 Lars Magne Ingebrigtsen <larsi@gnus.org>
2268 * net/network-stream.el (network-stream-open-starttls): No need to
2269 check for the availability of `gnutls-available-p'.
2271 * files.el (directory-files-recursively): Don't follow symlinks to
2274 2014-12-12 Eric S. Raymond <esr@snark.thyrsus.com>
2276 * vc/vc-dav.el, vc/vc-git.el, vc/vc-hg.el, vc/vc-src.el:
2277 * vc/vc.el: latest-on-branch-p is no longer a public method.
2279 * vc/vc.el, vc/vc-hg.el, vc/vc-git.el, vc/vc-hooks.el:
2280 * vc/vc-mtn.el, vc/vc-rcs.el, vc/vc-sccs.el, vc/vc-src.el:
2281 Remove `rollback' method, to be replaced in the future by uncommit.
2283 2014-12-11 Michael Albinus <michael.albinus@gmx.de>
2285 * vc/vc-hg.el (vc-hg-state): Make FILE absolute. Handle the case
2286 that there is empty output.
2288 2014-12-11 Stefan Monnier <monnier@iro.umontreal.ca>
2290 * emacs-lisp/eldoc.el (eldoc-documentation-function): Change default.
2291 (eldoc-mode, eldoc-schedule-timer): Adjust to new default.
2293 2014-12-10 Artur Malabarba <bruce.connor.am@gmail.com>
2295 * let-alist.el: Add new package and macro.
2297 2014-12-10 Eric S. Raymond <esr@snark.thyrsus.com>
2299 * vc/vc-dispatcher.el, vc/vc-hooks.el, vc/vc-rcs.el:
2300 * vc/vc-sccs.el, vc/vc.el: Righteous featurectomy of vc-keep-workfiles,
2301 it's a shoot-self-in-foot archaism. Workfiles are always kept.
2303 2014-12-10 Rasmus Pank Roulund <emacs@pank.eu>
2305 * net/ange-ftp.el (ange-ftp-switches-ok): Disallow flags causing
2306 trouble with ls over ftp. These flags result in ls returning no
2307 output, causing Tramp-breakage. (bug#19192)
2309 2014-12-10 Andreas Schwab <schwab@suse.de>
2311 * files.el (file-tree-walk): Use file-name-as-directory unconditionally.
2313 2014-12-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
2315 * files.el (directory-files-recursively):
2316 Use `file-name-all-completions' instead of `directory-files' for
2319 * net/shr.el (shr-tag-object): Don't bug out on text elements in
2322 2014-12-09 Bozhidar Batsov <bozhidar@batsov.com>
2324 * progmodes/ruby-mode.el (auto-mode-alist): Add .rabl, Berksfile
2326 (ruby-toggle-string-quotes): New command that allows you to quickly
2327 toggle between single-quoted and double-quoted string literals.
2329 2014-12-09 Eric S. Raymond <esr@snark.thyrsus.com>
2331 * vc/vc-src.el (vc-src-do-comand): Prepend -- to file argument
2332 list, avoids problems witt names containing hyphens.
2334 2014-12-09 Wilson Snyder <wsnyder@wsnyder.org>
2336 Sync with upstream verilog-mode revision aa4b777.
2337 * progmodes/verilog-mode.el (verilog-mode-version): Update.
2338 (verilog-auto-end-comment-lines-re, verilog-end-block-ordered-re)
2339 (verilog-set-auto-endcomments): Automatically comment property/
2340 endproperty blocks to match other similar blocks like sequence/
2341 endsequence, function/endfunction, etc. Reported by Alex Reed.
2342 (verilog-set-auto-endcomments): Fix end comments for functions of
2343 type void, etc. Detect the function- or task-name when
2344 auto-commenting blocks that lack an explicit portlist.
2345 Reported by Alex Reed.
2346 (verilog-nameable-item-re): Fix nameable items that can have an
2347 end-identifier to include endchecker, endgroup, endprogram,
2348 endproperty, and endsequence. Reported by Alex Reed.
2349 (verilog-preprocessor-re, verilog-beg-of-statement):
2350 Fix indentation of property/endproperty around pre-processor
2351 directives. Reported by Alex Reed.
2352 (verilog-label-be): When auto-commenting a buffer, consider
2353 auto-comments on all known keywords (not just a subset thereof).
2354 Reported by Alex Reed.
2355 (verilog-beg-of-statement): Fix labeling do-while blocks, bug842.
2356 Reported by Alex Reed.
2357 (verilog-beg-of-statement-1, verilog-at-constraint-p):
2358 Fix hanging with many curly-bracket pairs, bug663.
2359 (verilog-do-indent): Fix electric tab deleting form-feeds.
2360 Note caused by indent-line-to deleting tabls pre 24.5.
2361 (verilog-auto-output, verilog-auto-input, verilog-auto-inout)
2362 (verilog-auto-inout-module, verilog-auto-inout-in): Doc fixes.
2363 (verilog-read-always-signals, verilog-auto-sense-sigs)
2364 (verilog-auto-reset): Fix AUTORESET with always_comb and always_latch,
2365 bug844. Reported by Greg Hilton.
2367 2014-12-09 Alex Reed <acreed4@gmail.com> (tiny change)
2369 * progmodes/verilog-mode.el (verilog-no-indent-begin-re):
2370 Fix `verilog-indent-begin-after-if' nil not honoring 'forever',
2371 'foreach', and 'do' keywords.
2372 (verilog-endcomment-reason-re, verilog-beg-of-statement):
2373 Fix labeling do-while blocks, bug842.
2374 (verilog-backward-token): Fix indenting sensitivity lists with
2375 named events, bug840.
2377 2014-12-09 Reto Zimmermann <reto@gnu.org>
2379 Sync with upstream vhdl mode v3.36.1.
2380 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
2381 (vhdl-compiler-alist): Anchor all error regexps.
2382 (vhdl-compile-use-local-error-regexp): Change default to nil.
2383 (vhdl-asort, vhdl-anot-head-p): Remove.
2384 (vhdl-aput, vhdl-adelete, vhdl-aget): Simplify.
2385 Remove optional argument of vhdl-aget and update all callers.
2386 (vhdl-import-project): Also set `vhdl-compiler'.
2388 2014-12-09 Lars Magne Ingebrigtsen <larsi@gnus.org>
2390 * files.el (find-files): New function.
2392 * net/shr.el (shr-dom-print): Don't print comments.
2393 (shr-tag-svg): Give inline SVG images the right type.
2395 * net/eww.el (eww-update-header-line-format): Mark valid/invalid
2396 certificates in the header line.
2397 (eww-invalid-certificate, eww-valid-certificate): New faces.
2399 2014-12-09 Fabián Ezequiel Gallina <fgallina@gnu.org>
2401 * progmodes/python.el (inferior-python-mode):
2402 Set `comint-prompt-read-only` to `t` only locally.
2404 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
2406 * net/nsm.el (nsm-check-protocol): Test for RC4 on `high'.
2407 (nsm-format-certificate): Include more data about the connection.
2408 (nsm-query): Fill the text to that it looks nicer.
2409 (nsm-check-protocol): Also warn if using SSL3 or older.
2411 2014-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
2413 * progmodes/gud.el (gud-gdb-completions): Remove unused var `start'.
2415 * obsolete/gulp.el (gulp-create-m-p-alist): Remove unused var `mnt-tm'.
2417 * net/tramp.el (tramp-handle-make-symbolic-link): Mark unused arg.
2419 * info.el (Info-mode-map): Remove left-over binding.
2421 * emacs-lisp/avl-tree.el: Use lexical-binding and cl-lib.
2422 (avl-tree--root): Remove redundant defsetf.
2424 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
2426 * net/nsm.el (network-security-level): Remove the detailed
2427 description, which was already outdated, and refer the users to
2429 (nsm-check-protocol): Check for weak Diffie-Hellman prime bits
2432 2014-12-06 Andrey Kotlarski <m00naticus@gmail.com>
2434 * net/eww.el (eww-buffers-mode): New major mode.
2435 (eww-list-buffers, eww-buffer-select, eww-buffer-show-next)
2436 (eww-buffer-show-previous, eww-buffer-kill, eww-buffer-show):
2437 New commands/functions (bug#19131).
2439 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
2441 * net/gnutls.el (gnutls-negotiate): Ignore files found via
2442 'file-name-handler-alist' since the gnutls library can't use those
2445 2014-12-08 Dmitry Gutov <dgutov@yandex.ru>
2447 * vc/vc-hg.el (vc-hg-dir-status-files): Only include ignores files
2448 when FILES is non-nil (bug#19304).
2450 2014-12-08 Eric S. Raymond <esr@snark.thyrsus.com>
2452 * vc/vc-arch.el: Move to obsolete directory so a test framework
2453 won't trip over bit-rot in it. There has been no Arch snapshot
2456 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
2458 * net/eww.el (eww-follow-link): Revert prefix behaviour to
2460 (eww-copy-page-url): Add doc string.
2462 2014-12-07 Ivan Shmakov <ivan@siamics.net>
2464 * net/eww.el (eww): Move history recording here...
2465 (eww-browse-url): ... from here (bug#19253).
2467 * net/eww.el (eww-browse-url): Use generate-new-buffer (was:
2468 iterating over possible buffer names.)
2470 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
2472 * net/eww.el (eww-reload): Take a prefix to work locally (bug#19086).
2473 (eww-current-buffer): Compilation fix for bug#18550 patch.
2475 2014-12-07 Ivan Shmakov <ivan@siamics.net>
2477 * net/eww.el (eww-list-histories): Restore the history in the
2478 correct buffer (bug#18550).
2480 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
2482 * net/eww.el (eww-bookmark-prepare): Display URLs in first by
2483 displaying shortened titles first (bug#16398).
2485 2014-12-07 Tom Willemse <tom@ryuslash.org> (tiny change)
2487 * progmodes/python.el: Recognize docstrings.
2488 (python-docstring-at-p, python-font-lock-syntactic-face-function):
2490 (python-mode): Use them.
2492 2014-12-06 Ulf Jasper <ulf.jasper@web.de>
2494 * net/newst-treeview.el (newsticker--treeview-list-add-item)
2495 (newsticker--treeview-propertize-tag): Bind tree menu to mouse-3.
2496 (newsticker--treeview-create-groups-menu)
2497 (newsticker--treeview-create-tree-menu): Remove.
2498 (newsticker--treeview-tree-open-menu): New.
2499 (newsticker-treeview-tree-click): Pass event to
2500 `newsticker-treeview-tree-do-click'.
2501 (newsticker-treeview-tree-do-click): Open treemenu on mouse-3.
2503 2014-12-05 Juri Linkov <juri@linkov.net>
2505 * comint.el (comint-history-isearch-search)
2506 (comint-history-isearch-wrap): Use field-beginning instead of
2507 comint-line-beginning-position.
2508 (comint-send-input): Go to the end of the field instead of the end
2509 of the line to accept whole multi-line input.
2510 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00305.html
2512 2014-12-05 Juri Linkov <juri@linkov.net>
2514 * minibuffer.el (minibuffer-completion-help):
2515 Compare selected-window with minibuffer-window to check whether
2516 completions should be displayed near the minibuffer. (Bug#17809)
2517 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00311.html
2519 2014-12-05 Michael Albinus <michael.albinus@gmx.de>
2521 * vc/vc-mtn.el (vc-mtn-root):
2522 * vc/vc-svn.el (vc-svn-registered): Make FILE absolute.
2524 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
2526 * progmodes/sh-script.el (sh-smie-sh-rules): Go back to the beginning
2527 of the whole pipe when indenting an opening keyword after a |.
2528 Generalize this treatment to opening keywords like "while" (bug#18031).
2530 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
2532 * simple.el (newline): Place the hook buffer-locally,
2533 to make sure it's first.
2535 * progmodes/prog-mode.el (prettify-symbols--compose-symbol):
2536 Fix handling of symbols with different syntax at beginning/end or with
2537 symbol rather than word syntax.
2539 2014-12-05 Eli Zaretskii <eliz@gnu.org>
2541 * simple.el (line-move): If noninteractive, call line-move-1, not
2542 forward-line, since the former is compatible with line-move-visual
2543 both in terms of the column to which it moves and the return
2546 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
2548 * vc/ediff-init.el (ediff-odd-p): Remove.
2549 (ediff-background-face): Use cl-oddp instead.
2550 (ediff-buffer-live-p): Make it a defsubst.
2552 * tooltip.el (tooltip-region-active-p): Remove.
2554 * net/shr.el (shr-char-breakable-p, shr-char-kinsoku-bol-p)
2555 (shr-char-kinsoku-eol-p, shr-char-nospace-p): Use define-inline.
2557 * fringe.el (fringe-bitmap-p): Make it a plain function.
2559 * emacs-lisp/eieio-core.el: Prefer inlinable functions over macros.
2560 (class-p, generic-p, eieio-object-p, class-abstract-p):
2561 Make them defsubst, so as to avoid corner case problems where
2562 the arg might be evaluated in the condition-case, or it can't be passed
2563 to higher-order functions like `cl-some'.
2565 2014-12-05 Nicolas Richard <theonewiththeevillook@yahoo.fr>
2567 * wid-edit.el (widget-choose): Let numeric keypad work (bug#19268)
2568 and remove old menu-related code.
2570 2014-12-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
2572 * net/eww.el (eww-display-pdf): Let mailcap determine how to
2573 display PDF files (bug#19270).
2575 2014-12-05 Juri Linkov <juri@linkov.net>
2577 Compare with the most recent window by default.
2578 * vc/compare-w.el (compare-windows-get-window-function): New defcustom.
2579 (compare-windows-get-recent-window)
2580 (compare-windows-get-next-window): New functions.
2581 (compare-windows, compare-windows-sync-default-function):
2582 Use `compare-windows-get-window-function' instead of `next-window'.
2583 (compare-windows): Add diff/match messages with region boundaries.
2586 2014-12-04 Stefan Monnier <monnier@iro.umontreal.ca>
2588 * subr.el (filter): Remove. Use `cl-remove-if-not' or `seq-filter'.
2590 2014-12-04 Lars Magne Ingebrigtsen <larsi@gnus.org>
2592 * net/shr.el (shr--extract-best-source): Ignore non-text children.
2594 2014-12-04 Eli Zaretskii <eliz@gnu.org>
2596 Implement copying of a buffer portion while preserving visual order.
2597 * simple.el (bidi-directional-controls-chars)
2598 (bidi-directional-non-controls-chars): New variables.
2599 (squeeze-bidi-context-1, squeeze-bidi-context)
2600 (line-substring-with-bidi-context)
2601 (buffer-substring-with-bidi-context): New functions.
2603 * files.el (file-tree-walk): Doc fix.
2605 2014-12-04 Rupert Swarbrick <ruperts@broadcom.com> (tiny change)
2606 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
2608 * autoinsert.el (auto-insert-alist): Update C/C++ header and
2609 program support to match more extensions. Replace non-alnum
2610 characters when generating include guards (headers) and check for
2611 more extensions when generating includes (programs)
2614 2014-12-03 Eric S. Raymond <esr@snark.thyrsus.com>
2616 * files.el (file-tree-walk): Fix docstring.
2618 2014-12-03 Karl Fogel <kfogel@red-bean.com>
2620 Fix bug whereby saving files hung in VC hook.
2622 Saving a buffer visiting a file under SVN control would hang if
2623 the remote repository were unreachable, because the VC hooks tried
2624 to run "svn status -u" on the file, where the "-u" tells svn to
2625 get update information from the remote repository.
2626 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00174.html
2628 * vc/vc-svn.el (vc-svn-state): Remove optional `localp'
2629 argument and always pass "-v" to "svn status", never "-u".
2631 2014-12-03 Stefan Monnier <monnier@iro.umontreal.ca>
2633 * emacs-lisp/inline.el: Fix up copyright header.
2634 (inline-quote, inline-const-p, inline-const-val, inline-error):
2635 Silence compiler warnings.
2636 (inline-letevals): Fix edebug spec.
2637 (inline--testconst-p): Consider lambda expressions as const-p.
2638 (inline--getconst-val): Use inline--testconst-p.
2640 * minibuffer.el (completion-table-dynamic): Add arg `switch-buffer'
2641 and change default to stay in the minibuffer when called from
2642 the minibuffer (bug#19250).
2643 (lazy-completion-table): Use this new argument to preserve the
2646 * progmodes/elisp-mode.el (elisp--local-variables): Don't burp on
2647 incorrect lexical elements (bug#19250).
2649 2014-12-03 A. N. Other <none@example.com>
2651 * files.el (file-tree-walk): Lisp translation of ANSI ftw(3).
2653 2014-12-02 Glenn Morris <rgm@gnu.org>
2655 * whitespace.el (whitespace-big-indent-regexp): Add :version.
2657 2014-12-02 Eric S. Raymond <esr@snark.thyrsus.com>
2659 * subr.el (filter): New macro. Because it's just silly for a Lisp
2660 not to have this in 2014. And VC needs it.
2662 * vc.el: All backends: API simplification: Abolish dir-status.
2663 It's replaced by dir-status-files.
2665 * vc.el: All backends: API simplification: Remove 4th
2666 'default-state' argument from vc-dir-status files and its backend
2667 methods - no backend method ever set it. It was used only in the
2668 fallback method to to set a default of 'up-to-date, though a
2669 convoluted call chain obscured this.
2671 * vc-hooks.el: Bind vc-delete-file to Ctrl-x v delete.
2673 * vc.el (vc-expand-dirs): Now takes a second BACKEND argument,
2674 improving behavior on directories using multiple file-oriented VCSes.
2676 * vc/vc.el: All backends: API simplification; clear-headers
2677 is no longer a public method. It is now local to the one place
2678 it's used, in the RCS steal-lock method.
2680 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
2682 * vc/vc.el: In all backends: API simplification; could-register
2683 is no longer a public method. (vc-cvs.el still has a private
2686 * vc/vc.el: In all backends: API cleanup; the backend diff method
2687 takes an explicit async flag. This eliminates a particularly ugly
2690 * vc-bzr.el: Restore vc-bzr-state-heuristic as a private method.
2691 VC randomly/unpredictably fails without it; cause not yet established.
2693 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
2695 Merge some of the differences from the standalone CC-mode.
2696 The main change is to only use the `category' text-property only when
2697 available. For that many calls are changed to use c-get-char-property,
2698 c-next-single-property-change, c-sc-scan-lists,
2699 c-sc-parse-partial-sexp, c-unmark-<->-as-paren.
2701 * progmodes/cc-mode.el (c-just-done-before-change): New var.
2702 (c-basic-common-init): Initialize it.
2703 (c-common-init): Only use mode-require-final-newline when available.
2704 (c-before-change): Check and set c-just-done-before-change.
2705 (c-after-change): Re-set c-just-done-before-change.
2706 (c-advise-fl-for-region): New macro.
2707 (lazy-lock-defer-rest-after-change, lazy-lock-defer-line-after-change)
2708 (font-lock-after-change-function, jit-lock-after-change):
2711 * progmodes/cc-langs.el (c-modified-constant): New lang var.
2712 (c-known-type-key): Don't make a list just to throw it away.
2714 * progmodes/cc-engine.el (c-invalidate-state-cache, c-parse-state):
2715 Handle the case where categories are not available.
2716 (c-record-parse-state-state, c-replay-parse-state-state):
2717 Handle marker values.
2718 (c-before-change-check-<>-operators): Look for the `syntax-table'
2719 property rather than for the corresponding `category'.
2720 (c-looking-at-decl-block): Remove unused var
2721 `c-disallow-comma-in-<>-arglists'.
2722 (c-forward-<>-arglist-recur): Remove unused var
2723 `orig-record-found-types'.
2725 * progmodes/cc-defs.el (c-version): Bump up to 5.33.
2726 (c-use-category): New const.
2727 (c-next-single-property-change): New macro.
2728 (c-region-is-active-p): Prefer region-active-p when available.
2729 (c-search-backward-char-property): Fix old min/max typo; probably
2731 (c-mark-<-as-paren, c-mark->-as-paren, c-unmark-<->-as-paren):
2732 Turn them into macros that obey c-use-category.
2733 (c-sc-scan-lists-no-category+1+1, c-sc-scan-lists-no-category+1-1)
2734 (c-sc-scan-lists-no-category-1+1, c-sc-scan-lists-no-category-1-1)
2735 (c-sc-scan-lists, c-sc-parse-partial-sexp)
2736 (c-looking-at-non-alphnumspace): New macros.
2737 (c-sc-parse-partial-sexp-no-category): New function.
2738 (c-emacs-features): Add `category-properties' element.
2740 * progmodes/cc-cmds.el (c-forward-into-nomenclature)
2741 (c-backward-into-nomenclature): Use cc-subword if subword-mode is
2743 (c-beginning-of-defun, c-end-of-defun, c-mark-function)
2744 (c-indent-line-or-region): Use c-region-is-active-p.
2746 * progmodes/cc-bytecomp.el (cc-bytecomp-unbound-variables)
2747 (cc-bytecomp-original-functions, cc-bytecomp-original-properties)
2748 (cc-bytecomp-loaded-files): Re-set each time the file is loaded.
2749 (cc-bytecomp-obsolete-var, cc-bytecomp-ignore-obsolete)
2750 (cc-bytecomp-obsolete-fun): Delete unused functions.
2752 * progmodes/cc-align.el (c-lineup-respect-col-0): New function.
2754 2014-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
2756 * net/shr.el (shr-dom-print): Fix up `shr-dom-print' after the
2759 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
2761 * vc/vc.el (vc-find-conflicted-file): Look for conflicted files in the
2762 current "project" rather than just the current directory.
2763 * vc/vc-git.el (vc-git-conflicted-files): Clarify in which directory
2764 the file names make sense.
2766 * vc/smerge-mode.el (smerge-swap): New command.
2768 * vc/diff-mode.el (diff-kill-applied-hunks): New command.
2770 2014-12-01 Ulf Jasper <ulf.jasper@web.de>
2772 * net/newst-treeview.el (newsticker--treeview-item-show):
2773 Check window liveliness before measuring its width.
2775 * net/newst-backend.el (newsticker--get-news-by-url-callback):
2776 Pass correct status to `newsticker--sentinel-work'.
2777 (newsticker--sentinel-work): Use "newsticker--download-error" as
2778 guid in order to prevent multiple "Could not download..."
2779 messages. Fixes bug#19166.
2781 2014-12-01 Ivan Shmakov <ivan@siamics.net>
2783 * net/eww.el (eww-render): Call `eww-after-render-hook' in the
2784 correct buffer (bug#19225).
2786 2014-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
2788 * net/nsm.el (network-security-level): Change the default to `medium'.
2790 * net/eww.el (eww): Leave point in a place that doesn't cause
2791 scrolling when displaying "Loading...".
2793 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
2795 * vc/vc.el, vc/vc-cvs.el, vc/vc-rcs.el, vc/vc-svn.el: The 'merge'
2796 backend method of RCS/CVS/SVN is now 'merge-file', to contrast with
2797 'merge-branch'. Prompting for merge revisions is pushed down to
2798 the back ends; this fixes a layering violation that caused bad
2801 * vc/vc.el, vc-hooks.el: All backends: API simplification;
2802 vc-stay-local-p and repository-hostname are no longer public
2803 methods. Only the CVS and SVN backends used these, and the SVN
2804 support was conditioned out because svn status -v is too slow.
2805 The CVS back end retains this machinery and the vc-stay-local
2806 configuration variable now only affects it.
2808 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
2810 * emacs-lisp/inline.el: New file.
2812 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
2814 * vc/vc.el, vc-hooks.el: All backends: API simplification;
2815 vc-state-heuristic is no longer a public method, having been
2816 removed where it is redundant, unnecessary, or known buggy.
2817 This eliminated all backends except CVS. Eliminates bug#7850.
2819 * vc/vc-cvs.el, vc/vc-hooks.el, vc/vc-rcs.el, vc/vc-sccs.el:
2820 Eliminate vc-mistrust-permissions. It was only relevant to the
2821 RCS and SCCS back ends and defaulted to t. Code now always
2822 mistrusts permissions - by actual measurement the effect on
2823 performance is negligible. As a side effect bug#11490 is now
2826 * vc/vc.el, vc-hooks.el: All backends: API simplification;
2827 vc-workfile-unchanged-p is no longer a public method (but the RCS
2828 and SCCS back ends retain it as a private method used in state
2829 computation). This method was redundant with vc-state and usually
2830 implemented as a trivial call to same. Fixes the failure mode
2831 described in bug#694.
2833 * vc/vc.el: All backends: API simplification; init-revision is
2834 gone, and vc-registered functions no longer take an
2835 initial-revision argument.
2837 2014-11-29 Glenn Morris <rgm@gnu.org>
2839 * vc/vc-src.el (vc-src, vc-src-diff-switches)
2840 (vc-src-master-templates): Fix :version tags.
2842 2014-11-29 Paul Rankin <paul@tilk.co> (tiny change)
2844 * outline.el (outline-move-subtree-down): Refactor and improve code.
2846 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
2847 Stefan Monnier <monnier@iro.umontreal.ca>
2849 * outline.el (outline-move-subtree-down): Make sure we can move
2850 forward to find the end of the subtree and the insertion point
2853 2014-11-29 Fabián Ezequiel Gallina <fgallina@gnu.org>
2855 * progmodes/python.el (python-shell-completion-setup-code):
2856 Use __builtin__ module (or builtins in Python 3) and catch all errors
2857 when importing readline and rlcompleter.
2859 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
2861 * calendar/todo-mode.el: Handle calling revert-buffer (bug#19187).
2862 (todo-revert-buffer): New function.
2863 (todo-modes-set-1): Use it as the buffer-local value of
2864 revert-buffer-function.
2866 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
2868 * calendar/todo-mode.el (todo-mode): If called interactively, just
2869 display a message saying to call todo-show to enter Todo mode
2872 2014-11-29 Dmitry Gutov <dgutov@yandex.ru>
2874 * vc/vc-hg.el (vc-hg-dir-status-files): Include ignored files.
2877 * vc/vc-bzr.el (vc-bzr-after-dir-status): Don't skip ignored
2880 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
2882 * textmodes/makeinfo.el (makeinfo-buffer): Make it work also for
2883 remote `buffer-file-name'.
2885 2014-11-29 Leo Liu <sdl.web@gmail.com>
2887 * calendar/diary-lib.el (calendar-mark-1): Fix thinko.
2889 2014-11-29 Fabián Ezequiel Gallina <fgallina@gnu.org>
2891 Set PYTHONUNBUFFERED on shell startup.
2893 * progmodes/python.el (python-shell-unbuffered): New var.
2894 (python-shell-calculate-process-environment): Use it.
2896 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
2898 * net/tramp.el (tramp-action-password): Clean password on subsequent
2899 attempts even if there was no wrong password indication. (Bug#19047)
2901 * net/tramp-sh.el (tramp-get-remote-locale): Return "LC_ALL=C" as
2903 (tramp-open-connection-setup-interactive-shell): No need to check
2904 for nil as `tramp-get-remote-locale' return value.
2906 2014-11-29 Eli Zaretskii <eliz@gnu.org>
2908 * vc/vc-git.el (vc-git-command, vc-git--call):
2909 Bind coding-system-for-read and coding-system-for-write to
2910 vc-git-commits-coding-system.
2911 (vc-git-previous-revision): Use "~1" instead of "^", since the
2912 latter is a special character for MS-Windows system shells.
2914 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
2916 Improve XEmacs compatibility.
2918 * net/tramp.el (tramp-autoload-file-name-handler):
2919 Wrap `temporary-file-directory' by `symbol-value', it doesn't
2921 (tramp-read-passwd): Don't use `with-timeout-suspend' and
2922 `with-timeout-unsuspend' if they don't exist, like in XEmacs.
2923 (tramp-time-less-p, tramp-time-subtract): Remove functions.
2924 (tramp-handle-file-newer-than-file-p, tramp-time-diff):
2925 * net/tramp-adb.el (tramp-adb-ls-output-time-less-p):
2926 * net/tramp-cache.el (tramp-get-file-property):
2927 * net/tramp-smb.el (tramp-smb-handle-insert-directory):
2928 Use `time-less-p' and `time-subtract, respectively.
2930 * net/tramp-adb.el (top): Do not require time-date.el.
2932 * net/tramp-compat.el (top): Require time-date.el for XEmacs.
2934 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
2935 Check, whether `utf-8' is a valid coding system.
2937 2014-11-29 Eli Zaretskii <eliz@gnu.org>
2939 * vc/vc.el (vc-retrieve-tag): Doc fix.
2941 2014-11-28 Stefan Monnier <monnier@iro.umontreal.ca>
2943 * simple.el (execute-extended-command--shorter): Fix the "M-p" case
2946 2014-11-28 Martin Rudalics <rudalics@gmx.at>
2948 Fix two issues around help-window-select. (Bug#11039) (Bug#19012)
2949 * help.el (help-window-old-frame): New variable.
2950 (help-window-select): Default to nil (Bug#11039).
2952 (help-window-setup): When the help window appears on another
2953 frame and `help-window-select' is non-nil, give that frame input
2954 focus too (Bug#19012).
2955 (with-help-window): Store selected frame in
2956 help-window-old-frame.
2958 2014-11-28 Ulf Jasper <ulf.jasper@web.de>
2960 * net/newst-treeview.el (newsticker--treeview-load): Take care of
2961 nil value for `newsticker-groups-filename'.
2963 2014-11-28 Daiki Ueno <ueno@gnu.org>
2965 * epa.el (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
2966 (epa-sign-region, epa-encrypt-region):
2967 Use `epg-context-set-{passphrase,progress}-callback', instead of
2968 `setf'. This partially reverts commit 9e48a95c (bug#19150).
2969 Reported by José A. Romero L.
2971 2014-11-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
2973 * net/eww.el (eww-restore-history):
2974 Bind `inhibit-modification-hooks' instead of `after-change-functions'.
2976 2014-11-27 Ulf Jasper <ulf.jasper@web.de>
2978 * net/newst-backend.el (newsticker--parse-atom-1.0):
2979 Handle embedded (x)html in summary node.
2981 2014-11-27 Sam Steingold <sds@gnu.org>
2983 * menu-bar.el (menu-bar-open): When everything else fails,
2984 use (mouse-menu-bar-map).
2986 2014-11-27 Ulf Jasper <ulf.jasper@web.de>
2988 * net/newst-treeview.el (newsticker-groups-filename):
2989 Change default value to nil. Point out that variable is obsolete in doc
2991 (newsticker--treeview-load): Change wording of the questions the
2992 user is asked when `newsticker-groups-filename' is found to be
2993 used and we offer to read and remove the groups file. (Bug#19165)
2995 2014-11-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
2997 * net/eww.el (eww): Record the new URL immediately, so that if the
2998 HTTP fetch fails, we have the right URL in the buffer.
2999 (eww-process-text-input): Don't shorten the input field if
3000 deleting at the last character (bug#19085).
3001 (eww-restore-history): Inhibit change functions while restoring
3003 (eww-process-text-input): Fix deletion at the start of the field, too.
3004 (eww-mode): Revert mistanken removal of `buffer-disable-undo'.
3005 (eww-process-text-input): Try to keep track of the size more reliably.
3007 * dom.el (dom-pp): New function.
3009 2014-11-27 Eli Zaretskii <eliz@gnu.org>
3011 * vc/vc-bzr.el (vc-bzr-print-log, vc-bzr-expanded-log-entry):
3012 Don't assume --long is the default for "bzr log", always specify
3013 it explicitly, in case the user defined an alias for 'log' that
3014 uses some other format.
3016 2014-11-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
3018 * progmodes/python.el (python-eldoc--get-doc-at-point):
3019 Strip shell output before returning. (bug#18794)
3021 2014-11-27 Dmitry Gutov <dgutov@yandex.ru>
3023 Fix indentation before `!=' and after `+='. Originally reported
3024 in https://github.com/mooz/js2-mode/issues/174.
3025 * progmodes/js.el (js--indent-operator-re): Make assignments and
3026 (in)equality operator a separate case.
3027 (js--continued-expression-p): Escape the second `+' in the regexp.
3029 2014-11-27 Stefan Monnier <monnier@iro.umontreal.ca>
3031 * window.el (handle-select-window): Deactivate shift-region (bug#19003).
3033 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
3035 * net/nsm.el (nsm-new-fingerprint-ok-p): Display the certificate
3036 when querying about new certificates.
3038 * net/shr.el (shr-make-table-1): dom.el changes for table rendering.
3040 * dom.el (dom-by-tag): Use `equal' for comparisons so that tags
3042 (dom-elements): Protect against non-text nodes.
3043 (dom-non-text-children): New function.
3045 * net/eww.el (eww-tag-title): Use `dom-text'.
3047 2014-11-26 Sam Steingold <sds@gnu.org>
3049 * textmodes/sgml-mode.el (sgml-validate-command): Pass -utf8 to tidy.
3051 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
3053 * net/eww.el (eww-highest-readability): More dom.el fixes.
3055 2014-11-26 Ulf Jasper <ulf.jasper@web.de>
3057 * net/newst-backend.el (newsticker--parse-generic-items):
3058 Take care of UIDs when adding elements to cache.
3060 2014-11-26 Alan Mackenzie <acm@muc.de>
3062 Remove spurious reference to symbol category_properties.
3063 * progmodes/cc-engine.el (c-state-pp-to-literal): Fix here.
3065 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
3067 * net/eww.el: Use the new dom.el accessors throughout.
3069 * net/shr.el: Ditto.
3073 2014-11-26 Glenn Morris <rgm@gnu.org>
3075 * arc-mode.el (archive-visit-single-files): Add :version.
3077 2014-11-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
3079 * net/nsm.el (nsm-format-certificate): Don't bug out on missing
3081 (nsm-warnings-ok-p): The new version of this function always
3082 returned nil when everything was OK.
3084 2014-11-25 Teodor Zlatanov <tzz@lifelogs.com>
3086 * net/gnutls.el (gnutls): Set :group to 'comm so it's near NSM.
3088 * net/nsm.el (nsm-check-tls-connection, nsm-save-host)
3089 (nsm-warnings-ok-p): Use `gnutls-peer-status-warning-describe'.
3091 2014-11-20 Nicolas Richard <theonewiththeevillook@yahoo.fr>
3093 * emacs-lisp/byte-run.el (function-put): Match argument names to
3096 2014-11-24 Sam Steingold <sds@gnu.org>
3098 * vc/vc-hooks.el (vc-directory-exclusion-list):
3099 Fix a trivial typo (bug#19171).
3101 2014-11-24 Stefan Monnier <monnier@iro.umontreal.ca>
3103 * vc/vc-hooks.el (vc-state-base-face): Don't override
3106 2014-11-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
3108 * net/eww.el (eww-set-character-encoding): Use `read-coding-system'.
3109 (eww-process-text-input): Inhibit read only so that input fields
3110 don't get shortened (bug#19085).
3112 2014-11-24 Leo Liu <sdl.web@gmail.com>
3114 * emacs-lisp/macroexp.el (macroexp-let2*): New macro.
3116 * window.el (with-temp-buffer-window)
3117 (with-current-buffer-window, with-displayed-buffer-window):
3118 * emacs-lisp/cl-macs.el (cl--compiler-macro-adjoin):
3119 * emacs-lisp/cl-lib.el (substring):
3120 * emacs-lisp/cl-extra.el (cl-getf): Use it.
3122 2014-11-24 Eli Zaretskii <eliz@gnu.org>
3124 * isearch.el (isearch-update): Don't assume
3125 pos-visible-in-window-p will return nil when point is hscrolled
3126 out of view. (Bug#19157)
3128 2014-11-20 Andrey Kotlarski <m00naticus@gmail.com>
3130 * net/eww.el (eww-browse-url): Optionally create new eww buffer.
3131 (eww-follow-link): Follow in new buffer in case of prefix
3132 argument, open externally with double prefix (bug#19130).
3134 2014-11-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
3136 * net/eww.el (eww-display-html): Decode the document-defined charset.
3137 (eww): Pop to the *eww* buffer immediately after executing the
3138 `M-x eww' command to avoid having buffers pop up later.
3139 (eww-display-html): Don't pop the *eww* buffer.
3140 (eww-display-raw): Ditto.
3141 (eww-display-image): Ditto.
3142 (eww-follow-link): Make going to #targets in the page work again.
3144 2014-11-23 Ivan Shmakov <ivan@siamics.net>
3146 * net/eww.el (eww-suggest-uris): New variable.
3147 (eww-suggested-uris): New function.
3148 (eww): Default to URL under point.
3149 (eww-links-at-point): New function.
3151 2014-11-20 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
3153 * net/eww.el (eww-add-bookmark): Fix bookmark titles.
3155 2014-11-17 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
3157 * net/eww.el (eww-mode-map): Bind backtab to shr-previous-link.
3159 2014-11-23 Kenjiro Nakayama <nakayamakenjiro@gmail.com>
3161 * net/eww.el (eww-set-character-encoding): New command and keystroke.
3162 (eww-display-raw): Use it (bug#16225).
3164 2014-11-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
3166 * net/nsm.el (network-security-level): Rename from
3167 `nsm-security-level' and documented.
3169 * mail/smtpmail.el (smtpmail-via-smtp): Warn unless encrypted and
3170 we're sending a password.
3172 * net/nsm.el: New file that implements a Network Security Manager.
3174 * net/network-stream.el (open-network-stream): Add a new
3175 :warn-unless-encrypted parameter.
3176 (network-stream-open-plain): Allow warning unless encrypted.
3177 (network-stream-open-starttls): Call the Network Security Manager.
3178 (network-stream-open-tls): Ditto.
3180 2014-11-23 Leo Liu <sdl.web@gmail.com>
3182 * calendar/cal-china.el (calendar-chinese-from-absolute-for-diary)
3183 (calendar-chinese-to-absolute-for-diary)
3184 (calendar-chinese-mark-date-pattern, diary-chinese-anniversary):
3185 Handle leap months in Chinese calendar. (Bug#18953)
3187 2014-11-22 Alan Mackenzie <acm@muc.de>
3189 Fix error with `mark-defun' and "protected:" in C++ Mode.
3190 Fixes: debbugs:19134.
3192 * progmodes/cc-cmds.el (c-where-wrt-brace-construct): Handle a
3193 return code of (label) from c-beginning-of-decl-1.
3195 2014-11-22 Ulf Jasper <ulf.jasper@web.de>
3197 * net/newst-backend.el (newsticker--sentinel-work):
3198 Tell `libxml-parse-xml-region' to discard comments. Fixes bug#18787.
3200 2014-11-22 Michael Albinus <michael.albinus@gmx.de>
3202 * net/tramp-sh.el (tramp-sh-handle-start-file-process)
3203 (tramp-sh-handle-process-file): Propagate `process-environment'.
3205 * vc/vc-hg.el (vc-hg-state): No special handling for remote files;
3206 Tramp propagates environment variables now.
3208 2014-11-22 Eric S. Raymond <esr@snark>
3210 * vc/vc-filewise.el: New file to isolate code used only by the
3211 file-oriented back ends (SCCS/RCS/CVS/SRC) which should not
3212 live in vc.el and certainly not in vc-hooks.el.
3214 * vc/vc-hooks.el, vc-rcs.el, vc-sccs.el: vc-name -> vc-master-name.
3215 This is preparatory to isolating all the 'master' functions
3216 used only by the file-oriented back ends. With this done first,
3217 the substantive diffs will be easier to read.
3219 2014-11-21 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
3221 * play/morse.el (nato-alphabet): Mark URL in docstring in a way
3222 that is recognized by `help-mode'.
3224 2014-11-21 Stefan Monnier <monnier@iro.umontreal.ca>
3226 * desktop.el (desktop-create-buffer): Use activate-mark to set
3227 `mark-active' (bug#19058).
3229 2014-11-21 Eric S. Raymond <esr@snark>
3231 * vc/vc-src.el (vc-src-state): Fix bug that produced spurious
3234 2014-11-21 Eli Zaretskii <eliz@gnu.org>
3236 * vc/vc.el (vc-deduce-fileset): Support invocation from
3237 *vc-change-log* buffer. (Bug#19084)
3239 2014-11-13 Matthew Leach <matthew@mattleach.net>
3241 * arc-mode.el (archive-visit-single-files): New.
3242 (archive-mode): Visit file if archive contains a single file.
3245 2014-11-21 Ulrich Müller <ulm@gentoo.org>
3247 * vc/vc.el: Fix a typo in the commentary.
3249 2014-11-20 Eric S. Raymond <esr@snark.thyrsus.com>
3251 * vc/vc-src.el, vc/vc.el: Added support for SRC. Needs more
3252 testing and a real log-view mode.
3254 * vc/vc-bzr.el, vc/vc-cvs.el, vc/vc-dav.el, vc/vc-git.el:
3255 * vc/vc-hg.el, vc/vc-mtn.el, vc/vc-rcs.el, vc/vc-sccs.el:
3256 * vc/vc-svn.el, vc/vc.el: Remove editable argument from the backend
3257 checkout methods; where it matters (which is only in SCCS and RCS)
3258 files are always checked out editable. This may actually have
3259 been dynamically true already - it looks like the vc-next-action
3260 code evolved past visiting the other case. Tested with RCS.
3262 * vc/vc-arch.el, vc/vc-bzr.el, vc/vc-cvs.el, vc/vc-dav.el:
3263 * vc/vc-git.el, vc/vc-hg.el, vc/vc-mtn.el, vc/vc-rcs.el:
3264 * vc/vc-sccs.el, vc/vc-svn.el, vc/vc.el: Remove never-used rev
3265 argument from the backend checkin methods. Only the RCS, SCCS,
3266 and CVS back ends tried to do anything with it, and that code was
3267 never exercised. Chiseling away the cruft of decades...
3269 2014-11-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
3271 * net/eww.el (eww-render): Remove a no-op :title setting.
3273 2014-11-19 Ivan Shmakov <ivan@siamics.net>
3275 * net/eww.el (eww-history-limit): New variable.
3276 (eww-save-history): Use it (bug#19105).
3277 (eww-reload): Reload the page in the right buffer.
3279 2014-11-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
3281 * net/eww.el (eww-desktop-misc-data): Use `cl-remove-duplicates'.
3283 2014-11-19 Ivan Shmakov <ivan@siamics.net>
3285 * net/eww.el (eww-desktop-remove-duplicates)
3286 (eww-restore-desktop, eww-restore-reload-prompt): New variables.
3287 (eww-mode): Set up desktop mode (bug#18010).
3288 (eww-desktop-data-save, eww-desktop-data-1)
3289 (eww-desktop-history-duplicate, eww-desktop-misc-data)
3290 (eww-restore-desktop): New functions.
3292 2014-11-19 Eli Zaretskii <eliz@gnu.org>
3294 * vc/vc.el (vc-log-internal-common): Turn on log-view-mode in the
3295 correct buffer. (Bug#19101)
3297 2014-11-19 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
3299 * vc/vc-git.el (vc-git-diff): Use "difftool -x diff" with
3300 `diff-switches' if `vc-git-diff-switches' is nil. (Bug#19099)
3302 2014-11-19 Artur Malabarba <bruce.connor.am@gmail.com>
3304 * ido.el (ido-bury-buffer-at-head): New command.
3305 (ido-buffer-completion-map): Bind it to C-S-b.
3307 2014-11-18 Juri Linkov <juri@linkov.net>
3309 * simple.el (next-line-or-history-element): Wrap next-line
3310 in with-no-warnings.
3311 (previous-line-or-history-element): Wrap previous-line
3312 in with-no-warnings.
3314 2014-11-18 Juri Linkov <juri@linkov.net>
3316 * progmodes/grep.el (grep-compute-defaults):
3317 Compute grep-highlight-matches before its use.
3319 2014-11-18 Juri Linkov <juri@linkov.net>
3321 * replace.el (query-replace-from-to-separator): Turn defvar into
3322 defcustom. Wrap char-displayable-p in ignore-errors because an
3323 attempt to autoload char-displayable-p fails during pre-loading.
3324 Move (propertize "\0" ... 'separator t) out of customizable part
3325 to query-replace-read-from.
3326 (query-replace-read-from): Call custom-reevaluate-setting on
3327 query-replace-from-to-separator to reevaluate the separator
3328 depending on the return value of char-displayable-p.
3329 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00466.html
3331 2014-11-18 Juri Linkov <juri@linkov.net>
3333 * bindings.el (minibuffer-local-map): Rebind [down] from
3334 next-history-element to next-line-or-history-element, and [up]
3335 from previous-history-element to previous-line-or-history-element.
3337 * simple.el (next-line-or-history-element)
3338 (previous-line-or-history-element): New commands.
3339 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00822.html
3341 2014-11-18 Leo Liu <sdl.web@gmail.com>
3343 * emacs-lisp/nadvice.el (define-advice): New macro.
3344 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
3346 (lisp-font-lock-keywords-1): Add define-advice.
3348 2014-11-18 Daiki Ueno <ueno@gnu.org>
3350 * epg.el (epg-context): New slot EDIT-CALLBACK.
3351 (epg--process-filter): Call EDIT-CALLBACK when editing a key.
3352 (epg-reset): Reset EDIT-CALLBACK of the context.
3353 (epg-start-edit-key): New function.
3354 (epg-edit-key): New function.
3356 2014-11-18 Paul Eggert <eggert@cs.ucla.edu>
3358 Port new time stamp handling to Emacs 23.2.
3359 This fix is for Gnus. Reported by Katsumi Yamaoka.
3360 * calendar/time-date.el (time-add, time-subtract, time-less-p):
3361 Use eval-and-compile, not eval-when-compile.
3363 2014-11-18 Daiki Ueno <ueno@gnu.org>
3365 * epg.el (epg-context-set-passphrase-callback)
3366 (epg-context-set-progress-callback): Check if the CALLBACK
3367 argument is a function, instead of a cons.
3369 2014-11-18 Daiki Ueno <ueno@gnu.org>
3371 * epa-file.el (epa-file-insert-file-contents)
3372 (epa-file-write-region): Remove redundant check of
3374 * epa.el (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
3375 (epa-sign-region, epa-encrypt-region): Remove redundant check of
3378 2014-11-18 Daiki Ueno <ueno@gnu.org>
3380 * epa-file.el (epa-file-insert-file-contents): Don't show
3381 "*Error*" buffer if input file does not exist.
3382 Reported by Herbert J. Skuhra.
3384 2014-11-18 Paul Pogonyshev <pogonyshev@gmail.com>
3385 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
3387 * progmodes/cc-langs.el: Support some of the new keywords in C++11.
3388 An alternative version of the patch from bug#13871.
3389 (c-operators): Add "alignof".
3390 (c-primitive-type-kwds): Add "char16_t", "char32_t".
3391 (c-type-modifier-kwds): Add "constexpr", "noexcept".
3392 (c-modifier-kwds): Add "thread_local".
3393 (c-constant-kwds): Add "nullptr".
3395 2014-11-17 Michal Nazarewicz <mina86@mina86.com>
3397 * textmodes/tildify.el (tildify-pattern, tildify-space-string):
3398 New variables for specifying tildify pattern and representation of
3399 a hard space -- a no-break space by default -- respectively.
3400 Being buffer-local they are much easier to handle than
3401 `tildify-string-alist' and `tildify-pattern-alist' respectively
3402 that have been used so far. They also works better with derived
3404 (tildify-foreach-region-function): New variable specifying
3405 a function determining portions of buffer that should be
3406 tildified. It allows major modes to create a filtering function
3407 more elaborate than a set of regular expressions. Initialised to
3408 `tildify--deprecated-ignore-evironments' by default to handle now
3409 deprecated `tildify-ignored-environments-alist' variable.
3410 (tildify--foreach-region): A new function that takes
3411 `tildify-foreach-region-function' into account and calls callback
3412 for regions of the buffer that should be tildified.
3413 (tildify-foreach-ignore-environments): A new function which can be
3414 partially applied and used as `tildify-foreach-region-function'.
3415 (tildify-ignored-environments-alist, tildify-pattern)
3416 (tildify-string-alist, tildify--pick-alist-entry): Mark as obsolete.
3417 (tildify--find-env): Rename from `tildify-find-env' and mark as
3419 (tildify--deprecated-ignore-evironments): New function,
3420 immediately marked as obsolete, used to handle deprecated
3421 `tildify-ignored-environments-alist'.
3423 * textmodes/tex-mode.el (tex-common-initialization):
3424 Set `tildify-space-string' and `tildify-foreach-region-function'
3425 variables in all variants of TeX mode since `tildify-string-alist'
3426 and `tildify-ignored-environments-alist' are now empty by default.
3428 * nxml/nxml-mode.el (nxml-mode): Ditto in `nxml-mode'.
3429 If encoding supports it use no-break space instead of character
3430 entity; this changes previous default which used a numeric
3433 * textmodes/sgml-mode.el (sgml-mode): ditto in `sgml-mode'.
3434 If encoding does not support no-break space, use numeric reference;
3435 this changes previous default which used named entity (“ ”)
3438 2014-11-17 Ulf Jasper <ulf.jasper@web.de>
3440 * calendar/icalendar.el (icalendar-export-alarms):
3441 New customizable variable. (Bug#5433)
3442 (icalendar-export-region): Export alarms as specified in
3443 `icalendar-export-alarms'.
3444 (icalendar--create-ical-alarm, icalendar--do-create-ical-alarm):
3445 New functions for exporting alarms.
3447 2014-11-17 Lars Magne Ingebrigtsen <larsi@gnus.org>
3449 * bindings.el (search-map): Move `eww-search-words' to `M-s M-w'.
3451 2014-11-17 Paul Eggert <eggert@cs.ucla.edu>
3453 Port new time stamp handling to old Emacs and to XEmacs.
3454 This is needed for Gnus, which copies time-date.el and which
3455 runs on older Emacs implementations.
3456 * calendar/time-date.el (with-decoded-time-value):
3457 Handle 'nil' and floating-point arg more compatibly with new Emacs.
3458 (encode-time-value, with-decoded-time-value):
3459 Obsolete only if new Emacs.
3460 (time-add, time-subtract, time-less-p): Define if not new Emacs.
3462 Improve time stamp handling, and be more consistent about it.
3463 This implements a suggestion made in:
3464 http://lists.gnu.org/archive/html/emacs-devel/2014-10/msg00587.html
3465 Among other things, this means timer.el no longer needs to
3466 autoload the time-date module.
3467 * allout-widgets.el (allout-elapsed-time-seconds): Doc fix.
3468 * arc-mode.el (archive-ar-summarize):
3469 * calendar/time-date.el (seconds-to-time, days-to-time, time-since):
3470 * emacs-lisp/timer.el (timer-relative-time, timer-event-handler)
3471 (run-at-time, with-timeout-suspend, with-timeout-unsuspend):
3472 * net/tramp.el (tramp-time-less-p, tramp-time-subtract):
3473 * proced.el (proced-time-lessp):
3474 * timezone.el (timezone-time-from-absolute):
3475 * type-break.el (type-break-schedule, type-break-time-sum):
3476 Simplify by using new functionality.
3477 * calendar/cal-dst.el (calendar-next-time-zone-transition):
3478 Do not return time values in obsolete and undocumented (HI . LO)
3479 format; use (HI LO) instead.
3480 * calendar/time-date.el (with-decoded-time-value):
3481 Treat 'nil' as current time. This is mostly for XEmacs.
3482 (encode-time-value, with-decoded-time-value): Obsolete.
3483 (time-add, time-subtract, time-less-p): Use no-op autoloads, for
3484 XEmacs. Define only if XEmacs, as they're now C builtins in Emacs.
3485 * ldefs-boot.el: Update to match new time-date.el
3486 * proced.el: Do not require time-date.
3488 2014-11-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
3490 * net/eww.el (eww-mode): Make the buffer read-only.
3491 (eww-form-text): Inhibit read-only-ness in text input fields
3494 2014-11-16 Stefan Monnier <monnier@iro.umontreal.ca>
3496 * simple.el (execute-extended-command--shorter): Cut search here.
3497 (execute-extended-command): Instead of here.
3499 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
3501 * progmodes/python.el (python-mode): Avoid use of set-local to
3502 keep Emacs 24.x compatibility.
3504 2014-11-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
3506 * net/shr.el (shr): Move to the new defgroup `web'.
3508 * net/eww.el (eww): Ditto.
3510 * simple.el (execute-extended-command): Don't show the help
3511 message if the binding isn't significantly shorter than the
3512 M-x command the user typed (bug#19013).
3514 2014-11-16 Ulf Jasper <ulf.jasper@web.de>
3516 * calendar/icalendar.el (icalendar--convert-tz-offset):
3517 Return complete cons when offsets of standard time and daylight saving
3519 (icalendar-export-region): Fix unbound variable warning.
3521 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
3523 * progmodes/python.el (run-python): Allow CMD to be optional and
3524 default it to a safe command, even for Windows. (bug#18596)
3526 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
3528 * progmodes/python.el (python-shell-calculate-command):
3529 Rename from python-shell-parse-command. Cleanup.
3530 (run-python, run-python-internal): Use it.
3531 (python-shell-calculate-pythonpath): Rename from
3532 python-new-pythonpath.
3533 (python-shell-calculate-process-environment): Use it.
3534 (python-shell-calculate-exec-path): Add comment.
3536 2014-11-16 Thierry Banel <tbanelwebmin@free.fr> (tiny change)
3538 * calc/calc-arith.el (math-max-list, math-min-list): Fix bug
3541 2014-11-16 Andreas Schwab <schwab@linux-m68k.org>
3543 * version.el (emacs-repository-get-version): Use git rev-parse
3546 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
3548 * progmodes/python.el (python-indent-calculate-levels):
3549 Fix indentation behavior multiline dedenter statement. (Bug#18432)
3551 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
3553 * progmodes/python.el (python-indent-region):
3554 Use python-indent-line and skip special cases. (Bug#18843)
3556 2014-11-16 Peder O. Klingenberg <peder@klingenberg.no>
3558 * mail/emacsbug.el (report-emacs-bug): Make a better guess at
3559 envelope-from when reporting through sendmail (bug#19054).
3561 2014-11-16 Oscar Fuentes <ofv@wanadoo.es>
3563 Add faces for the VC modeline state indicator.
3565 (vc-state-faces, vc-state-base-face)
3566 (vc-up-to-date-state, vc-needs-update-state)
3567 (vc-locked-state, vc-locally-added-state)
3568 (vc-conflict-state, vc-removed-state)
3569 (vc-missing-state, vc-edited-state):
3571 (vc-default-mode-line-string): Use them
3573 2014-11-16 Stefan Monnier <monnier@iro.umontreal.ca>
3575 * emacs-lisp/backquote.el (backquote-process): Optimize away ",'".
3577 2014-11-15 Lars Magne Ingebrigtsen <larsi@gnus.org>
3579 * net/eww.el (eww-search-words): Mention `eww-search-prefix'.
3581 2014-11-15 Fabián Ezequiel Gallina <fgallina@gnu.org>
3583 * progmodes/python.el (python-eldoc-setup-code): Enhance string
3584 type checks, simplify printing. (Bug#18962)
3586 2014-11-14 Ivan Andrus <darthandrus@gmail.com>
3588 * progmodes/python.el (python-shell-font-lock-kill-buffer):
3589 (python-shell-font-lock-with-font-lock-buffer)
3590 (python-shell-get-buffer, python-ffap-module-path):
3591 Use `derived-mode-p' instead of equality test on `major-mode'.
3593 2014-11-14 Fabián Ezequiel Gallina <fgallina@gnu.org>
3595 * progmodes/python.el (python-shell-virtualenv-root): Rename from
3596 python-shell-virtualenv-path.
3597 (python-shell-internal-get-process-name)
3598 (python-shell-calculate-process-environment)
3599 (python-shell-calculate-exec-path): Use it.
3601 2014-11-14 Eli Zaretskii <eliz@gnu.org>
3603 * bindings.el (search-map): Fix last change: don't use 'kbd' in
3604 bindings.el, since it is not yet loaded when bindings.el is
3607 2014-11-14 Fabián Ezequiel Gallina <fgallina@gnu.org>
3609 * progmodes/python.el (python-shell-completion-get-completions):
3612 2014-11-14 Lars Magne Ingebrigtsen <larsi@gnus.org>
3614 * net/eww.el (eww-render): Don't set the title to the URL.
3616 2014-11-13 Ulrich Müller <ulm@gentoo.org>
3618 * version.el (emacs-repository-get-version): Call `git log'
3619 command with proper format argument (bug#19049).
3621 2014-11-14 Lars Magne Ingebrigtsen <larsi@gnus.org>
3623 * bindings.el (search-map): Bind M-s M-s to `eww-search-words'.
3625 2014-11-14 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
3627 * net/eww.el (eww-search-words): New command (bug#16258).
3629 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
3631 * net/shr.el (shr-inhibit-images): Add a doc string.
3633 * net/eww.el (eww-after-render-hook): New variable.
3634 (eww-render): Use it.
3636 * net/shr.el (shr-descend): Don't descend further than
3637 `max-specpdl-size' allows (bug#16587).
3638 (shr-depth): New variable.
3639 (shr-warning): New variable.
3641 2014-11-13 Ivan Shmakov <ivan@siamics.net>
3643 * net/shr.el (shr-parse-base): Handle <base href=""> correctly.
3644 (shr-expand-url): Expand absolute URLs correctly (bug#17958).
3646 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
3648 * net/eww.el (eww): Add comment to clarify.
3650 * net/shr.el (shr-parse-image-data): Remove blocked bits from
3651 external SVG images.
3652 (shr-tag-object): Display images in <object> forms (bug#16244).
3653 (shr-tag-table): Also insert <objects> after the tables.
3655 2014-11-13 Michael Albinus <michael.albinus@gmx.de>
3657 * vc/vc-hg.el (vc-hg-state): Disable pager. (Bug#18940)
3659 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
3661 * net/eww.el (eww-form-file): Fix version number.
3663 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
3665 * net/eww.el (eww-form-file): :type isn't a valid `defface' keyword.
3667 2014-11-10 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
3669 * net/eww.el(eww-form-file(defface)): New defface of file upload form.
3670 (eww-submit-file): New key map of file upload.
3671 (eww-form-file): New file upload button and file name context.
3672 (eww-select-file): Select file and display selected file name.
3673 (eww-tag-input): Handle input tag of file type.
3674 (eww-update-field): Add point offset.
3675 (eww-submit): Add submit with multipart/form-data.
3677 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
3679 * net/eww.el (eww-render, eww-display-html, eww-setup-buffer):
3680 Allow taking a buffer to render data in. This allows using several
3681 eww buffers (bug#16211).
3683 2014-11-10 Charles Rendleman <carendle@gmail.com> (tiny change)
3685 * net/eww.el (eww-download-callback): Save only the file contents,
3688 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
3690 * net/eww.el (eww-data): New plist to store all the data relevant
3691 to a single page, used throughout the file instead of the
3692 variables `eww-current-url', `eww-current-dom',
3693 `eww-current-source', and `eww-current-title'.
3694 (eww-readable): Copy over pertinent data from the parent page.
3695 (eww-save-history): Don't let the history grow infinitely.
3697 * net/eww.el: Remove `eww-next-url', `eww-previous-url',
3698 `eww-up-url', `eww-home-url', `eww-start-url' and
3699 `eww-contents-url' and put the data into the `eww-data' plist.
3700 This allow restoring these values after going back in the history.
3702 2014-11-10 Sylvain Chouleur <sylvain.chouleur@gmail.com> (tiny change)
3704 Allow VTIMEZONE where daylight and standard time zones are equal.
3705 See: http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00494.html
3706 * calendar/icalendar.el (icalendar--convert-tz-offset):
3707 Support timezone without daylight saving time.
3709 2014-11-10 Glenn Morris <rgm@gnu.org>
3711 * startup.el (command-line): Handle nil elements in load-path.
3713 2014-11-10 Stefan Monnier <monnier@iro.umontreal.ca>
3715 * help.el (view-lossage): Include the actual commands run.
3717 2014-11-10 Dmitry Gutov <dgutov@yandex.ru>
3719 * vc/vc-dir.el (vc-dir-hide-state): Also hide `ignored' items when
3720 no state is specified. (Bug#18964)
3722 2014-11-09 Eric Ludlam <zappo@gnu.org>
3724 * emacs-lisp/eieio-custom.el (eieio-customize-object):
3725 Set eieio-cog (current group) to g, which is an improved form of input
3728 2014-11-09 Juri Linkov <juri@jurta.org>
3730 * isearch.el (isearch-message-prefix): Show "Multi-file" and
3731 "Multi-buffer" instead of "Multi". (Bug#13592)
3733 * misearch.el (multi-isearch-file-list):
3734 Autoload multi-isearch-buffer-list and multi-isearch-file-list.
3735 (multi-isearch-end): Reset multi-isearch-buffer-list and
3736 multi-isearch-file-list to nil.
3738 2014-11-09 Stefan Monnier <monnier@iro.umontreal.ca>
3740 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
3741 Don't call byte-compile-preprocess since the result will go through
3743 (byte-compile-output-docform): Handle uninterned `name' correctly.
3744 * emacs-lisp/cl-macs.el (cl-define-compiler-macro): Use interned name
3745 to circumvent byte-compiler bug.
3747 * emacs-lisp/macroexp.el (macroexp--expand-all): Fix typo.
3748 (macroexp--compiler-macro): Remove left-over debug code.
3750 * emacs-lisp/cl-extra.el (cl-get): Silence compiler warning.
3752 2014-11-08 Juri Linkov <juri@jurta.org>
3754 * simple.el (shell-command): Use buffer-name when output-buffer is
3755 a buffer. (Bug#18096)
3757 2014-11-08 Juri Linkov <juri@jurta.org>
3759 * minibuffer.el (minibuffer-completion-help): Compare this-command
3760 with completion-at-point. (Bug#17809)
3762 2014-11-08 Glenn Morris <rgm@gnu.org>
3764 * emacs-lisp/bytecomp.el (byte-compile-report-error):
3765 Allow the argument to be a string. Due to the vague doc,
3766 it was already being used this way.
3768 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
3770 * net/tramp.el (tramp-check-cached-permissions): Include hop in
3771 the constructed Tramp file name. (Bug#18943)
3773 2014-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
3775 * emulation/cua-base.el (cua--select-keymaps): Use region-active-p
3777 (cua-set-mark, cua--post-command-handler-1):
3778 * emulation/cua-gmrk.el (cua-cancel-global-mark): Same.
3780 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
3782 * files.el (file-name-non-special): Wrap the call of
3783 `insert-file-contents' by `unwind-protect', in order to set the
3784 buffer's file name anyway. (Bug#18891)
3786 2014-11-08 Alan Mackenzie <acm@muc.de>
3788 Fix wrong bound to c-font-lock-declarators. Fixes bug #18948.
3789 * progmodes/cc-fonts.el (c-font-lock-declarations):
3790 Pass "(point-max)" as bound to c-font-lock-declarators, not "limit", as
3791 the buffer is sometimes narrowed to less than "limit" (e.g., in
3792 the presence of macros).
3794 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
3796 * net/tramp.el (tramp-error-with-buffer): Show connection buffer
3797 only when message appeared in minibuffer. (Bug#18891)
3799 * net/tramp-adb.el (tramp-adb-handle-file-attributes):
3800 * net/tramp-gvfs.el (tramp-gvfs-handle-file-attributes):
3801 * net/tramp-sh.el (tramp-sh-handle-file-attributes): Return nil in
3804 2014-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
3806 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
3807 Don't compile before eval in `eval-and-compile'.
3808 (byte-compile-arglist-warn): Add check for defining macros after their
3809 first use. Check call use even if the function is fboundp.
3811 2014-11-08 Richard Stallman <rms@gnu.org>
3813 * mail/rmail.el (rmail-epa-decrypt): Detect armor with line prefixes.
3814 Check more carefully for mime-part specified character set.
3815 Check for mime-part Content Transfer Encoding.
3816 Notify if no armor found.
3818 2014-11-08 Martin Rudalics <rudalics@gmx.at>
3820 * faces.el (face-set-after-frame-default): Enable running
3821 `window-configuration-change-hook'.
3823 2014-11-07 Juri Linkov <juri@jurta.org>
3825 * replace.el: History for query replace pairs.
3826 (query-replace-defaults): Promote to a list of cons cell. Doc fix.
3827 (query-replace-from-to-separator): New variable.
3828 (query-replace-read-from): Let-bind query-replace-from-to-history
3829 to a list of FROM-TO strings created from query-replace-defaults
3830 and separated by query-replace-from-to-separator. Use it as
3831 the history while reading from the minibuffer. Split the returned
3832 string by the separator to get FROM and TO parts, and add them
3833 to the history variables.
3834 (query-replace-read-to): Add FROM-TO pairs to query-replace-defaults.
3835 (query-replace-regexp-eval): Let-bind query-replace-defaults to nil.
3836 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00253.html
3838 * isearch.el (isearch-text-char-description): Keep characters
3839 intact and put formatted strings with the `display' property.
3841 2014-11-07 Martin Rudalics <rudalics@gmx.at>
3843 * cus-start.el (frame-resize-pixelwise): Fix group.
3844 (frame-inhibit-implied-resize): Add entry.
3846 2014-11-07 Daiki Ueno <ueno@gnu.org>
3848 * epa.el (epa-pinentry-mode): New user option.
3849 (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
3850 (epa-sign-region, epa-encrypt-region): Respect epa-pinentry-mode.
3851 * epa-file.el (epa-file-insert-file-contents)
3852 (epa-file-write-region): Respect epa-pinentry-mode.
3854 2014-11-07 Daiki Ueno <ueno@gnu.org>
3856 * epg.el (epg--list-keys-1): Ignore fields after the 15th field
3857 (bug#18979). Reported by Hideki Saito.
3859 2014-11-06 Daiki Ueno <ueno@gnu.org>
3861 * emacs-lisp/package.el (package--display-verify-error): New function.
3862 (package--check-signature): Use it to display output sent to stderr.
3864 2014-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
3866 * subr.el (pop): Don't call the getter twice (bug#18968).
3868 * emacs-lisp/macroexp.el (macroexp--expand-all): Optimize away trivial
3871 2014-11-06 Daiki Ueno <ueno@gnu.org>
3873 * epa.el (epa-error-buffer): New variable.
3874 (epa-display-error): New function.
3875 (epa-decrypt-file, epa-verify-file, epa-verify-region)
3876 (epa-delete-keys, epa-import-keys): Display output sent to stderr.
3877 (epa-sign-file, epa-sign-region, epa-encrypt-region)
3878 (epa-export-keys, epa-insert-keys): Display output sent to stderr.
3879 Use setf instead of epg-context-set-*.
3880 * epa-file.el (epa-file-insert-file-contents):
3881 Use epa-display-error instead of epa-display-info. Mimic the behavior
3882 of jka-compr when decryption program is not found.
3883 (epa-file-write-region): Use epa-display-error instead of
3886 2014-11-05 Stefan Monnier <monnier@iro.umontreal.ca>
3888 * vc/vc.el (vc-region-history): New command.
3889 (vc-print-log-internal): Use cl-some.
3891 * vc/vc-git.el (vc-git-region-history): New function.
3892 (vc-git-region-history-mode-map)
3893 (vc-git--log-view-long-font-lock-keywords)
3894 (vc-git-region-history-font-lock-keywords): New vars.
3895 (vc-git-region-history-font-lock): New function.
3896 (vc-git-region-history-mode): New major mode.
3898 2014-11-05 Tassilo Horn <tsdh@gnu.org>
3900 * net/eww.el (subr-x): Require subr-x at compile-time because eww
3903 2014-11-05 Daiki Ueno <ueno@gnu.org>
3905 * epg.el (epg-context): Add new slot ERROR-OUTPUT.
3906 (epg-error-output): New buffer-local variable.
3907 (epg--start): Initialize epg-error-output.
3908 (epg--process-filter): Record output lines sent to stderr, in
3910 (epg-wait-for-completion): Copy epg-error-output to ERROR-OUTPUT
3912 * epa-file.el (epa-file-insert-file-contents): On error, display
3913 output sent to stderr.
3914 (epa-file-write-region): Likewise.
3916 2014-11-05 Eli Zaretskii <eliz@gnu.org>
3918 * jit-lock.el (jit-lock-stealth-fontify): Be tolerant to nil being
3919 returned by load-average.
3921 2014-11-05 Michael Albinus <michael.albinus@gmx.de>
3923 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer): Don't use
3924 a local copy; setting `inhibit-file-name-handlers' proper might be
3925 more performant. (Bug#18751)
3927 2014-11-05 Glenn Morris <rgm@gnu.org>
3929 * mail/emacsbug.el (report-emacs-bug): No longer include
3930 recent-keys in the report. (Bug#18900)
3932 2014-11-04 Paul Eggert <eggert@cs.ucla.edu>
3934 * mouse.el (mouse-drag-line): Fix misspelling of "right-fringe".
3936 2014-11-04 Teodor Zlatanov <tzz@lifelogs.com>
3938 * net/eww.el (eww): Trim URL with `string-trim'.
3939 Suggested by Vibhav Pant <vibhavp@gmail.com>.
3941 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
3943 * net/eww.el (eww-score-readability): Don't count comments positively.
3945 * net/shr.el (shr-retransform-dom): Typo fix.
3947 * net/eww.el (eww-score-readability): Parse SVC images correctly.
3948 (eww-display-html): Don't leave point inside forms.
3950 * net/shr.el: Ditto.
3952 2014-11-03 Stefan Monnier <monnier@iro.umontreal.ca>
3954 * emacs-lisp/edebug.el (edebug-safe-prin1-to-string): Assume that
3955 edebug-prin1-to-string already handles circularity.
3957 * emacs-lisp/byte-run.el (defun-declarations-alist): Fix compiler-macro
3958 autoloading when specified as a lambda.
3960 * simple.el (execute-extended-command--last-typed): New var.
3961 (read-extended-command): Set it.
3962 Don't complete obsolete commands.
3963 (execute-extended-command--shorter-1)
3964 (execute-extended-command--shorter): New functions.
3965 (execute-extended-command): Use them to suggest shorter names.
3966 (indicate-copied-region, deactivate-mark): Use region-active-p.
3968 2014-11-03 Michael Albinus <michael.albinus@gmx.de>
3970 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer): Use a
3971 local copy of FILENAME, when it is remote. (Bug#18751)
3973 * net/tramp-adb.el (tramp-adb-handle-process-file): Do not raise
3974 an error when the command fails; the return code must indicate.
3975 (tramp-adb-send-command-and-check): Fix docstring.
3977 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
3979 * net/shr.el (shr-retransform-dom): Don't ignore elements that
3980 have no children like <br />.
3982 * net/eww.el (eww-display-html): Clear `url-queue'.
3983 (eww-display-pdf): New function.
3984 (eww-render): Display PDFs with `doc-view'.
3985 (url-queue): Require `url-queue' to avoid compilation warning.
3986 (eww-colorize-region): Remove duplicate function.
3987 (eww-tag-body): Use `shr-colorize-region'.
3989 2014-11-03 Yoni Rabkin <yrk@gnu.org>
3991 * net/eww.el (eww-list-bookmarks): Autoload.
3993 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
3995 * net/shr.el (shr-retransform-dom): Allow several text sub-nodes.
3997 * net/eww.el (eww-display-html): The charset is called `utf-8',
3999 (eww-readable): Decode the saved text correctly.
4000 (eww-readable): Save the history before displaying so that we can
4001 go back to the non-readable version.
4002 (eww-display-html): Don't try to decode the text if we've been
4003 passed in a pre-parsed DOM.
4004 (eww-tag-title): Remove newlines and extra whitespace from the
4007 2014-11-02 Lars Magne Ingebrigtsen <larsi@gnus.org>
4009 * net/eww.el (eww-readable): New command and keystroke.
4011 * net/shr.el (shr-retransform-dom): New function.
4013 * net/eww.el (eww-display-html): Set `eww-current-source' in the
4015 (eww-view-source): Use it.
4017 2014-11-02 Ivan Shmakov <ivan@siamics.net>
4019 * net/eww.el (eww): Recognize colon-delimited IPv6 addresses.
4022 2014-11-02 Brian McKenna <brian@brianmckenna.org> (tiny change)
4024 * net/eww.el (eww-submit): Encode empty form values as "". (Bug#17785).
4026 2014-11-02 Ivan Shmakov <ivan@siamics.net>
4028 * net/eww.el (eww): Allow "file:/file/name" URLs. (Bug#18825).
4030 2014-11-02 Ivan Shmakov <ivan@siamics.net>
4032 * net/eww.el (eww-mode-map): Remove mentions of `eww-quit'.
4035 2014-11-02 Eric Abrahamsen <eric@ericabrahamsen.net>
4037 * emacs-lisp/eieio.el (eieio-edebug-prin1-to-string): Adjust for
4039 (edebug-setup-hook): Advise `edebug-prin1-to-string'. (Bug#18897)
4041 2014-11-02 Stefan Monnier <monnier@iro.umontreal.ca>
4043 * emacs-lisp/pp.el (pp-macroexpand-expression): Use macroexpand-1
4045 * progmodes/elisp-mode.el (emacs-lisp-macroexpand): Idem.
4047 2014-11-01 Michael R. Mauger <michael@mauger.com>
4049 * sql.el (sql-mode-oracle-font-lock-keywords): Correct regexp
4050 syntax, add new keywords, and parse longer keywords first.
4051 (sql-redirect-one): Protect against empty command.
4052 (sql-mode, sql-interactive-mode): Set `custom-mode-group' property
4055 2014-11-01 Michael R. Mauger <michael@mauger.com>
4057 * sql.el (sql-interactive-mode, sql-stop): Correct fix for
4058 Bug#16814 with let-bind of comint-input-ring variables around read
4061 2014-11-01 Michael Albinus <michael.albinus@gmx.de>
4063 * net/tramp-cache.el (tramp-get-file-property)
4064 (tramp-set-file-property): Check that `tramp-cache-get-count-*'
4065 and `tramp-cache-set-count-*' are bound. Otherwise, there might
4066 be compiler warnings.
4068 * net/tramp-sh.el (tramp-get-remote-uid, tramp-get-remote-gid):
4069 Return -1 respective "UNKNOWN", if uid or gid cannot be determined.
4071 2014-11-01 Eli Zaretskii <eliz@gnu.org>
4073 * progmodes/compile.el (compilation-mode): Turn off deferred
4074 fontifications locally. (Bug#18856)
4076 2014-11-01 Wolfgang Jenkner <wjenkner@inode.at>
4078 * net/tramp-sh.el (tramp-send-command): Fix the case where the
4079 remote-echo connection property is non-nil (bug#18858).
4081 2014-11-01 Stefan Monnier <monnier@iro.umontreal.ca>
4083 * simple.el (newline): Add assertions to try and help catch bug#18913.
4085 * emulation/cua-base.el (cua-delete-region): Use delete-active-region
4087 (cua--last-deleted-region-pos, cua--last-deleted-region-text): Remove.
4089 2014-11-01 Kim F. Storm <storm@cua.dk>
4091 Restore cua-delete-copy-to-register-0 and M-v command (bug#18886).
4092 * delsel.el (delete-selection-save-to-register)
4093 (delsel--replace-text-or-position): New vars.
4094 (delete-active-region): Use them.
4095 (delete-selection-repeat-replace-region): New command, moved from
4097 * emulation/cua-base.el (cua--repeat-replace-text): Remove var.
4098 (cua-repeat-replace-region): Move command to delsel.el.
4099 (cua--init-keymaps): Update binding accordingly.
4100 (cua-mode): Set delete-selection-save-to-register.
4102 2014-11-01 Alan Mackenzie <acm@muc.de>
4104 Make blink-parens work with a closing template delimiter.
4105 * progmodes/cc-cmds.el (c-electric-lt-gt): Cause a redisplay
4106 before calling blink-paren-function, so as to apply syntax-table
4107 properties to the ">".
4109 2014-11-01 Jan Djärv <jan.h.d@swipnet.se>
4111 * select.el (gui-get-selection): Comment: data-type ignored on NS.
4113 2014-10-31 Stefan Monnier <monnier@iro.umontreal.ca>
4115 * emacs-lisp/macroexp.el (macroexpand-1): New function (bug#18821).
4116 (macroexp--expand-all): Unrelated tweaks.
4118 * emacs-lisp/gv.el (gv-get): Use macroexpand-1.
4120 2014-10-30 Glenn Morris <rgm@gnu.org>
4122 * startup.el (command-line): Remove pointless attempt to avoid
4123 statting the file-system (which expand-file-name doesn't do).
4125 2014-10-30 Daniel Colascione <dancol@dancol.org>
4127 Add "enum class" support to C++ mode.
4128 * progmodes/cc-langs.el (c-after-brace-list-decl-kwds)
4129 (c-after-brace-list-key): New language consts/variables.
4130 * progmodes/cc-engine.el (c-looking-at-decl-block):
4131 Exclude spurious match of "enum struct" from decl-block recognition.
4132 (c-backward-colon-prefixed-type): New function.
4133 (c-backward-over-enum-header): Call above function to extend
4134 recognition of enum structure.
4136 2014-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
4138 * progmodes/cc-defs.el (c--macroexpand-all): New function (bug#18845).
4140 * progmodes/cc-langs.el (c-make-init-lang-vars-fun): Use it.
4142 2014-10-30 Eli Zaretskii <eliz@gnu.org>
4144 * progmodes/compile.el (compilation-start):
4145 If compilation-scroll-output is non-nil, don't force window-start of
4146 the compilation buffer to be at beginning of buffer. (Bug#18874)
4148 * startup.el (fancy-about-text): Read the entire tutorial, not
4149 just its first 256 bytes. (Bug#18760)
4151 2014-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
4153 * emacs-lisp/bytecomp.el: Require cl-extra (bug#18804).
4154 * emacs-lisp/cl-extra.el: Add missing provide.
4156 * emacs-lisp/bytecomp.el (byte-compile-and-folded): Optimize case where
4157 all args are copyable (bug#18767).
4158 (=, <, >, <=, >=): Re-enable the optimization.
4160 2014-10-29 Glenn Morris <rgm@gnu.org>
4162 * net/rcirc.el (rcirc-fill-column): Unbump :version. Mark :risky.
4164 * version.el (emacs-bzr-version, emacs-bzr-get-version):
4165 Revert 2014-10-26 change.
4167 2014-10-29 Paul Eggert <eggert@cs.ucla.edu>
4169 Simplify use of current-time and friends.
4170 * allout-widgets.el (allout-widgets-hook-error-handler):
4171 * calendar/appt.el (appt-display-message):
4172 * calendar/icalendar.el (icalendar--convert-float-to-ical):
4173 * calendar/timeclock.el (timeclock-in, timeclock-when-to-leave)
4174 (timeclock-last-period, timeclock-day-base):
4175 * eshell/em-ls.el (eshell-ls-file):
4176 * eshell/esh-util.el (eshell-parse-ange-ls):
4177 * generic-x.el (named-database-print-serial):
4178 * net/newst-backend.el (newsticker--get-news-by-url-callback)
4179 (newsticker-get-news, newsticker--sentinel-work)
4180 (newsticker--image-get, newsticker--image-sentinel):
4181 * net/tramp-sh.el (tramp-get-remote-touch):
4182 * progmodes/opascal.el (opascal-debug-log):
4183 * textmodes/remember.el (remember-mail-date)
4184 (remember-store-in-files):
4185 * vc/vc-annotate.el (vc-annotate-display-autoscale)
4186 (vc-default-annotate-current-time):
4187 * vc/vc-bzr.el (vc-bzr-shelve-snapshot):
4188 * vc/vc-cvs.el (vc-cvs-annotate-current-time):
4189 * vc/vc-rcs.el (vc-rcs-annotate-current-time):
4190 Omit unnecessary call to current-time.
4191 * calendar/time-date.el (time-to-seconds) [!float-time]:
4192 * vc/vc-annotate.el (vc-annotate-convert-time):
4193 Use current time if arg is nil, to be compatible with float-time.
4194 (time-date--day-in-year): New function, with most of the guts of
4195 the old time-to-day-in-year.
4196 (time-to-day-in-year): Use it.
4197 (time-to-days): Use it, to avoid decoding the same time stamp twice.
4198 * calendar/timeclock.el (timeclock-time-to-date):
4199 Arg is now optional, like current-time-string.
4200 (timeclock-update-mode-line):
4201 Don't call current-time twice to get the current time stamp,
4202 as this can lead to inconsistent results.
4203 * completion.el (cmpl-hours-since-origin):
4204 * ido.el (ido-time-stamp):
4205 * vc/vc-annotate.el (vc-annotate-convert-time):
4206 Simplify by using float-time.
4207 * completion.el (save-completions-to-file):
4208 Rename local var to avoid confusion.
4209 * net/rcirc.el (rcirc-float-time): Simplify to an alias because
4210 time-to-seconds now behaves like float-time with respect to nil arg.
4211 * subr.el (progress-reporter-do-update):
4212 Don't call float-time unless needed.
4214 2014-10-29 Leo Liu <sdl.web@gmail.com>
4216 * net/rcirc.el (rcirc-fill-column): Use function.
4217 (rcirc-markup-fill): Remove adjustment.
4219 2014-10-28 Christopher Schmidt <ch@ristopher.com>
4221 * calc/calc.el (quick-calc):
4222 * calc/calc-aent.el (calc-do-quick-calc): New argument INSERT.
4224 2014-10-28 Sam Steingold <sds@gnu.org>
4226 * net/rcirc.el (rcirc-fill-column): Allow any symbolic value for
4227 the sake of `window-body-width' (in addition to `frame-width').
4229 2014-10-26 Eric S. Raymond <esr@thyrsus.com>
4231 * version.el: Fix some fallback values to conform to the actual
4234 2014-10-25 Eric S. Raymond <esr@thyrsus.com>
4236 * Makefile.in: Change some production names so they're neutral
4237 about the repository type.
4239 2014-10-25 Michael Albinus <michael.albinus@gmx.de>
4241 * net/tramp-gvfs.el (tramp-gvfs-methods-mounttracker)
4242 (tramp-gvfs-mountlocation-signature): Check `tramp-gvfs-enabled'
4243 during initialization. (Bug#18774)
4245 2014-10-25 Vincent Belaïche <vincentb1@users.sourceforge.net>
4247 * ses.el (macroexp): Add require for this package, so that
4248 function `ses--cell' gets macroexp-quote --- this change was
4249 supposed to be in my previous commit, but left out by mistake.
4250 (ses--cell): Do not make formula a macroexp-quote of value when
4251 value, not formula, is *skip*.
4253 2014-10-24 Vincent Belaïche <vincentb1@users.sourceforge.net>
4255 * ses.el (macroexp): Add require for this package, so that function
4256 `ses--cell gets macroexp-quote.
4257 (ses--cell): Makes formula a macroexp-quote of value when formula
4258 is nil. The rationale of this changr is to allow in the future
4259 shorter SES files, e.g. we could have only `(ses-cell A1 1.0)'
4260 instead of `(ses-cell A1 1.0 1.0 nil REFLIST)'. In such a case
4261 reference list REFLIST would be re-computed after load --- thus
4262 trading off load time against file size.
4264 * emacs-lisp/package.el (package--alist-to-plist-args):
4265 Use macroexp-quote instead of a lambda expression which has the same
4266 content as macroexp-quote.
4267 (macroexp): Add require for this package, so that function
4268 `package--alist-to-plist-args' gets macroexp-quote.
4270 * emacs-lisp/macroexp.el (macroexp-quote): New defun.
4272 2014-10-24 Stefan Monnier <monnier@iro.umontreal.ca>
4274 * term/ns-win.el (ns-store-cut-buffer-internal)
4275 (ns-copy-including-secondary): Use gui-set-selection (bug#18816).
4277 2014-10-24 Martin Rudalics <rudalics@gmx.at>
4279 * mouse.el (mouse-drag-line): Don't use mouse-pixel-position.
4280 Calculate increment from last position instead of window edge.
4281 Add right- and bottom-divider bindings to transient map.
4283 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
4285 * emacs-lisp/cl-macs.el (cl-defstruct): Define an internal predicate
4286 even if :predicate was nil, for the benefit of typep.
4287 Record the name of the predicate for typep's use.
4288 (cl--make-type-test): Use pcase. Obey new
4289 cl-deftype-satisfies property.
4291 * epg.el: Use cl-defstruct.
4292 (epg-make-data-from-file, epg-make-data-from-string, epg-data-file)
4293 (epg-data-string): Define via cl-defstruct.
4294 (epg--gv-nreverse): New macro.
4295 (epg-context--make): New constructor (provided vi cl-defstruct).
4296 (epg-make-context): Rewrite using it.
4297 (epg-context-protocol, epg-context-program)
4298 (epg-context-home-directory, epg-context-armor, epg-context-textmode)
4299 (epg-context-include-certs, epg-context-cipher-algorithm)
4300 (epg-context-digest-algorithm, epg-context-compress-algorithm)
4301 (epg-context-passphrase-callback, epg-context-progress-callback)
4302 (epg-context-signers, epg-context-sig-notations, epg-context-process)
4303 (epg-context-output-file, epg-context-result, epg-context-operation)
4304 (epg-context-pinentry-mode): Define using cl-defstruct.
4305 (epg-context-set-protocol, epg-context-set-program)
4306 (epg-context-set-include-certs, epg-context-set-cipher-algorithm)
4307 (epg-context-set-digest-algorithm)
4308 (epg-context-set-sig-notations, epg-context-set-process)
4309 (epg-context-set-output-file, epg-context-set-result)
4310 (epg-context-set-operation, epg-context-set-pinentry-mode)
4311 (epg-context-set-compress-algorithm): Remove. Use setf instead.
4312 (epg-context-set-armor, epg-context-set-textmode)
4313 (epg-context-set-signers): Redefine using setf
4314 and declare as obsolete.
4315 (epg-context-set-passphrase-callback)
4316 (epg-context-set-progress-callback): Use setf.
4317 (epg-signature-notations): Rename from epg-sig-notations.
4318 (epg-make-signature, epg-signature-status, epg-signature-key-id)
4319 (epg-signature-validity, epg-signature-fingerprint)
4320 (epg-signature-creation-time, epg-signature-expiration-time)
4321 (epg-signature-pubkey-algorithm, epg-signature-digest-algorithm)
4322 (epg-signature-class, epg-signature-version): Define vi cl-defstruct.
4323 (epg-signature-set-status, epg-signature-set-key-id)
4324 (epg-signature-set-validity, epg-signature-set-fingerprint)
4325 (epg-signature-set-creation-time, epg-signature-set-expiration-time)
4326 (epg-signature-set-pubkey-algorithm)
4327 (epg-signature-set-digest-algorithm, epg-signature-set-class)
4328 (epg-signature-set-version, epg-signature-set-notations): Remove.
4330 (epg-make-new-signature, epg-new-signature-type)
4331 (epg-new-signature-pubkey-algorithm)
4332 (epg-new-signature-digest-algorithm, epg-new-signature-class)
4333 (epg-new-signature-creation-time, epg-new-signature-fingerprint):
4334 Define using cl-defstruct.
4335 (epg-make-key, epg-key-owner-trust, epg-key-sub-key-list)
4336 (epg-key-user-id-list): Define using cl-defstruct.
4337 (epg-key-set-sub-key-list, epg-key-set-user-id-list): Remove.
4339 (epg-make-sub-key, epg-sub-key-validity, epg-sub-key-capability)
4340 (epg-sub-key-secret-p, epg-sub-key-algorithm, epg-sub-key-length)
4341 (epg-sub-key-id, epg-sub-key-creation-time)
4342 (epg-sub-key-expiration-time, epg-sub-key-fingerprint): Define using
4344 (epg-sub-key-set-fingerprint): Remove. Use setf instead.
4345 (epg-make-user-id, epg-user-id-validity, epg-user-id-string)
4346 (epg-user-id-signature-list): Define using cl-defstruct.
4347 (epg-user-id-set-signature-list): Remove. Use setf instead.
4348 (epg-make-key-signature, epg-key-signature-validity)
4349 (epg-key-signature-pubkey-algorithm, epg-key-signature-key-id)
4350 (epg-key-signature-creation-time, epg-key-signature-expiration-time)
4351 (epg-key-signature-user-id, epg-key-signature-class)
4352 (epg-key-signature-exportable-p): Define using cl-defstruct.
4353 (epg-make-sig-notation, epg-sig-notation-name)
4354 (epg-sig-notation-value, epg-sig-notation-human-readable)
4355 (epg-sig-notation-critical): Define using cl-defstruct.
4356 (epg-sig-notation-set-value): Remove. Use setf instead.
4357 (epg-make-import-status, epg-import-status-fingerprint)
4358 (epg-import-status-reason, epg-import-status-new)
4359 (epg-import-status-user-id, epg-import-status-signature)
4360 (epg-import-status-sub-key, epg-import-status-secret): Define using
4362 (epg-make-import-result, epg-import-result-considered)
4363 (epg-import-result-no-user-id, epg-import-result-imported)
4364 (epg-import-result-imported-rsa, epg-import-result-unchanged)
4365 (epg-import-result-new-user-ids, epg-import-result-new-sub-keys)
4366 (epg-import-result-new-signatures, epg-import-result-new-revocations)
4367 (epg-import-result-secret-read, epg-import-result-secret-imported)
4368 (epg-import-result-secret-unchanged, epg-import-result-not-imported)
4369 (epg-import-result-imports): Define using cl-defstruct.
4371 * emacs-lisp/package.el: Require EPG during macroexpansion.
4372 (package--check-signature, package-import-keyring): Use setf instead of
4373 epg-context-set-home-directory.
4375 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
4377 * emacs-lisp/bytecomp.el (byte-compile--use-old-handlers): Change default.
4379 2014-10-23 Leo Liu <sdl.web@gmail.com>
4381 * progmodes/cfengine.el (cfengine3-defun-full-re): New var.
4382 (cfengine3-create-imenu-index): Use it and use ` ' for separation.
4383 (cfengine3-current-defun): New function.
4384 (cfengine3-mode): Set add-log-current-defun-function.
4386 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
4388 * select.el: Use lexical-binding.
4389 (gui-set-selection): Provide an implementation for non-GUI frames
4391 * term/x-win.el: Use lexical-binding.
4392 (x-clipboard-yank): Fix up missed renamings.
4393 * term/w32-win.el (libgif-version, libjpeg-version): Silence compiler.
4394 (w32--set-selection): Fix up var names.
4395 * term/pc-win.el: Use lexical-binding.
4396 (w16-selection-exists-p): Silence compiler warning.
4397 (w16-selection-owner-p): Fix up missed renamings.
4399 * emacs-lisp/bytecomp.el (byte-compile-form): Remove left-over debug.
4401 * frame.el (frame-notice-user-settings): Fix excessive quoting.
4403 2014-10-22 Tassilo Horn <tsdh@gnu.org>
4405 * doc-view.el (doc-view-open-text): View the document's plain text
4406 in the current buffer instead of a new one.
4407 (doc-view-toggle-display): Handle the case where the current
4408 buffer contains the plain text contents of the document.
4409 (doc-view-initiate-display): Don't switch to fallback mode if the
4410 user wants to view the doc's plain text.
4411 (doc-view-set-doc-type): Use assoc-string instead of
4414 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
4416 * subr.el (read-key): Fix clicks on the mode-line.
4417 (set-transient-map): Return exit function.
4419 * mouse.el (mouse-drag-line): Use set-transient-map (bug#18015).
4420 (mouse--down-1-maybe-follows-link): Remove unused var `this-event'.
4421 (mouse-yank-secondary): Use gui-get-selection.
4422 (mouse--down-1-maybe-follows-link): Use read-key.
4424 * xt-mouse.el: Add `event-kind' property on the fly from
4425 xterm-mouse-translate-1 rather than statically at the outset.
4427 2014-10-21 Daniel Colascione <dancol@dancol.org>
4429 * vc/vc-dispatcher.el (vc-resynch-window): Tell view-mode not to
4430 change window configuration when we turn it off.
4432 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
4434 Get rid of backend-dependent selection-handling functions for kill/yank
4435 and make it generic instead by relying on the lower-level selection
4436 management functions.
4438 * select.el (select-enable-clipboard): Rename from
4439 gui-select-enable-clipboard.
4440 (select-enable-primary): Move from x-win.el and rename from
4441 x-select-enable-primary.
4442 (gui-last-selected-text): Remove.
4443 (gui--last-selected-text-clipboard, gui--last-selected-text-primary):
4445 (gui-select-text): Rewrite, based on x-win.el's old x-select-text.
4446 (gui-select-text-alist, gui-selection-value-alist): Remove.
4447 (x-select-request-type): Move from x-win.el.
4448 (gui--selection-value-internal): New function, taken from x-win's
4449 x-selection-value-internal.
4450 (gui-selection-value): Rewrite, based on x-win.el's old x-selection-value.
4451 (gui-set-selection-alist): Rename from gui-own-selection-alist and
4452 extend it to handle a nil value as a "disown" request.
4453 (gui-disown-selection-alist): Remove.
4454 (xselect-convert-to-delete): Adjust accordingly.
4455 (gui-set-selection): Simplify accordingly as well. Use dotimes.
4457 * term/x-win.el (x-last-selected-text-primary)
4458 (x-select-enable-primary): Remove (moved to select.el).
4459 (x-select-request-type): Move to select.el.
4460 (x-selection-value-internal, x--selection-value): Remove functions.
4461 (gui-selection-value, gui-select-text): Remove moethods.
4462 (gui-set-selection): Merge own and disown methods.
4464 * term/w32-win.el (w32--select-text, w32--get-selection-value):
4465 Delete function (move functionality into w32--set-selection and
4466 w32--get-selection).
4467 (gui-select-text, gui-selection-value): Don't define methods.
4468 (w32--set-selection, w32--get-selection, w32--selection-owner-p):
4470 (gui-get-selection, gui-selection-owner-p, gui-selection-exists-p):
4472 (gui-selection-exists-p): Adjust to new name of C primitive.
4474 * term/pc-win.el (w16-get-selection-value): Add dummy argument and drop
4475 test of gui-select-enable-clipboard, to make it usable as
4476 a gui-get-selection method.
4477 (gui-selection-exists-p): Adjust to new name of C primitive.
4478 (gui-set-selection): Merge own and disown methods.
4479 (gui-select-text, gui-selection-value): Delete methods.
4480 (w16--select-text): Delete function.
4482 * term/ns-win.el (ns-get-pasteboard, ns-set-pasteboard)
4483 (ns-selection-value): Remove functions.
4484 (gui-select-text, gui-selection-value): Don't define method any more.
4485 (gui-set-selection): Merge the old own and disown methods.
4486 (gui-selection-exists-p, gui-get-selection): Adjust to new name of
4487 underlying C primitive.
4489 * startup.el (command-line): Adjust now that `gui-method' expects nil
4492 * frame.el (gui-method): Use window-system rather than framep.
4493 (gui-method-declare): The tty case is now nil rather than t.
4494 (make-frame): Adjust accordingly.
4496 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
4498 * net/newst-reader.el (newsticker--image-read): Simplify.
4499 (newsticker--icon-read): Use dolist and fix free var error.
4501 * imenu.el (imenu--menubar-keymap): New var.
4502 (imenu-add-to-menubar): Set it to remember the keymap we used.
4503 (imenu-update-menubar): Use it instead of asking lookup-key.
4505 * obsolete/cc-compat.el: Make obsolete (bug#18561).
4507 * epg-config.el (epg-gpg-program): Don't use absolute names by default.
4509 * emacs-lisp/bytecomp.el (=, <, >, <=, >=): Don't optimize multi-arg
4512 2014-10-21 Glenn Morris <rgm@gnu.org>
4514 * Merge in all changes up to version 24.4 release.
4516 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
4518 * emacs-lisp/bytecomp.el (=, <, >, <=, >=): Don't optimize multi-arg
4521 2014-10-20 Glenn Morris <rgm@gnu.org>
4523 * Merge in all changes up to 24.4 release.
4525 2014-10-20 Ulf Jasper <ulf.jasper@web.de>
4527 * net/newst-backend.el
4528 (newsticker--image-download-by-url-callback): Make this function
4529 actually work: Check status properly, then save image.
4531 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
4533 * mouse.el (mouse--down-1-maybe-follows-link): Remove unused var
4535 (mouse-drag-line): Unless there's no actual mouse, use the event's
4538 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
4540 * textmodes/css-mode.el (scss-mode): New major-mode.
4541 (css-mode-syntax-table): Use d style comment, to ease the scss case.
4542 (css-ident-re): Allow things like @-moz-keyframes.
4543 (scss--hash-re): New const.
4544 (css--font-lock-keywords): New function, extracted from
4545 css-font-lock-keywords.
4547 2014-10-19 Ulf Jasper <ulf.jasper@web.de>
4549 * net/newst-backend.el: Require url-parse.
4550 (newsticker--get-news-by-wget): Store feed name as process property.
4551 (newsticker--sentinel): Read feed name from process property.
4552 (newsticker--sentinel-work): Rename argument name to feed-name.
4553 Rename variable imageurl to image-url. Pick icon url from Atom
4554 1.0 data. Launch download of feed icon.
4555 (newsticker--get-icon-url-atom-1.0): New.
4557 (newsticker--unxml-node)
4558 (newsticker--unxml-attribute): Documentation.
4559 (newsticker--icons-dir): New.
4560 (newsticker--image-get): New arguments FILENAME and DIRECTORY.
4561 Use `url-retrieve' if `newsticker-retrieval-method' is 'intern.
4562 (newsticker--image-download-by-wget): New. Use process properties
4563 for storing informations.
4564 (newsticker--image-sentinel): Read informations from process properties.
4565 (newsticker--image-save)
4566 (newsticker--image-remove)
4567 (newsticker--image-download-by-url)
4568 (newsticker--image-download-by-url-callback): New.
4569 (newsticker-opml-export): Handle url list entries containing a
4570 function instead of an url string.
4572 * net/newst-reader.el (newsticker-html-renderer): Whitespace.
4573 (newsticker--print-extra-elements)
4574 (newsticker--do-print-extra-element):
4575 Documentation (newsticker--image-read): Optionally limit image height.
4576 Use imagemagick if possible.
4577 (newsticker--icon-read): New.
4579 * net/newst-treeview.el (newsticker--treeview-item-show): Limit height of feed logo.
4580 (newsticker--treeview-tree-expand): Use feed icons in treeview.
4581 (newsticker--tree-widget-icon-create): New. Set the tree widget icon.
4582 (newsticker--tree-widget-leaf-icon): Use feed icon.
4584 2014-10-19 Stefan Monnier <monnier@iro.umontreal.ca>
4586 * emacs-lisp/eieio-opt.el (eieio-lambda-arglist): Remove.
4587 Use help-function-arglist instead.
4589 * emacs-lisp/eieio-core.el (eieio-compiled-function-arglist): Remove.
4590 (eieio--with-scoped-class): Use `declare'.
4591 (eieio-defclass): Remove compatibility code.
4592 (no-method-definition, no-next-method, inconsistent-class-hierarchy)
4593 (invalid-slot-type, unbound-slot, invalid-slot-name): Use define-error.
4595 2014-10-18 Jan Djärv <jan.h.d@swipnet.se>
4597 * cus-start.el (x-gtk-whole-detached-tool-bar): Remove.
4599 * term/x-win.el (x-gtk-stock-map): Add icon names suggested as
4600 replacements to stock names before stock names in a list.
4601 Cdr may be a list, each name is tried in turn until one is found.
4603 2014-10-18 Alan Mackenzie <acm@muc.de>
4605 Check that a "macro" found near point-min isn't a ## operator.
4607 * progmodes/cc-engine.el (c-macro-is-genuine-p): New function.
4608 (c-beginning-of-macro): Use the above new function.
4610 2014-10-18 Teodor Zlatanov <tzz@lifelogs.com>
4612 * net/gnutls.el (gnutls-negotiate): Don't use cl-mapcan; pass
4613 correct data to `gnutls-boot' (Bug#18664).
4614 Reported by Toke Høiland-Jørgensen <toke@toke.dk>.
4616 2014-10-18 Michal Nazarewicz <mina86@mina86.com>
4618 * whitespace.el (whitespace-style, whitespace-big-indent)
4619 (whitespace-big-indent-regexp, whitespace-style-value-list)
4620 (whitespace-toggle-option-alist, whitespace-interactive-char)
4621 (whitespace-toggle-options)
4622 (global-whitespace-toggle-options, whitespace-help-text)
4623 (whitespace-style-face-p, whitespace-color-on): Add a 'big-indent
4624 style to `whitespace-mode' to indicate that the line indentation
4625 is too deep. By default, 32 SPACEs or four TABs are considered
4626 too many but `whitespace-big-indent-regexp' can be configured.
4628 2014-10-17 Michal Nazarewicz <mina86@mina86.com>
4630 * textmodes/tildify.el (tildify--pick-alist-entry): Rename from
4633 2014-10-17 Stefan Monnier <monnier@iro.umontreal.ca>
4635 * emacs-lisp/eieio.el: Use lexical-binding drop non-GV fallback.
4636 (defclass, defgeneric, defmethod): Add doc-string position.
4637 (with-slots): Require cl-lib.
4639 * emacs-lisp/eieio-core.el: Use lexical-binding and cl-lib.
4640 (list-of): New type.
4641 (eieio--typep): Remove.
4642 (eieio-perform-slot-validation): Use cl-typep instead.
4644 * emacs-lisp/eieio-base.el: Use lexical-binding and cl-lib.
4646 * emacs-lisp/cl-macs.el (cl--make-type-test): Avoid ((lambda ..) ..).
4648 2014-10-16 Alan Mackenzie <acm@muc.de>
4650 Trigger showing when point is in the "periphery" of a line or just
4652 * paren.el (show-paren-style, show-paren-delay)
4653 (show-paren-priority, show-paren-ring-bell-on-mismatch):
4654 Remove superfluous :group specifications.
4655 (show-paren-when-point-inside-paren)
4656 (show-paren-when-point-in-periphery): New customizable variables.
4657 (show-paren-highlight-openparen): Make into a defcustom.
4658 (show-paren--unescaped-p, show-paren--categorize-paren)
4659 (show-paren--locate-near-paren): New defuns.
4660 (show-paren--default): Refaactor and trigger on more paren
4662 (show-paren-function): Small consequential changes.
4664 2014-10-16 Tom Tromey <tom@tromey.com>
4666 * files.el (auto-mode-alist): Use javascript-mode for .jsm
4669 2014-10-16 Eli Zaretskii <eliz@gnu.org>
4671 * international/characters.el (bracket-type): Force pre-loading of
4674 2014-10-16 Alan Mackenzie <acm@muc.de>
4676 * cus-edit.el (custom-command-apply): Specify the return value in
4678 (Custom-reset-standard): Save custom-file (e.g. .emacs) only when
4679 custom-command-apply has returned non-nil.
4681 2014-10-15 Stefan Monnier <monnier@iro.umontreal.ca>
4683 * emacs-lisp/eldoc.el (global-eldoc-mode): Enable by default.
4684 Remove incorrect handling of eldoc-print-after-edit.
4685 (eldoc-message-commands, eldoc-last-data): Use defvar.
4686 * loadup.el (emacs-lisp/eldoc): Load it.
4688 * progmodes/m4-mode.el (m4-syntax-propertize): New var.
4690 (m4--quoted-p): New function.
4691 (m4-font-lock-keywords): Don't handle #..\n comments any more.
4692 (m4-mode-syntax-table): Use punctuation syntax (according to m4 manual)
4693 for most special characters.
4695 * progmodes/compile.el (compilation--previous-directory): Simplify.
4696 (compilation-next-error): Ensure the parse before we look at
4697 compilation-message property.
4699 2014-10-15 Eli Zaretskii <eliz@gnu.org>
4701 * simple.el (what-cursor-position):
4702 * descr-text.el (describe-char): Update to support the new bidi
4705 * emacs-lisp/tabulated-list.el (tabulated-list-mode):
4706 Force bidi-paragraph-direction to 'left-to-right'. This fixes
4707 buffer-menu display when the first buffer happens to start with
4710 2014-10-15 Stefan Monnier <monnier@iro.umontreal.ca>
4712 * progmodes/elisp-mode.el (elisp--local-variables-1):
4713 Handle quoted expressions (bug#18688).
4715 2014-10-14 Jérémy Compostella <jeremy.compostella@intel.com>
4716 Michael Albinus <michael.albinus@gmx.de>
4718 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
4719 Reduce the amount of set environment variable commands.
4721 2014-10-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
4723 Fix import completion. (Bug#18582)
4724 * progmodes/python.el (python-shell-completion-get-completions):
4725 Fix import case regexp.
4727 2014-10-12 Stefan Monnier <monnier@iro.umontreal.ca>
4729 * progmodes/bat-mode.el (bat-font-lock-keywords): Fix \\<_ typo
4730 (bug#18622). Reported by Arni Magnusson <arnima@hafro.is>.
4731 * progmodes/prolog.el (prolog-electric--underscore): Same.
4733 2014-10-12 Michael Albinus <michael.albinus@gmx.de>
4735 * net/tramp-sh.el (tramp-get-remote-id): Check also for "gid".
4737 2014-10-11 Jan Djärv <jan.h.d@swipnet.se>
4739 * cus-start.el (all): Add missing ns and boolean to
4740 ns-use-fullscreen-animation.
4742 2014-10-11 Leo Liu <sdl.web@gmail.com>
4744 * progmodes/cfengine.el (cfengine3-defuns, cfengine3-vartypes):
4746 (cfengine3-create-imenu-index): New function.
4747 (cfengine3-mode): Use it for `imenu-create-index-function'.
4748 (cfengine-auto-mode): Improve and prefer cfengine3-mode when
4751 2014-10-11 Jan Djärv <jan.h.d@swipnet.se>
4753 * cus-start.el (all): Add ns-use-fullscreen-animation.
4755 2014-10-11 Glenn Morris <rgm@gnu.org>
4757 * calendar/diary-lib.el (diary-display-function):
4758 Drop support for deprecated nil and list forms.
4759 (diary-list-entries): Update for the above.
4760 * calendar/cal-x.el (calendar-dedicate-diary): Simplify accordingly.
4762 2014-10-10 Leo Liu <sdl.web@gmail.com>
4764 * window.el (temp-buffer-window-show): Make BUFFER a required arg.
4767 2014-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
4769 * select.el (gui-selection-exists-p-alist): New method.
4770 * menu-bar.el (menu-bar-edit-menu, clipboard-yank):
4771 * simple.el (deactivate-mark): Use it.
4772 * term/x-win.el (gui-selection-exists-p):
4773 * term/w32-win.el (gui-selection-exists-p):
4774 * term/pc-win.el (gui-selection-exists-p):
4775 * term/ns-win.el (gui-selection-exists-p): Provide a backend instance.
4777 2014-10-10 Glenn Morris <rgm@gnu.org>
4779 * info.el (Info-fontify-maximum-menu-size): Bump to 400k. (Bug#16227)
4780 Fix :type. Allow t to mean no limit.
4781 (Info-fontify-node): Handle Info-fontify-maximum-menu-size = t.
4783 2014-10-09 Glenn Morris <rgm@gnu.org>
4785 * frame.el (display-monitor-attributes-list): Doc tweaks.
4787 2014-10-09 Eli Zaretskii <eliz@gnu.org>
4789 * faces.el (display-grayscale-p): Mention in the doc string that
4790 the argument can be either a display name or a frame.
4792 * frame.el (display-pixel-height, display-pixel-width)
4793 (display-mm-height, display-mm-width, display-backing-store)
4794 (display-save-under, display-planes, display-color-cells)
4795 (display-visual-class, display-monitor-attributes-list)
4796 (display-screens): Mention in the doc string that the argument can
4797 be either a display name or a frame. Improve the docs of the
4798 monitor attributes. (Bug#18636)
4800 2014-10-09 Martin Rudalics <rudalics@gmx.at>
4802 * term.el (term-window-width): Subtract 1 from the width when
4803 any fringe has zero width, not just the right fringe. (Bug#18601)
4805 2014-10-09 Stefan Monnier <monnier@iro.umontreal.ca>
4807 * frame.el (make-frame): Use t rather than nil for `w' (bug#18653).
4809 2014-10-08 Leo Liu <sdl.web@gmail.com>
4811 * emacs-lisp/cl-extra.el (cl-fresh-line): New function.
4813 2014-10-08 Glenn Morris <rgm@gnu.org>
4815 * calendar/cal-x.el (calendar-dedicate-diary):
4816 Drop support for recently deleted aliases.
4818 2014-10-08 Leo Liu <sdl.web@gmail.com>
4820 * progmodes/cfengine.el (cfengine3-make-syntax-cache):
4821 Always return a syntax. Replace call-process-shell-command with
4822 process-file. Ensure cfengine-mode-syntax-functions-regex is
4823 always set. Ensure cache when cfengine-cf-promises fails.
4826 2014-10-07 Glenn Morris <rgm@gnu.org>
4828 * font-lock.el (font-lock-fontify-buffer): Fix interactive-only markup.
4830 2014-10-07 Wilson Snyder <wsnyder@wsnyder.org>
4832 Sync with upstream verilog-mode revision c075a492.
4833 * progmodes/verilog-mode.el (verilog-mode-version): Bump.
4834 (verilog-menu): Add AUTOINSERTLAST.
4835 (verilog-no-indent-begin-re): When `verilog-indent-begin-after-if'
4836 is nil, fix indenting initial/final to match always statements, bug825.
4837 Reported by Tim Clapp.
4838 (verilog-extended-complete-re): Fix indentation of DPI-C imports,
4839 bug557. Reported by ZeDong Mao and Jason Forkey.
4840 (verilog-read-decls): Fix parsing typed interfaces.
4841 Fix AUTOINOUTMODPORT missing types. Reported by Stephan Bourduas.
4842 (verilog-auto-arg-ports): Fix verilog-auto-arg-format single.
4843 (verilog-auto-output-every): Add regexp to AUTOOUTPUTEVERY, bug793.
4844 Reported by Pierre-David Pfister.
4845 (verilog-auto-insert-lisp): Doc fix.
4846 (verilog-auto-insert-last, verilog-auto): Add AUTOINSERTLAST to
4847 allow post-AUTO user fixups, bug826. Reported by Dennis Muhlestein.
4848 (verilog-sk-ovm-class, verilog-sk-uvm-object)
4849 (verilog-sk-uvm-component): Fix missing string keyword in class
4850 skeletons, bug824. Reported by eldad faruhi.
4852 2014-10-06 Stefan Monnier <monnier@iro.umontreal.ca>
4854 * term/w32-win.el: Move all code from 32-common-fns.el here.
4855 (gui-select-text, gui-selection-value): Use w32 handlers in the w32
4856 console as well (bug#18629).
4857 * w32-common-fns.el: Remove.
4858 * loadup.el: Don't load w32-common-fns.el.
4859 * w32-fns.elc: Don't require w32-common-fns.
4861 * icomplete.el: Move Iswitchb autoload here. Much simpler.
4862 * obsolete/iswitchb.el (iswitchb-mode): Use normal autoload cookie.
4863 Remove redundant obsolescence thingy.
4864 * loadup.el: Don't load obsolete/loaddefs.el.
4865 * Makefile.in (obsolete-autoloads): Remove.
4866 (AUTOGENEL): Remove obsolete/loaddefs.el.
4868 2014-10-06 Glenn Morris <rgm@gnu.org>
4870 * Makefile.in (obsolete-autoloads): Write to a separate file,
4871 to workaround autoloads bug. (Bug#17407)
4872 (AUTOGENEL): Add obsolete/loaddefs.el.
4873 * loadup.el: Load obsolete/loaddefs.el if present.
4874 * subr.el (do-after-load-evaluation):
4875 Don't warn about obsolete/loaddefs.el.
4877 * menu-bar.el (menu-bar-games-menu): Remove landmark.
4878 It has zero relationship to a game.
4880 2014-10-06 Leo Liu <sdl.web@gmail.com>
4882 * imenu.el (imenu): Re-write for clarity.
4884 2014-10-06 Glenn Morris <rgm@gnu.org>
4886 Remove calendar code obsolete since at least version 23.1.
4887 * calendar/cal-bahai.el (calendar-absolute-from-bahai)
4888 (calendar-print-bahai-date, calendar-bahai-prompt-for-date)
4889 (calendar-goto-bahai-date, list-bahai-diary-entries)
4890 (mark-bahai-calendar-date-pattern, mark-bahai-diary-entries)
4891 (insert-bahai-diary-entry, insert-monthly-bahai-diary-entry)
4892 (insert-yearly-bahai-diary-entry):
4893 * calendar/cal-china.el (chinese-calendar-time-zone)
4894 (chinese-calendar-location-name)
4895 (chinese-calendar-daylight-time-offset)
4896 (chinese-calendar-standard-time-zone-name)
4897 (chinese-calendar-daylight-time-zone-name)
4898 (chinese-calendar-daylight-savings-starts)
4899 (chinese-calendar-daylight-savings-ends)
4900 (chinese-calendar-daylight-savings-starts-time)
4901 (chinese-calendar-daylight-savings-ends-time)
4902 (chinese-calendar-celestial-stem)
4903 (chinese-calendar-terrestrial-branch)
4904 (calendar-absolute-from-chinese, calendar-print-chinese-date)
4905 (calendar-goto-chinese-date):
4906 * calendar/cal-coptic.el (calendar-absolute-from-coptic)
4907 (calendar-print-coptic-date, coptic-prompt-for-date)
4908 (calendar-goto-coptic-date, calendar-absolute-from-ethiopic)
4909 (calendar-print-ethiopic-date, calendar-goto-ethiopic-date):
4910 * calendar/cal-french.el (calendar-absolute-from-french)
4911 (calendar-print-french-date, calendar-goto-french-date):
4912 * calendar/cal-hebrew.el (diary-sabbath-candles-minutes)
4913 (calendar-absolute-from-hebrew, calendar-print-hebrew-date)
4914 (hebrew-calendar-yahrzeit, calendar-goto-hebrew-date)
4915 (holiday-rosh-hashanah-etc, holiday-hanukkah)
4916 (holiday-passover-etc, holiday-tisha-b-av-etc)
4917 (list-hebrew-diary-entries, mark-hebrew-calendar-date-pattern)
4918 (mark-hebrew-diary-entries, insert-hebrew-diary-entry)
4919 (insert-monthly-hebrew-diary-entry)
4920 (insert-yearly-hebrew-diary-entry, list-yahrzeit-dates)
4921 (diary-omer, diary-yahrzeit, diary-rosh-hodesh, diary-parasha)
4922 (diary-sabbath-candles):
4923 * calendar/cal-islam.el (calendar-absolute-from-islamic)
4924 (calendar-print-islamic-date, calendar-goto-islamic-date)
4925 (list-islamic-diary-entries, mark-islamic-calendar-date-pattern)
4926 (mark-islamic-diary-entries, insert-islamic-diary-entry)
4927 (insert-monthly-islamic-diary-entry)
4928 (insert-yearly-islamic-diary-entry):
4929 * calendar/cal-iso.el (calendar-absolute-from-iso)
4930 (calendar-print-iso-date, calendar-iso-read-args)
4931 (calendar-goto-iso-date, calendar-goto-iso-week):
4932 * calendar/cal-julian.el (calendar-absolute-from-julian)
4933 (calendar-print-julian-date, calendar-goto-julian-date)
4934 (calendar-absolute-from-astro, calendar-print-astro-day-number)
4935 (calendar-goto-astro-day-number):
4936 * calendar/cal-mayan.el (calendar-print-mayan-date)
4937 (calendar-next-haab-date, calendar-previous-haab-date)
4938 (calendar-next-tzolkin-date, calendar-previous-tzolkin-date)
4939 (calendar-next-calendar-round-date)
4940 (calendar-previous-calendar-round-date)
4941 (calendar-absolute-from-mayan-long-count)
4942 (calendar-goto-mayan-long-count-date):
4943 * calendar/cal-move.el (scroll-calendar-left)
4944 (scroll-calendar-right, scroll-calendar-left-three-months)
4945 (scroll-calendar-right-three-months):
4946 * calendar/cal-persia.el (calendar-absolute-from-persian)
4947 (calendar-print-persian-date, persian-prompt-for-date)
4948 (calendar-goto-persian-date):
4949 * calendar/cal-x.el (calendar-after-frame-setup-hooks):
4950 * calendar/calendar.el (view-diary-entries-initially)
4951 (mark-diary-entries-in-calendar, calendar-today-face)
4952 (diary-face, holiday-face, view-calendar-holidays-initially)
4953 (mark-holidays-in-calendar, initial-calendar-window-hook)
4954 (today-visible-calendar-hook, today-invisible-calendar-hook)
4955 (hebrew-diary-entry-symbol, islamic-diary-entry-symbol)
4956 (bahai-diary-entry-symbol, american-date-diary-pattern)
4957 (european-date-diary-pattern, european-calendar-display-form)
4958 (american-calendar-display-form, holidays-in-diary-buffer)
4959 (all-hebrew-calendar-holidays, all-christian-calendar-holidays)
4960 (all-islamic-calendar-holidays, all-bahai-calendar-holidays)
4961 (fancy-diary-buffer, increment-calendar-month)
4962 (extract-calendar-month, extract-calendar-day)
4963 (extract-calendar-year, exit-calendar, calendar-date-is-legal-p)
4964 (mark-visible-calendar-date, calendar-version):
4965 * calendar/diary-lib.el (diary-button-face, sexp-diary-entry-symbol)
4966 (diary-display-hook, list-diary-entries-hook)
4967 (mark-diary-entries-hook, nongregorian-diary-listing-hook)
4968 (nongregorian-diary-marking-hook, print-diary-entries-hook)
4969 (abbreviated-calendar-year, number-of-diary-entries)
4970 (view-other-diary-entries, add-to-diary-list)
4971 (include-other-diary-files, simple-diary-display)
4972 (fancy-diary-display, print-diary-entries, mark-diary-entries)
4973 (mark-sexp-diary-entries, mark-included-diary-files)
4974 (mark-calendar-days-named, mark-calendar-month)
4975 (mark-calendar-date-pattern, sort-diary-entries)
4976 (list-sexp-diary-entries, make-diary-entry, insert-diary-entry)
4977 (insert-weekly-diary-entry, insert-monthly-diary-entry)
4978 (insert-yearly-diary-entry, insert-anniversary-diary-entry)
4979 (insert-block-diary-entry, insert-cyclic-diary-entry)
4980 (fancy-diary-font-lock-keywords, fancy-diary-display-mode):
4981 * calendar/holidays.el (general-holidays, oriental-holidays)
4982 (local-holidays, other-holidays, hebrew-holidays)
4983 (christian-holidays, islamic-holidays, bahai-holidays)
4984 (solar-holidays, list-calendar-holidays)
4985 (check-calendar-holidays, mark-calendar-holidays)
4986 (filter-visible-calendar-holidays):
4987 * calendar/lunar.el (calendar-phases-of-moon, phases-of-moon)
4988 (diary-phases-of-moon): Remove obsolete aliases.
4989 * calendar/cal-menu.el (cal-menu-load-hook): Remove obsolete hook.
4990 * calendar/cal-x.el (calendar-one-frame-setup)
4991 (calendar-only-one-frame-setup, calendar-two-frame-setup):
4992 Remove obsolete functions.
4993 (cal-x-load-hook): Remove obsolete hook.
4994 * calendar/calendar.el (european-calendar-style):
4995 Remove obsolete variable.
4996 (calendar-date-style): No longer consult european-calendar-style.
4997 * calendar/calendar.el (european-calendar, american-calendar):
4998 Remove obsolete commands.
4999 * calendar/calendar.el (calendar-for-loop): Remove obsolete macro.
5000 * calendar/diary-lib.el (diary-face): Remove obsolete variable.
5001 (diary-font-lock-date-forms, diary-fancy-font-lock-keywords):
5002 Use the face `diary' instead of the variable `diary-face'.
5003 * calendar/holidays.el (hebrew-holidays-1, hebrew-holidays-2)
5004 (hebrew-holidays-3, hebrew-holidays-4): Remove obsolete variables.
5005 * calendar/icalendar.el (icalendar--date-style): Remove function.
5006 Replace all uses with calendar-date-style.
5007 * textmodes/remember.el (calendar-date-style): Declare.
5008 (remember-diary-convert-entry):
5009 No longer consult european-calendar-style.
5011 2014-10-05 Leo Liu <sdl.web@gmail.com>
5013 * imenu.el (imenu-default-goto-function): Fix typo.
5015 2014-10-04 Thomas Fitzsimmons <fitzsim@fitzsim.org>
5017 * net/ntlm.el (ntlm-build-auth-request):
5018 Add NTLM2 Session support. (Bug#15603)
5020 2014-10-04 Glenn Morris <rgm@gnu.org>
5022 * apropos.el (apropos-symbols-internal):
5023 Avoid error with non-symbol properties. (Bug#18337#16)
5025 * startup.el (command-line):
5026 Handle altered user-emacs-directory in load-path warning. (Bug#18512)
5028 2014-10-04 Martin Rudalics <rudalics@gmx.at>
5030 * window.el (window-full-height-p): Make it behave correctly for
5032 (window-current-scroll-bars): Fix code.
5033 (fit-frame-to-buffer): Use window-scroll-bar-height instead of
5035 * frame.el (frame-current-scroll-bars): Fix doc-string.
5036 * scroll-bar.el (toggle-horizontal-scroll-bar): New command.
5038 2014-10-04 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
5040 * files.el (auto-mode-alist): Use sh-mode for .zsh files. (Bug#18488)
5042 2014-10-04 Glenn Morris <rgm@gnu.org>
5044 * frame.el (frame-monitor-attributes)
5045 (display-monitor-attributes-list): Doc fixes.
5047 2014-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
5049 Merge trivially safe differences from standalone CC-mode.
5050 * progmodes/cc-mode.el (c-initialize-cc-mode): Don't quote a symbol
5051 just to then pass it to `symbol-value'.
5052 (prog-mode): Provide fallback definition, if needed.
5053 * progmodes/cc-langs.el: Always load `cl'. Don't load `cl-lib'.
5054 Remove "cl-" prefix accordingly.
5055 * progmodes/cc-fonts.el (c-font-lock-invalid-string): Use integerp or
5056 characterp depending on the type of characters.
5057 (c-font-lock-enum-tail): Remove unused var `start'.
5058 * progmodes/cc-engine.el: Load CL at compile-time.
5059 (c-declare-lang-variables): Use mapcan.
5060 (c-append-to-state-cache): Remove unused var `ce+1'.
5061 (c-parse-state-state): Make buffer-local.
5062 (c-ssb-lit-begin): Remove unused var `pps-end-pos'.
5063 (c-just-after-func-arglist-p): Remove unused var `end'.
5064 * progmodes/cc-defs.el: Load cc-fix if delete-dups is undefined.
5065 (c-<-as-paren-syntax, c->-as-paren-syntax): Move definition earlier.
5066 (c-make-keywords-re): Use delete-dups.
5067 (c-get-current-file): Avoid file-name-base.
5068 * progmodes/cc-cmds.el (c-electric-lt-gt): Remove unused var
5069 `close-paren-inserted'.
5070 * progmodes/cc-awk.el (c-forward-sws): Remove unused declaration.
5072 * progmodes/python.el: Avoid building unneeded markers.
5073 (python-font-lock-keywords, python-indent-dedent-line)
5074 (python-fill-paren, python-shell-completion-complete-or-indent):
5075 Prefer point over point-marker.
5076 (inferior-python-mode): Remove redundant completion settings.
5078 2014-10-03 Dmitry Gutov <dgutov@yandex.ru>
5080 * vc/vc-svn.el (vc-svn-ignore-completion-table): Implement.
5081 (vc-svn-ignore): Use it. (Bug#18619)
5083 2014-10-03 Martin Rudalics <rudalics@gmx.at>
5085 * frame.el (toggle-frame-maximized, toggle-frame-fullscreen):
5086 In doc-string mention need to set `frame-resize-pixelwise'.
5088 2014-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
5090 * vc/vc-svn.el (vc-svn-after-dir-status): Fix the non-remote regexp,
5091 similarly to Rogers's 2010-06-16 change for the remote case
5094 2014-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
5096 New gui-selection-value consolidating x-selection-value.
5097 * select.el (gui-selection-value-alist): New method.
5098 (gui-selection-value): New function.
5099 (x-selection-value): Make it an obsolete alias.
5100 * simple.el (interprogram-paste-function): Default to
5101 gui-selection-value.
5102 * w32-common-fns.el (w32-get-selection-value): Simplify.
5103 (x-selection-value): Remove alias.
5104 (interprogram-paste-function): Don't set.
5105 (gui-selection-value): Define for w32.
5106 * term/x-win.el (gui-selection-value): Define for x.
5107 (x--selection-value): Rename from x--selection-value.
5108 (interprogram-paste-function): Don't set.
5109 * term/pc-win.el (w16-get-selection-value): Simplify.
5110 (msdos-initialize-window-system): Don't set
5111 interprogram-paste-function.
5112 (gui-selection-value): Define for pc.
5113 * term/ns-win.el (x-selection-value): Remove.
5114 (gui-selection-value): Define for ns, instead.
5115 * term/common-win.el (x-setup-function-keys): Don't set
5116 interprogram-paste-function.
5117 * obsolete/mouse-sel.el (mouse-sel-get-selection-function):
5118 Use gui-selection-value.
5120 2014-10-02 David Raynes <rayners@gmail.com> (tiny change)
5122 * term/ns-win.el: Add functions to ns frame, not x frame (bug#18614).
5124 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
5126 * obsolete/lucid.el (read-number): Remove, redundant.
5127 * obsolete/cl-compat.el (cl-floor, cl-ceiling, cl-round, cl-truncate):
5130 2014-10-02 Glenn Morris <rgm@gnu.org>
5132 * emacs-lisp/package.el (package-import-keyring):
5133 Create gnupg directory private. (Bug#17625#155)
5135 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
5137 * progmodes/python.el (python-shell-completion-get-completions):
5138 Use python-shell--prompt-calculated-input-regexp from the
5139 process buffer (bug#18582).
5140 Don't assume that `line' comes from the process buffer.
5142 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
5144 * frame.el: Use lexical-binding (bug#18598).
5145 (make-frame): Use t rather than nil for tty's window-system.
5146 * startup.el (command-line): Use gui-method.
5148 Consolidate management/ownership of selections.
5149 * select.el (gui-get-selection-alist): New method.
5150 (gui-get-selection): Use it. Rename from x-get-selection.
5151 (x-get-selection): Define as obsolete alias.
5152 (x-get-clipboard): Mark obsolete.
5153 (gui-get-primary-selection): New function.
5154 (x-get-selection-value): Mark obsolete.
5155 (gui-own-selection-alist, gui-disown-selection-alist)
5156 (gui-selection-owner-p-alist): New methods.
5157 (gui-set-selection): Use them. Rename from x-set-selection.
5158 (x-set-selection): Define as obsolete alias.
5159 (gui--valid-simple-selection-p): Rename from
5160 x-valid-simple-selection-p.
5161 * w32-common-fns.el (gui-own-selection, gui-disown-selection)
5162 (gui-selection-owner-p, gui-get-selection): Define for w32.
5163 (w32-get-selection-value): Rename from x-get-selection-value.
5164 Use the new gui-last-selected-text.
5165 * term/x-win.el (x-get-selection-value): Remove.
5166 (x-clipboard-yank): Declare obsolete.
5167 (gui-own-selection, gui-disown-selection, gui-get-selection)
5168 (gui-selection-owner-p): Define for x.
5169 * term/w32-win.el (w32-win-suspend-error): Rename from
5170 x-win-suspend-error.
5171 * term/pc-win.el (w16-get-selection-value): Rename from
5172 x-get-selection-value.
5173 (w16-selection-owner-p): Rename from x-selection-owner-p.
5174 (gui-own-selection, gui-disown-selection, gui-get-selection)
5175 (gui-selection-owner-p): Define for pc.
5176 (w16--select-text): New function.
5177 * term/ns-win.el (gui-own-selection, gui-disown-selection)
5178 (gui-get-selection, gui-selection-owner-p): Define for ns.
5179 * term.el (term-mouse-paste):
5180 * mouse.el (mouse-yank-primary): Use gui-get-primary-selection.
5182 2014-10-02 H. Dieter Wilhelm <dieter@duenenhof-wilhelm.de>
5184 * calc/calc-help.el (calc-describe-thing): Quote strings
5185 which could look like regexps.
5187 2014-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
5189 Consolidate x-select-text.
5190 * frame.el (gui-method, gui-method-define, gui-method-declare)
5191 (gui-call): New macros.
5192 (gui-method--name): New function.
5193 (frame-creation-function-alist): Use gui-method-declare.
5194 (make-frame): Use gui-method.
5195 * select.el (gui-select-enable-clipboard): Rename from
5196 x-select-enable-clipboard and move here.
5197 (x-select-enable-clipboard): Define as obsolete alias.
5198 (gui-last-selected-text): New var, to replace x-last-selected-text.
5199 (gui-select-text): New GUI method.
5200 (gui-select-text): New function.
5201 (x-select-text): Define as obsolete alias.
5202 * term/common-win.el (x-select-enable-clipboard, x-select-text):
5204 * simple.el (interprogram-cut-function): Change default to
5206 (interprogram-paste-function): Change default to `ignore'.
5207 * w32-common-fns.el (interprogram-cut-function): Don't modify.
5208 * term/x-win.el (interprogram-cut-function): Don't modify.
5209 (gui-select-text): Add method for x.
5210 * term/w32-win.el (gui-select-text): Add method for w32.
5211 * term/pc-win.el (x-last-selected-text): Remove, use
5212 gui-last-selected-text instead.
5213 (msdos-initialize-window-system): Don't set interprogram-cut-function.
5214 (gui-select-text): Add method for pc.
5215 * term/ns-win.el (ns-last-selected-text): Remove, use
5216 gui-last-selected-text instead.
5217 (gui-select-text): Add method for ns.
5218 (x-setup-function-keys): Don't change interprogram-cut-function.
5219 * loadup.el ("startup"): Load after "frame".
5220 * subr.el (package--builtin-versions, package--description-file):
5221 Move from startup.el.
5222 * startup.el (package--builtin-versions, package--description-file):
5224 (handle-args-function-alist, window-system-initialization-alist):
5225 Use gui-method-declare.
5226 (command-line): Use gui-method.
5228 2014-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
5230 * subr.el (alist-get): New accessor.
5231 * emacs-lisp/gv.el (alist-get): Provide expander.
5232 * winner.el (winner-remember):
5233 * tempo.el (tempo-use-tag-list):
5234 * progmodes/gud.el (minor-mode-map-alist):
5235 * international/mule-cmds.el (define-char-code-property):
5236 * frameset.el (frameset-filter-params):
5237 * files.el (dir-locals-set-class-variables):
5238 * register.el (get-register, set-register):
5239 * calc/calc-yank.el (calc-set-register): Use it.
5240 * ps-print.el (ps-get, ps-put, ps-del): Mark as obsolete.
5241 * tooltip.el (tooltip-set-param): Mark as obsolete.
5242 (tooltip-show): Use alist-get instead.
5243 * ses.el (ses--alist-get): Remove. Use alist-get instead.
5245 2014-10-01 Ulf Jasper <ulf.jasper@web.de>
5247 * net/newst-backend.el: Remove Time-stamp. Rename variable
5248 `newsticker--download-logos' to `newsticker-download-logos' and
5249 make it customizable.
5250 (newsticker--sentinel-work): Move xml-workarounds to function
5251 `newsticker--do-xml-workarounds', call unless libxml-parser is
5252 used. Allow single quote in regexp for encoding.
5253 Use libxml-parser if available, else fall back to `xml-parse-region'.
5254 Take care of possibly missing namespace prefixes (like "RDF"
5255 instead of "rdf:RDF") when checking xml nodes and attributes (as
5256 libxml correctly removes the prefixes). Always use Atom 1.0 as
5257 fallback feed type. Rename `newsticker--download-logos' to
5258 `newsticker-download-logos'
5259 (newsticker--unxml, newsticker--unxml-node)
5260 (newsticker--unxml-attribute): New.
5261 (newsticker--parse-atom-1.0): Call `unxml' in case that embedded
5262 HTML code has become part of the xml parse tree.
5263 (newsticker--parse-rss-1.0, newsticker--parse-rss-2.0): Take care
5264 of possibly missing namespace prefixes.
5265 (newsticker--parse-generic-items): Code formatting. Typo.
5266 (newsticker--images-dir): Add trailing slash.
5267 (newsticker--image-get): Fix error message.
5269 * net/newst-plainview.el: Remove Time-stamp.
5271 * net/newst-reader.el: Remove Time-stamp.
5272 (newsticker-download-logos): Rename variable
5273 `newsticker--download-logos' to `newsticker-download-logos' and
5274 make it customizable.
5275 (newsticker--print-extra-elements): Add optional parameter
5276 'htmlish for using html markup. Amend list of ignored elements.
5277 (newsticker--do-print-extra-element): Add parameter 'htmlish for
5280 * net/newst-ticker.el: Remove Time-stamp.
5282 * net/newst-treeview.el (newsticker--treeview-item-show): Use html
5283 for formatting extra elements.
5285 * net/newsticker.el: Remove Time-stamp, Version.
5286 (newsticker-version): Make obsolete.
5288 2014-09-30 Leonardo Nobrega <leonobr@gmail.com> (tiny change)
5290 * progmodes/python.el (python-fill-paren): Don't inf-loop at EOB
5293 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
5295 * emacs-lisp/package.el (package-check-signature): Default to nil if
5296 GPG is not available.
5297 (package-refresh-contents): Don't mess with the keyring if we won't
5298 check the signatures anyway.
5300 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
5302 * ses.el (ses--row, ses--col): New dyn-scoped vars, to replace row&col.
5303 (ses-center, ses-center-span): Use them.
5304 (ses-print-cell): Bind them while calling the printer.
5305 (row, col, maxrow, maxcol): Don't declare as dynamically scoped.
5306 (ses-dorange): Revert last change.
5307 (ses-calculate-cell): Don't bind row&col dynamically while evaluating
5309 (ses-set-cell): Avoid `eval'.
5310 (ses--time-check): Rename it from ses-time-check and turn it into
5313 * ses.el (ses-setup): Don't assume modifying the iteration var of
5314 dotimes affects the iteration (bug#18191).
5316 2014-09-30 Vincent Belaïche <vincentb1@users.sourceforge.net>
5318 * ses.el (ses-calculate-cell): Bind row and col dynamically to
5319 their values with 'cl-progv'.
5320 (ses-dorange): Bind row, col, maxrow and maxcol dynamically to
5321 their values with 'cl-progv', also use non-interned symbols for
5322 row, minrow, maxrow, mincol and maxcol.
5323 (maxrow maxcol): New defvar, to make the compiler happy.
5325 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
5327 * minibuffer.el (completion-at-point): Emit warning for ill-behaved
5328 completion functions.
5330 2014-09-29 Stefan Monnier <monnier@iro.umontreal.ca>
5332 * ses.el (ses--letref): Quote value before it gets re-evaluated.
5334 2014-09-28 Thien-Thi Nguyen <ttn@gnu.org>
5336 Font-lock `cl-flet*', too.
5337 * emacs-lisp/lisp-mode.el (lisp-cl-font-lock-keywords-2):
5338 Add "flet*" to intermediate var `cl-lib-kw'.
5340 2014-09-27 Stefan Monnier <monnier@iro.umontreal.ca>
5342 * epg-config.el (epg-gpg-program): Use the plain program names rather
5343 than their absolute file name.
5345 * subr.el (track-mouse): New macro.
5346 * emacs-lisp/cconv.el (cconv-convert, cconv-analyse-form):
5347 Remove track-mouse case.
5348 * emacs-lisp/bytecomp.el (byte-compile-track-mouse): Remove.
5350 2014-09-27 Leo Liu <sdl.web@gmail.com>
5352 * progmodes/elisp-mode.el (elisp--eldoc-last-data): Use defvar.
5354 * emacs-lisp/eldoc.el (eldoc-mode): Fix thinko.
5356 2014-09-27 Stefan Monnier <monnier@iro.umontreal.ca>
5358 * emacs-lisp/pcase.el (pcase--split-match, pcase--app-subst-match):
5359 Handle the case where `match' is :pcase--succeed or :pcase--fail
5362 Introduce global-eldoc-mode. Move Elisp-specific code to elisp-mode.el.
5363 * emacs-lisp/eldoc.el (global-eldoc-mode): New minor mode.
5364 (eldoc-schedule-timer): Obey it.
5365 (eldoc-documentation-function): Default to nil.
5366 (eldoc-mode): Don't enable if eldoc-documentation-function is not set.
5367 (eldoc-documentation-function-default, eldoc-get-fnsym-args-string)
5368 (eldoc-highlight-function-argument, eldoc-get-var-docstring)
5369 (eldoc-last-data-store, eldoc-docstring-first-line)
5370 (eldoc-docstring-format-sym-doc, eldoc-fnsym-in-current-sexp)
5371 (eldoc-beginning-of-sexp, eldoc-current-symbol)
5372 (eldoc-function-argstring): Move to elisp-mode.el.
5373 (eldoc-symbol-function): Remove, unused.
5374 * progmodes/elisp-mode.el: New file. Rename all "eldoc-*" to "elisp--*".
5375 (elisp-completion-at-point): Rename from lisp-completion-at-point.
5376 (elisp--preceding-sexp): Rename from preceding-sexp.
5377 * loadup.el: Load new file progmodes/elisp-mode.
5378 * ielm.el (inferior-emacs-lisp-mode): Set eldoc-documentation-function.
5379 * emacs-lisp/lisp.el (lisp--local-variables-1, lisp--local-variables)
5380 (lisp--local-variables-completion-table, lisp--expect-function-p)
5381 (lisp--form-quoted-p, lisp--company-doc-buffer)
5382 (lisp--company-doc-string, lisp--company-location)
5383 (lisp-completion-at-point): Move to elisp-mode.el.
5384 * emacs-lisp/lisp-mode.el (lisp--mode-syntax-table): New syntax-table,
5385 extracted from emacs-lisp-mode-syntax-table.
5386 (emacs-lisp-mode-abbrev-table, emacs-lisp-mode-syntax-table): Move to
5388 (lisp-imenu-generic-expression): Add comments to document what comes
5389 from which Lisp dialect.
5390 (emacs-lisp-mode-map, emacs-lisp-byte-compile)
5391 (emacs-lisp-byte-compile-and-load, emacs-lisp-mode-hook)
5392 (emacs-lisp-mode, emacs-list-byte-code-comment-re)
5393 (emacs-lisp-byte-code-comment)
5394 (emacs-lisp-byte-code-syntax-propertize, emacs-lisp-byte-code-mode)
5395 (lisp-interaction-mode-map, lisp-interaction-mode)
5396 (eval-print-last-sexp, last-sexp-setup-props)
5397 (last-sexp-toggle-display, prin1-char, preceding-sexp)
5398 (eval-last-sexp-1, eval-last-sexp-print-value)
5399 (eval-last-sexp-fake-value, eval-sexp-add-defvars, eval-last-sexp)
5400 (eval-defun-1, eval-defun-2, eval-defun): Move to elisp-mode.el.
5402 2014-09-26 Paul Eggert <eggert@cs.ucla.edu>
5404 * progmodes/grep.el (grep-regexp-alist): Use more-accurate regexp.
5405 Do not match file names that end in '/', as they cannot be 'grep'
5406 hits nowadays. This prevents confusion when 'grep -r' reports a
5407 match in a file whose basename is ':12345:'. Conversely, do not
5408 require exactly the same sequence of spaces and tabs after both
5409 colons, and allow spaces or tabs before the second colon, as per
5410 the POSIX spec for 'grep' output.
5412 2014-09-26 Leo Liu <sdl.web@gmail.com>
5414 Add cl-parse-integer based on parse-integer (Bug#18557)
5415 * calendar/parse-time.el (parse-time-digits): Remove.
5416 (digit-char-p, parse-integer) Moved to cl-lib.el.
5417 (parse-time-tokenize, parse-time-rules, parse-time-string):
5418 Use cl-parse-integer.
5420 * emacs-lisp/cl-extra.el (cl-parse-integer): New function.
5422 * emacs-lisp/cl-lib.el (cl-digit-char-table): New var.
5423 (cl-digit-char-p): New function.
5425 2014-09-25 Juri Linkov <juri@jurta.org>
5427 * vc/add-log.el (change-log-next-buffer): Don't create an empty
5428 buffer "ChangeLog" when the current buffer doesn't match ChangeLog.[0-9].
5429 Return the current buffer if no files match the default pattern
5430 ChangeLog.[0-9]. Signal "end of multi" when file is nil. (Bug#18547)
5432 2014-09-25 Stefan Monnier <monnier@iro.umontreal.ca>
5434 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Don't modify
5435 the global vc-handled-backends (bug#18535).
5437 2014-09-24 Stefan Monnier <monnier@iro.umontreal.ca>
5439 * find-cmd.el (find-cmd): Use grep's `find-program' (bug#18518).
5440 Suggested by <lompik@voila.fr>.
5442 2014-09-24 Ulf Jasper <ulf.jasper@web.de>
5444 * net/newst-treeview.el (newsticker--treeview-do-get-node-by-id):
5445 Rename from `newsticker--treeview-do-get-node'.
5446 (newsticker--treeview-get-node-by-id):
5447 Rename from `newsticker--treeview-get-node'.
5448 (newsticker--treeview-buffer-init)
5449 (newsticker--treeview-buffer-init): Disable buffer undo.
5450 (newsticker--treeview-unfold-node): Adapt to modified
5451 `newsticker--group-find-parent-group'.
5452 (newsticker--group-do-find-group):
5453 Rename from `newsticker--group-do-find-group-for-feed'.
5454 Now works for both, groups and feeds.
5455 (newsticker--group-find-parent-group):
5456 Rename from `newsticker--group-find-group-for-feed'.
5457 Now works for both, groups and feeds.
5458 (newsticker--group-do-get-parent-group)
5459 (newsticker--group-get-parent-group): Remove.
5460 (newsticker-group-add-group): Change interactive prompts.
5461 (newsticker-group-add-group): Finally jump to added group.
5462 (newsticker-group-delete-group): Finally jump to current feed.
5463 (newsticker--group-do-rename-group, newsticker-group-rename-group)
5464 (newsticker--get-group-names, newsticker--group-names): New.
5465 (newsticker-group-move-feed): Finally jump to moved feed.
5466 (newsticker-group-shift-feed-down, newsticker-group-shift-feed-up)
5467 (newsticker-group-shift-group-down)
5468 (newsticker-group-shift-group-up, newsticker--group-shift): New.
5469 (newsticker-treeview-mode-map): New keybindings for new shift commands.
5471 * net/newst-backend.el (newsticker--item-list)
5472 (newsticker--item-position, newsticker--prev-message)
5473 (newsticker--scrollable-text): Move to newst-ticker.el.
5475 * net/newst-ticker.el (newsticker--item-list)
5476 (newsticker--item-position, newsticker--prev-message)
5477 (newsticker--scrollable-text): Move from newst-backend.el.
5479 2014-09-22 Kan-Ru Chen <kanru@kanru.info>
5481 * window.el (fit-window-to-buffer): When counting buffer width,
5482 count the whole visible buffer. Correctly convert the body-height
5483 to pixel size for window-text-pixel-size (Bug#18498).
5485 2014-09-22 Sam Steingold <sds@gnu.org>
5487 * progmodes/sql.el (sql-product-alist): Improve the Vertica entry.
5488 (sql-execute): Use `special-mode'.
5490 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
5492 Add pcase-defmacro, as well as `quote' and `app' patterns.
5493 * loadup.el: Increase max-lisp-eval-depth when macroexpanding macroexp.
5494 * emacs-lisp/pcase.el: Allow (F . ARGS) in `app' patterns.
5495 (pcase--funcall, pcase--eval): New functions.
5496 (pcase--u1): Use them for guard, pred, let, and app.
5497 (\`): Use the new feature to generate better code for vector patterns.
5498 * emacs-lisp/pcase.el: Use pcase-defmacro to handle backquote.
5499 (pcase--upat): Remove.
5500 (pcase--macroexpand): Don't hardcode handling of `.
5501 (pcase--split-consp, pcase--split-vector): Remove.
5502 (pcase--split-equal): Disregard ` since it's expanded away.
5503 (pcase--split-member): Optimize for quote rather than for `.
5504 (pcase--split-pred): Optimize for quote rather than for `.
5505 (pcase--u1): Remove handling of ` (and of `or' and `and').
5506 Quote non-selfquoting values when passing them to `eq'.
5507 Drop `app's let-binding if the variable is not used.
5508 (pcase--q1): Remove.
5509 (`): Define as a pattern macro.
5510 * emacs-lisp/pcase.el (pcase--match): New smart-constructor function.
5511 (pcase--expand pcase--q1, pcase--app-subst-match): Use it.
5512 (pcase--macroexpand): Handle self-quoting patterns here, expand them to
5514 (pcase--split-match): Don't hoist or/and here any more.
5515 (pcase--split-equal): Optimize quote patterns as well as ` patterns.
5516 (pcase--flip): New helper macro.
5517 (pcase--u1): Optimize the memq case directly.
5518 Don't handle neither self-quoting nor and/or patterns any more.
5519 * emacs-lisp/pcase.el (pcase-defmacro): New macro.
5520 (pcase--macroexpand): New function.
5521 (pcase--expand): Use it.
5522 * emacs-lisp/pcase.el (pcase--app-subst-match, pcase--app-subst-rest):
5523 New optimization functions.
5524 (pcase--u1): Add support for `quote' and `app'.
5525 (pcase): Document them in the docstring.
5527 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
5529 Use lexical-bindin in Ibuffer.
5530 * ibuffer.el (ibuffer-do-toggle-read-only): `arg' is unused.
5531 (ibuffer-compile-format): Simplify.
5532 (ibuffer-clear-summary-columns): Simplify.
5533 * ibuf-ext.el (ibuffer-generate-filter-groups): Don't use the third
5534 elem of dotimes when we don't refer to the iteration var from it.
5535 (ibuffer-toggle-sorting-mode): Avoid add-to-list.
5536 * ibuf-macs.el (define-ibuffer-column, define-ibuffer-op):
5537 Silence byte-compiler.
5539 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
5541 * font-lock.el (font-lock-compile-keyword): Don't confuse a lambda
5542 expression for a list.
5544 * emacs-lisp/bytecomp.el (byte-compile-lambda): Don't add fundoc usage
5545 for functions with no arguments.
5547 * mpc.el (mpc-data-directory): Use locate-user-emacs-file.
5548 (mpc-volume-refresh): Make sure the corresponding header-line is updated.
5550 2014-09-17 Tom Willemse <tom@ryuslash.org> (tiny change)
5552 * simple.el (clone-indirect-buffer): Mention the return value
5555 * progmodes/prog-mode.el (prog-mode-hook): Replace reference to
5556 Text mode in docstring (bug#18464).
5558 2014-09-21 Stefan Monnier <monnier@iro.umontreal.ca>
5560 * progmodes/perl-mode.el (perl-syntax-propertize-function):
5561 Accept underscores in identifiers after "sub" (bug#18502).
5563 2014-09-21 Tassilo Horn <tsdh@gnu.org>
5565 * textmodes/reftex-sel.el (reftex-select-label-mode)
5566 (reftex-select-bib-mode, reftex-insert-docstruct): Derive modes
5567 from special-mode (instead of fundamental-mode) and propertize
5568 with font-lock-face instead of just face. (Bug#18496)
5570 * textmodes/reftex-toc.el (reftex-toc-mode, reftex-toc): Ditto.
5572 2014-09-19 Dmitry Gutov <dgutov@yandex.ru>
5574 * emacs-lisp/lisp.el (lisp-completion-at-point): Only calculate
5575 `table-etc' when `end' is non-nil.
5576 (lisp-completion-at-point): Move `end' back if it's after quote.
5577 If in comment or string, only complete when after backquote.
5579 (lisp-completion-at-point): Don't use
5580 `lisp--local-variables-completion-table' in the
5581 `lisp--form-quoted-p' case.
5583 2014-09-19 Dmitry Gutov <dgutov@yandex.ru>
5585 * emacs-lisp/lisp.el (lisp--expect-function-p)
5586 (lisp--form-quoted-p): New functions.
5587 (lisp-completion-at-point): Use them to see if we're completing a
5588 variable reference, a function name, or just any symbol.
5589 http://lists.gnu.org/archive/html/emacs-devel/2014-02/msg00229.html
5591 2014-09-18 Ivan Kanis <ivan@kanis.fr>
5593 * net/shr.el, net/eww.el: Don't override `shr-width', but
5594 introduce a new variable `shr-internal-width'. This allows users
5595 to specify a width themselves.
5597 2014-09-18 Lars Magne Ingebrigtsen <larsi@gnus.org>
5599 * image-mode.el (image-toggle-display-image): If we have a
5600 `fit-width' or a `fit-height', don't limit the size of the image
5601 to the window size, because that doesn't preserve the aspect ratio.
5602 * image-mode.el: Move defvars earlier to avoid a byte-compilation
5605 2014-09-17 Reuben Thomas <rrt@sc3d.org>
5607 * progmodes/js.el: Add interpreter-mode-alist support for various
5608 JavaScript interpreters.
5610 2014-09-17 Paul Eggert <eggert@cs.ucla.edu>
5612 Don't assume 'grep' supports GREP_OPTIONS.
5613 The GREP_OPTIONS environment variable is planned to be marked
5614 obsolescent in GNU grep, due to problems in its use, so stop
5616 * progmodes/grep.el (grep-highlight-matches): Document this.
5617 (grep-process-setup): Do not set GREP_OPTIONS.
5618 (grep-compute-defaults): Use an explicit --color option if supported.
5620 2014-09-16 Stefan Monnier <monnier@iro.umontreal.ca>
5622 * msb.el (msb--make-keymap-menu, msb-menu-bar-update-buffers):
5623 Don't add outdated key-shortcut cache (bug#18482).
5625 2014-09-15 Glenn Morris <rgm@gnu.org>
5627 * image.el (image-multi-frame-p): Fix thinko - do not force
5628 a delay if none was specified. (Bug#18334)
5630 2014-09-15 Kan-Ru Chen <kanru@kanru.info>
5632 * window.el (fit-window-to-buffer): Doc fix.
5634 2014-09-15 Ivan Shmakov <ivan@siamics.net>
5636 * desktop.el (desktop-create-buffer): Check that buffers are still live
5637 before burying them (bug#18373).
5639 2014-09-15 Glenn Morris <rgm@gnu.org>
5641 * calendar/diary-lib.el (diary-list-entries):
5642 Restore 24.3 display behavior. (Bug#18381)
5644 2014-09-15 Eli Zaretskii <eliz@gnu.org>
5646 * mouse.el (mouse-drag-line): On text-mode frames, count the mode
5647 line and header line as 1 pixel. This fixes the 1-"pixel" (row)
5648 discrepancy between window-pixel-edges and mouse events, and
5649 avoids moving mode line up when the mouse click is on the modeline
5650 and no drag is attempted.
5652 2014-09-14 Daniel Colascione <dancol@dancol.org>
5654 * register.el (insert-register): Change default interactive
5657 2014-09-14 Michael Albinus <michael.albinus@gmx.de>
5659 * net/tramp-cache.el (tramp-flush-file-function): Simplify check.
5660 Suppress debug messages.
5662 * net/tramp.el (tramp-file-name-handler):
5663 * net/tramp-gvfs.el (tramp-gvfs-url-file-name): Apply `cons' where
5666 2014-09-13 Christopher Schmidt <ch@ristopher.com>
5668 * calendar/calendar.el (calendar-update-mode-line):
5669 Do not overwrite mode-line-format if calendar-mode-line-format is
5672 2014-09-13 Leo Liu <sdl.web@gmail.com>
5674 * emacs-lisp/pcase.el (pcase--dontwarn-upats): New var.
5675 (pcase--expand): Use it.
5676 (pcase-exhaustive): New macro. (Bug#16567)
5678 * emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-2):
5679 Add pcase-exhaustive.
5681 2014-09-13 Eli Zaretskii <eliz@gnu.org>
5683 * mail/rmailmm.el (rmail-mime-insert-html): Decode the HTML part
5684 using the specified transfer-encoding, if any, or 'undecided'.
5685 (rmail-mime-render-html-shr): Bind shr-width to nil, so lines are
5686 broken at the window margin.
5688 2013-12-27 Ken Olum <kdo@cosmos.phy.tufts.edu>
5690 Support rendering of HTML parts in Rmail (bug#4258).
5691 * mail/rmailmm.el (rmail-mime-process): Handle text/html
5692 separately from other text/ types. Suppress tagline for
5694 (rmail-mime-parse): Don't change visibility of tagline here.
5695 (rmail-mime-set-bulk-data, rmail-mime-insert-bulk):
5696 Handle text/html specially.
5697 (rmail-mime-render-html-function,rmail-mime-prefer-html): New variables.
5698 (rmail-mime-insert-html, rmail-mime-render-html-shr)
5699 (rmail-mime-render-html-lynx): New functions.
5700 (rmail-mime-fix-inserted-faces): New function.
5701 (rmail-mime-process-multipart): Find the best part to show
5702 following rmail-mime-prefer-html if set.
5703 (rmail-mime-searching): New variable.
5704 (rmail-search-mime-message): Bind rmail-mime-searching to
5705 suppress rendering while searching.
5707 2014-09-12 Sam Steingold <sds@gnu.org>
5709 * progmodes/sql.el (sql-product-alist): Add vertica.
5710 (sql-vertica-program, sql-vertica-options)
5711 (sql-vertica-login-params, sql-comint-vertica, sql-vertica):
5712 New functions and variables to support Vertica.
5713 Inspired by code by Roman Scherer <roman@burningswell.com>.
5715 2014-09-11 Paul Eggert <eggert@cs.ucla.edu>
5717 * ses.el (ses-file-format-extend-parameter-list): Rename from
5718 ses-file-format-extend-paramter-list, to correct a misspelling.
5721 2014-09-10 Alan Mackenzie <acm@muc.de>
5723 CC Mode: revert recent changes and fix bug 17463 (cc-langs.elc
5724 gets loaded at run-time).
5725 * progmodes/cc-langs.el (c-no-parens-syntax-table): Rename the
5726 c-lang-const to c-make-no-parens-syntax-table and correct the
5728 (c-no-parens-syntax-table): Correct the logic of the
5731 2014-09-10 Stefan Monnier <monnier@iro.umontreal.ca>
5733 CC-mode: Set open-paren-in-column-0-is-defun-start to nil;
5735 * progmodes/cc-mode.el (c-basic-common-init):
5736 Set open-paren-in-column-0-is-defun-start.
5737 (adaptive-fill-first-line-regexp, font-lock-syntactic-keywords):
5738 Remove declarations, unused.
5739 (run-mode-hooks): Remove declaration.
5740 (font-lock-defaults): Use plain `defvar' to declare.
5741 (c-run-mode-hooks): Test existence of run-mode-hooks with fboundp.
5742 * progmodes/cc-langs.el (c-filter-ops): Avoid `setq'.
5743 (c-make-mode-syntax-table): Don't micro-optimize.
5744 (c-keywords, c-keyword-member-alist): Simplify.
5745 (c-kwds-lang-consts): Don't eval at compile-time.
5746 (c-primary-expr-regexp): Comment out unused vars.
5747 * progmodes/cc-fonts.el (c-font-lock-context): Declare at top-level.
5748 (c-font-byte-compile): New var.
5749 (c--compile): New function. Use it instead of `byte-compile'.
5750 (c-cpp-matchers): Quote the value returned by
5751 `c-make-syntactic-matcher' in case it's not self-evaluating.
5752 (c-basic-matchers-before): Avoid a plain MATCHER as keyword, wrap it in
5753 parentheses instead (in case MATCHER happens to be a list).
5754 (c-font-lock-enum-tail): Remove unused var `start'.
5755 (c-font-lock-objc-methods): Silence byte-compiler warnings.
5756 * progmodes/cc-engine.el (c-syntactic-re-search-forward): Sink an `if'
5757 test into an argument.
5758 * progmodes/cc-defs.el (c-point, c-major-mode-is, c-put-char-property)
5759 (c-get-char-property): Don't use `eval' just to unquote a constant.
5760 (c-use-extents): Remove. Use (featurep 'xemacs), compiled
5762 (c-put-char-property-fun): Don't call `byte-compile' by hand.
5763 (c-clear-char-property, c-clear-char-properties): Check that `property'
5764 is a quoted constant.
5765 (c-emacs-features): Remove `infodock', `syntax-properties', and
5766 `pps-extended-state' (never used), `8-bit' and `1-bit' (use (featurep
5767 'xemacs) instead). Use `with-temp-buffer' and let-bind vars after
5768 changing buffer, so we don't have to setq them again afterwards.
5769 (c-lang-const): Remove redundant symbolp assertions.
5770 (c-find-assignment-for-mode): Use `or'.
5771 * Makefile.in (compile-one-process): Remove cc-mode dependency.
5773 2014-09-09 Sam Steingold <sds@gnu.org>
5775 * progmodes/sql.el (sql-default-directory): Fix type annotation.
5777 2014-09-09 Stefan Monnier <monnier@iro.umontreal.ca>
5779 * progmodes/cc-awk.el: Remove unneeded cc-bytecomp use.
5780 Change doc comments into docstrings.
5781 * Makefile.in: Remove cc-awk dependency.
5783 2014-09-08 Sam Steingold <sds@gnu.org>
5785 * progmodes/sql.el (sql-send-line-and-next): New command,
5787 (sql-show-sqli-buffer): Display the buffer instead of its name and
5788 bind the command to C-c C-z.
5789 (sql-default-directory): New user option.
5790 (sql-product-interactive): Bind `default-directory' to it to
5791 enable remote connections using Tramp.
5792 (sql-set-sqli-buffer): Call `sql-product-interactive' when no
5793 suitable buffer is available.
5795 2014-09-08 Glenn Morris <rgm@gnu.org>
5797 * calendar/calendar.el (calendar-basic-setup):
5798 Fix calendar-view-holidays-initially-flag and fancy display.
5799 * calendar/diary-lib.el (diary-live-p): Doc fix.
5801 * calendar/calendar.el (calendar-basic-setup):
5802 Avoid clobbering calendar with diary. (Bug#18381)
5804 2014-09-08 Stefan Monnier <monnier@iro.umontreal.ca>
5806 * vc/vc-dir.el (vc-dir-update): Don't burp in corner case.
5808 2014-09-08 Lars Ljung <lars@matholka.se> (tiny change)
5810 * isearch.el (isearch-yank-word-or-char): Obey superword-mode
5811 as well (bug#18400).
5813 2014-09-08 Eli Zaretskii <eliz@gnu.org>
5815 * subr.el (posn-actual-col-row): Doc fix. (Bug#18385)
5817 2014-09-06 Leo Liu <sdl.web@gmail.com>
5819 * emacs-lisp/pcase.el (pcase): Doc fix.
5820 (pcase--split-vector): New function.
5821 (pcase--q1): Support vector qpattern. (Bug#18327)
5823 2014-09-05 Sam Steingold <sds@gnu.org>
5825 * textmodes/tex-mode.el (tex-print-file-extension): New user
5827 (tex-print): Use it instead of the hard-coded string.
5829 2014-09-05 Michael Albinus <michael.albinus@gmx.de>
5831 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
5832 Expand `default-directory'.
5834 2014-09-05 Martin Rudalics <rudalics@gmx.at>
5836 * scroll-bar.el (horizontal-scroll-bars-available-p):
5838 (horizontal-scroll-bar-mode): Rewrite using
5839 horizontal-scroll-bars-available-p.
5840 * menu-bar.el (menu-bar-showhide-scroll-bar-menu): Rewrite using
5841 horizontal-scroll-bars-available-p.
5843 2014-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
5845 * subr.el (call-process-shell-command, process-file-shell-command):
5846 Make the `args' obsolete (bug#18409).
5847 (start-process-shell-command, start-file-process-shell-command):
5850 2014-09-05 Jay Belanger <jay.p.belanger@gmail.com>
5852 * calc/calc-forms.el (math-normalize-hms): Do a better check for
5853 "negative" hms forms.
5855 2014-09-04 Rasmus Pank Roulund <emacs@pank.eu>
5857 * vc/vc-git.el (vc-git-conflicted-files): Fix bug when git status
5858 returns nil (bug#18391).
5860 2014-09-04 Stefan Monnier <monnier@iro.umontreal.ca>
5862 * emacs-lisp/eldoc.el (eldoc-function-argstring): Don't strip
5863 terminating paren (bug#18352).
5864 (eldoc-last-data-store): Return cached data.
5865 (eldoc-get-var-docstring): Avoid setq.
5866 (eldoc-get-fnsym-args-string): Clarify data flow.
5868 2014-09-04 Thierry Volpiatto <thierry.volpiatto@gmail.com>
5870 * emacs-lisp/eldoc.el (eldoc-highlight-function-argument): Handle the
5871 case where we're currently providing part of the &rest arg after some
5872 &key args, as in define-ibuffer-op (bug#18048).
5874 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
5876 * progmodes/which-func.el (which-func-ff-hook): Obey pre-existing
5877 buffer-local setting of which-func-mode.
5878 (which-func-mode): Use defvar-local.
5879 (which-function-mode): Don't reset which-func-mode in each buffer since
5880 it might have been set by someone else.
5881 (which-func-update-ediff-windows): Check which-function-mode.
5883 2014-09-03 Martin Rudalics <rudalics@gmx.at>
5885 * frame.el (frame-initialize): Remove horizontal-scroll-bars
5886 from frame-initial-frame-alist.
5887 * scroll-bar.el (previous-horizontal-scroll-bar-mode)
5888 (horizontal-scroll-bar-mode-explicit)
5889 (set-horizontal-scroll-bar-mode, get-horizontal-scroll-bar-mode)
5890 (toggle-horizontal-scroll-bar): Remove.
5891 (horizontal-scroll-bar-mode): Remove defcustom.
5892 (horizontal-scroll-bar-mode): Fix doc-string.
5893 (scroll-bar-toolkit-scroll)
5894 (scroll-bar-toolkit-horizontal-scroll): Add doc-strings stubs.
5896 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
5898 * emacs-lisp/package.el (package-generate-description-file):
5899 Properly quote the arguments (bug#18332). Change second arg.
5900 (package--alist-to-plist-args): Rename from package--alist-to-plist and
5902 (package--make-autoloads-and-stuff): Fix the test for pre-existence of
5903 the *-pkg.el file. Adjust to new calling convention of
5904 package-generate-description-file.
5906 * progmodes/gud.el (gud-gdb-completion-at-point): Add hack (bug#18282).
5907 (gud-gdb-completions): Remove obsolete workaround.
5909 2014-09-03 Eli Zaretskii <eliz@gnu.org>
5911 * subr.el (posn-col-row): Revert the change from commit
5912 2010-11-13T21:07:58Z!eliz@gnu.org, which
5913 was inadvertently merged from emacs-23 release branch in 2010-11-18T03:54:14Z!monnier@iro.umontreal.ca
5914 monnier@iro.umontreal.ca-20101118035414-yvlg7k7dk4k4l3q, and
5915 introduced an off-by-one error in the reported row when there is a
5916 header line. (Bug#18384)
5918 2014-09-03 Fabián Ezequiel Gallina <fgallina@gnu.org>
5920 * progmodes/python.el (python-indent-post-self-insert-function):
5921 Avoid electric colon at beginning-of-defun. (Bug#18228)
5923 2014-09-03 Glenn Morris <rgm@gnu.org>
5925 * tutorial.el (tutorial--display-changes):
5926 Fix 2014-08-01 change. (Bug#18382)
5928 2014-09-03 Ken Brown <kbrown@cornell.edu>
5930 * startup.el (fancy-splash-frame): Extend the fix for Bug#16014 to
5931 the Cygwin-w32 build. (Bug#18347)
5933 2014-09-03 Glenn Morris <rgm@gnu.org>
5935 * tar-mode.el (tar--extract, tar-extract):
5936 Avoid permanently disabling undo in extracted buffers. (Bug#18344)
5938 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
5940 * progmodes/sh-script.el (sh-font-lock-quoted-subshell): Try to better
5941 handle multiline elements (bug#18380).
5943 2014-09-01 Eli Zaretskii <eliz@gnu.org>
5945 * ls-lisp.el (ls-lisp-use-string-collate)
5946 (ls-lisp-UCA-like-collation): New defcustoms.
5947 (ls-lisp-string-lessp): Use them to control sorting by file
5949 (ls-lisp-version-lessp): New function.
5950 (ls-lisp-handle-switches): Use it to implement the -v switch of
5952 (ls-lisp--insert-directory): Mention the -v switch in the doc string.
5954 2014-08-31 Christoph Scholtes <cschol2112@gmail.com>
5956 * ibuffer.el: Replace mode-specific quit function with
5957 `quit-window' via `special-mode'.
5958 (ibuffer-mode-map): Use keybindings from special-mode-map instead
5960 (ibuffer): Don't store previous windows configuration.
5961 Let `quit-window' handle restoring.
5962 (ibuffer-quit): Remove function. Use `quit-window' instead.
5963 (ibuffer-restore-window-config-on-quit): Remove variable.
5964 (ibuffer-prev-window-config): Remove variable.
5966 2014-08-29 Michael Heerdegen <michael_heerdegen@web.de>
5968 * emacs-lisp/easy-mmode.el (define-minor-mode): Use mode function
5969 name instead of variable name in hook docstring. (Bug#18349)
5971 2014-08-29 Martin Rudalics <rudalics@gmx.at>
5973 * window.el (display-buffer-at-bottom): Prefer bottom-left
5974 window to other bottom windows. Reuse a bottom window if it
5975 shows the buffer already. Suggested by Juri Linkov
5976 <juri@jurta.org> in discussion of (Bug#18181).
5978 2014-08-29 Leo Liu <sdl.web@gmail.com>
5980 * files.el (minibuffer-with-setup-hook): Allow (:append FUN) to
5981 append to minibuffer-setup-hook. (Bug#18341)
5983 2014-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
5985 * progmodes/cc-defs.el: Expose c-lanf-defconst's expressions to the
5987 (lookup-syntax-properties): Silence byte-compiler.
5988 (c-lang-defconst): Quote the code with `lambda' rather than with
5990 (c-lang-const): Avoid unneeded setq.
5991 (c-lang-constants-under-evaluation): Add docstring.
5992 (c-lang--novalue): New constant.
5993 (c-find-assignment-for-mode): Use it instead of c-lang-constants.
5994 (c-get-lang-constant): Same here.
5995 Get the mode's value using `funcall' now that the code is quoted
5998 2014-08-28 Michael Albinus <michael.albinus@gmx.de>
6000 * net/tramp.el (tramp-handle-shell-command): Use `display-buffer'.
6003 2014-08-28 Martin Rudalics <rudalics@gmx.at>
6005 * scroll-bar.el (scroll-bar-horizontal-drag-1): Handle new
6006 interpretation of `portion-whole'.
6008 2014-08-28 Michael Albinus <michael.albinus@gmx.de>
6010 * net/tramp-adb.el: Spell author name correctly.
6012 2014-08-28 João Távora <joaotavora@gmail.com>
6014 * net/shr.el (shr-expand-url): Plain expand-file-name is not enough;
6015 use url-expand-file-name. (Bug#18310)
6017 2014-08-28 Glenn Morris <rgm@gnu.org>
6019 * emulation/cua-rect.el (cua--highlight-rectangle):
6020 Avoid error at point-min. (Bug#18309)
6022 2014-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
6024 * progmodes/python.el (python-shell-prompt-detect): Remove redundant
6025 executable-find (bug#18244).
6027 * simple.el (self-insert-uses-region-functions): Defvar.
6029 2014-08-28 Glenn Morris <rgm@gnu.org>
6031 * subr.el (remq): Revert 2014-08-25 doc change (not always true).
6033 2014-08-27 Dmitry Antipov <dmantipov@yandex.ru>
6035 * startup.el (normal-top-level): Now use internal--top-level-message.
6037 2014-08-26 Dmitry Antipov <dmantipov@yandex.ru>
6039 * startup.el (normal-top-level): Use top-level-message.
6041 2014-08-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
6043 * net/shr.el (shr-copy-url): Encode copied URL to avoid getting
6044 URLs containing spaces and the like.
6046 2014-08-25 Christoph Scholtes <cschol2112@gmail.com>
6048 * subr.el (remq): Fix docstring (Bug#18253).
6050 2014-08-25 Christoph Scholtes <cschol2112@gmail.com>
6052 * replace.el (query-replace): Fix typo in docstring (Bug#18320).
6054 2014-08-24 Alan Mackenzie <acm@muc.de>
6056 Handle C++11's "auto" and "decltype" constructions.
6057 * progmodes/cc-engine.el (c-forward-type): Enhance to recognise
6058 and return 'decltype.
6059 (c-forward-decl-or-cast-1): New let variables backup-kwd-sym,
6060 prev-kwd-sym, new-style-auto. Enhance to handle the new "auto"
6062 * progmodes/cc-fonts.el (c-font-lock-declarations): Handle the
6064 (c-font-lock-c++-new): Handle "decltype" constructions.
6065 * progmodes/cc-langs.el (c-auto-ops, c-auto-ops-re):
6066 New c-lang-defconsts/defvars.
6067 (c-haskell-op, c-haskell-op-re): New c-lang-defconsts/defvars.
6068 (c-typeof-kwds, c-typeof-key): New c-lang-defconsts/defvars.
6069 (c-typeless-decl-kwds): Append "auto" onto the C++ value.
6070 (c-not-decl-init-keywords): Also exclude c-typeof-kwds from value.
6072 Make ">>" act as double template ender in C++ Mode. (Bug#11386)
6073 * progmodes/cc-langs.el (c->-op-cont-tokens): New lang-const split
6074 off from c->-op-cont-re.
6075 (c->-op-cont-tokens): Change to use the above.
6076 (c->-op-without->-cont-regexp): New lang-const.
6077 * progmodes/cc-engine.el (c-forward-<>-arglist-recur):
6078 Use c->-op-without->-cont-regexp in place of c->-op-cont-tokens.
6081 2014-08-23 Alan Mackenzie <acm@muc.de>
6083 * progmodes/cc-fonts.el (c-font-lock-declarators): Fix infinite
6084 loop, bug #18306. The bug was introduced on 2014-08-02.
6086 2014-08-21 Eli Zaretskii <eliz@gnu.org>
6088 * textmodes/texnfo-upd.el (texinfo-specific-section-type):
6089 Don't recognize a Top node if there are other sectioning commands
6090 earlier in the Texinfo file. This fixes a bug in
6091 texinfo-make-menu and avoids inflooping in
6092 texinfo-all-menus-update when they are invoked on texinfo.texi.
6094 2014-08-21 Martin Rudalics <rudalics@gmx.at>
6096 * window.el (window--side-window-p): New function.
6097 (split-window, window-splittable-p): Use window--side-window-p to
6098 determine whether WINDOW can be split (Bug#18304).
6099 * calendar/calendar.el (calendar-basic-setup): Fix one call of
6100 `window-splittable-p' and add another (Bug#18304).
6102 2014-08-20 Sam Steingold <sds@gnu.org>
6104 * progmodes/python.el (python-new-pythonpath): Extract from
6105 `python-shell-calculate-process-environment'.
6107 2014-08-18 Thierry Volpiatto <thierry.volpiatto@gmail.com>
6109 * emacs-lisp/eldoc.el (eldoc-highlight-function-argument): Add support
6110 for &key args (bug#18048).
6112 2014-08-18 Stefan Monnier <monnier@iro.umontreal.ca>
6114 * emacs-lisp/eldoc.el (eldoc-argument-case): Obsolete and change default.
6115 (eldoc-function-argstring-format): Remove.
6116 (eldoc-function-argstring): Always return upcase args.
6117 Use help-make-usage. Don't add parens.
6118 (eldoc-get-fnsym-args-string): Don't obey eldoc-argument-case since
6119 it's too late to do it right (bug#18048).
6121 2014-08-18 Eli Zaretskii <eliz@gnu.org>
6123 * scroll-bar.el (scroll-bar-horizontal-drag-1)
6124 (scroll-bar-toolkit-horizontal-scroll): When determining the
6125 paragraph direction, use the buffer of the window designated in
6128 2014-08-16 Andreas Schwab <schwab@linux-m68k.org>
6130 * vc/diff-mode.el (diff-fixup-modifs): Handle empty line in
6131 context of unified diff.
6133 2014-08-16 Paul Eggert <eggert@cs.ucla.edu>
6135 Add dependencies to fix loaddefs race during parallel builds.
6136 Without this, for example, 'make -j bootstrap' can fail and report
6137 "Opening input file: no such file or directory,
6138 .../lisp/calendar/diary-loaddefs.el ... recipe for target
6139 'calendar/hol-loaddefs.el' failed", where the hol-loaddefs.el rule
6140 got confused because diary-loaddefs.el was being built in parallel.
6141 * Makefile.in ($(CAL_DIR)/diary-loaddefs.el):
6142 Depend on $(CAL_DIR)/cal-loaddefs.el.
6143 ($(CAL_DIR)/hol-loaddefs.el): Depend on $(CAL_DIR)/diary-loaddefs.el.
6145 2014-08-16 Martin Rudalics <rudalics@gmx.at>
6147 * scroll-bar.el (scroll-bar-horizontal-drag-1): Use cdr of
6148 portion-whole for scrolling right-to-left text.
6150 2014-08-15 Leo Liu <sdl.web@gmail.com>
6152 * speedbar.el (speedbar-generic-list-tag-p): Allow special
6153 elements from imenu.
6155 2014-08-15 Glenn Morris <rgm@gnu.org>
6157 * subr.el (with-output-to-temp-buffer): Doc fix; from elisp manual.
6159 2014-08-13 Jan Nieuwenhuizen <janneke@gnu.org>
6161 * progmodes/compile.el (compilation-error-regexp-alist-alist):
6162 Add Guile regexpses.
6164 2014-08-13 Jan Nieuwenhuizen <janneke@gnu.org>
6166 * progmodes/gud.el (guiler): New function. Starts the Guile REPL;
6167 add Guile debugger support for GUD.
6169 2014-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
6171 * obsolete/mouse-sel.el (mouse-sel-mode): Use add/remove-function.
6172 (mouse-sel--ignore): New function.
6173 (mouse-sel-has-been-enabled, mouse-sel-original-bindings)
6174 (mouse-sel-original-interprogram-cut-function)
6175 (mouse-sel-original-interprogram-paste-function): Remove.
6177 2014-08-13 Eric S. Raymond <esr@thyrsus.com>
6179 * vc/vc-git.el (vc-git-resolve-when-done): New function.
6180 Call "git add" when there are no longer conflict markers.
6182 2014-08-13 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
6184 * vc/vc-git.el (vc-git-find-file-hook): New function.
6185 Adds support for calling smerge (and resolve) on a conflicted file.
6186 (vc-git-conflicted-files): New function.
6187 Useful in itself and a step towards better smerge support.
6189 2014-08-12 Stefan Monnier <monnier@iro.umontreal.ca>
6191 * mpc.el (mpc-reorder): Don't bother splitting the "active" elements
6192 to the first part if they're the same as the selection.
6194 2014-08-12 Lars Magne Ingebrigtsen <larsi@gnus.org>
6196 * image-mode.el (image-transform-reset): New command and menu item.
6197 (image-mode-map): Rearrange the menu items to put presumably more
6198 obscure items at the end.
6200 2014-08-12 Juri Linkov <juri@jurta.org>
6202 * vc/vc-annotate.el (vc-annotate-background-mode):
6203 Use `with-demoted-errors' instead of `ignore-errors'. (Bug#18189)
6205 2014-08-12 Stefan Monnier <monnier@iro.umontreal.ca>
6207 * files.el (out-of-memory-warning-percentage): Turn it off by default.
6209 2014-08-11 Sam Steingold <sds@gnu.org>
6211 * textmodes/sgml-mode.el (sgml-validate-command): Set depending on
6212 the presence of known validators (tidy, (o)nsgmls).
6214 2014-08-11 Ulf Jasper <ulf.jasper@web.de>
6216 Newsticker: introduce `newsticker-treeview-date-format'. (Bug#17227)
6217 * net/newst-treeview.el (newsticker-treeview-date-format): New.
6218 (newsticker--treeview-list-add-item):
6219 Use `newsticker-treeview-date-format'.
6221 2014-08-11 Glenn Morris <rgm@gnu.org>
6223 * files.el (basic-save-buffer-2): Revert 2013-01-31 change, which
6224 chose coding system for writing before backing up, since it causes
6225 a more serious problem than the one it solves. (Closes Bug#18141,
6228 2014-08-11 Martin Rudalics <rudalics@gmx.at>
6230 * window.el (window-total-size): Make doc-string more self-contained.
6232 * window.el (display-buffer-below-selected): Restore original
6233 behavior if buffer is already displayed in the window below the
6234 selected one (Bug#18181).
6236 2014-08-11 Stefan Monnier <monnier@iro.umontreal.ca>
6238 * mouse.el (mouse--down-1-maybe-follows-link): Don't convert the down
6241 2014-08-11 Eli Zaretskii <eliz@gnu.org>
6243 * info.el (info): Doc fix.
6245 2014-08-11 Stefan Monnier <monnier@iro.umontreal.ca>
6247 * info.el (Info-mode-map): Override a global down-mouse-2 binding
6250 2014-08-11 Eli Zaretskii <eliz@gnu.org>
6252 * simple.el (default-line-height): A floating-point value of
6253 line-spacing means a fraction of the default frame font's height,
6254 not of the font currently used by the 'default' face.
6255 Truncate the pixel value, like the display engine does.
6256 (window-screen-lines): Use window-inside-pixel-edges for
6257 determining the window height in pixels. (Bug#18195)
6259 2014-08-11 Grégoire Jadi <daimrod@gmail.com>
6261 * leim/quail/latin-post.el: Transform " __" into " _". (Bug#18023)
6263 2014-08-10 Ulf Jasper <ulf.jasper@web.de>
6265 Enumerate evaluated sexp diary entries (Bug#7911).
6266 * calendar/icalendar.el (icalendar-export-sexp-enumerate-all)
6267 (icalendar-export-sexp-enumeration-days): New.
6268 (icalendar-export-region): Now `icalendar--convert-to-ical'
6269 returns a cons cell or a list of cons cells.
6270 (icalendar--convert-to-ical): Take care of
6271 `icalendar-export-sexp-enumerate-all'. Return (a list of) cons cells.
6272 (icalendar--convert-ordinary-to-ical)
6273 (icalendar--convert-weekly-to-ical, icalendar--convert-yearly-to-ical)
6274 (icalendar--convert-block-to-ical, icalendar--convert-block-to-ical)
6275 (icalendar--convert-float-to-ical, icalendar--convert-cyclic-to-ical)
6276 (icalendar--convert-anniversary-to-ical): Return cons cell.
6277 (icalendar--convert-sexp-to-ical): Enumerate evaluated sexp
6278 entries. Return (list of) cons cells.
6280 2014-08-09 Juri Linkov <juri@jurta.org>
6282 * vc/vc-annotate.el (vc-annotate-background-mode): Add :set
6283 to reevaluate `vc-annotate-color-map'. (Bug#18189)
6285 2014-08-09 Alan Mackenzie <acm@muc.de>
6287 * progmodes/cc-fonts.el (c-font-lock-declarators): Remove check
6288 for top-level that can cause unacceptable slow-down in scrolling.
6289 See email Subject: Huge {...} blocks in C/C++ again, from Dmitry
6290 Antipov from 2013-10-14 in emacs-devel.
6292 2014-08-08 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
6294 * ibuffer.el (ibuffer-mode-map): Use toggle button for
6295 `ibuffer-auto-mode' menu entry.
6296 (ibuffer-mode-hook): Add `ibuffer-auto-mode' customization option.
6298 2014-08-08 Matthias Meulien <orontee@gmail.com>
6300 * progmodes/prog-mode.el (prog-mode-hook): Make customizable.
6303 2014-08-07 Martin Rudalics <rudalics@gmx.at>
6305 * window.el (window--min-size-1): Explicitly set WINDOW arg in
6306 calls of window-min-pixel-height and window-min-pixel-width.
6308 2014-08-07 Reuben Thomas <rrt@sc3d.org>
6310 * progmodes/ada-mode.el:
6311 * net/tramp.el (tramp-handle-file-symlink-p):
6312 * net/tramp-ftp.el (tramp-ftp-file-name-handler): Remove a comment
6313 about VMS, which we no longer support.
6314 * progmodes/ada-xref.el (ada-xref-current): Remove mention of VMS,
6315 and fix a FIXME, using convert-standard-filename in place of
6316 removed ada-convert-file-name.
6318 2014-08-07 Eli Zaretskii <eliz@gnu.org>
6320 * files.el (auto-mode-alist): Remove support for VMS from a pattern.
6322 2014-08-07 Reuben Thomas <rrt@sc3d.org>
6324 Refer to MS-DOS using the same name everywhere.
6325 * arc-mode.el, files.el, frame.el: ``MS-DOG'', ``MSDOG'' and
6326 ``msdog'' become ``MS-DOS''.
6328 2014-08-07 Michael Albinus <michael.albinus@gmx.de>
6330 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
6331 Use cached "remote-copy-args" value, if available. (Bug#18199)
6333 2014-08-07 Leo Liu <sdl.web@gmail.com>
6335 * help.el (temp-buffer-setup-hook,temp-buffer-show-hook):
6336 Revert change on 2014-03-22.
6338 2014-08-06 Ulf Jasper <ulf.jasper@web.de>
6340 * calendar/icalendar.el (icalendar--diarytime-to-isotime)
6341 (icalendar--convert-ordinary-to-ical): Allow for missing minutes
6345 2014-08-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
6347 * image-mode.el (image-toggle-display-image): Always rescale images
6348 to not be bigger than the current window.
6350 2014-08-05 Eric Brown <brown@fastmail.fm> (tiny change)
6352 * net/eww.el (eww-bookmarks-directory): New variable.
6353 (eww-write-bookmarks): Use it.
6354 (eww-read-bookmarks): Ditto.
6356 2014-08-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
6358 * net/shr.el (shr-copy-url): Also copy the image URL.
6360 2014-08-05 Michael Albinus <michael.albinus@gmx.de>
6362 * net/tramp-cache.el (tramp-flush-file-function): Suppress function
6363 also for Tramp working buffers.
6365 2014-08-04 Fabián Ezequiel Gallina <fgallina@gnu.org>
6367 * progmodes/python.el: Fix completions inside (i)pdb.
6368 (python-shell-completion-pdb-string-code): Make obsolete.
6369 (python-shell-completion-get-completions):
6370 Use python-shell-completion-string-code resending setup code
6371 continuously for (i)pdb.
6373 2014-08-04 Paul Eggert <eggert@cs.ucla.edu>
6375 * rect.el (rectangle--default-line-number-format): Rename
6376 from misspelled rectange--default-line-number-format (Bug#18045).
6379 2014-08-03 Paul Eggert <eggert@cs.ucla.edu>
6381 Don't mishandle year-9999 dates (Bug#18176).
6382 * calendar/parse-time.el (parse-time-rules):
6383 Allow years up to most-positive-fixnum.
6384 * calendar/time-date.el (date-to-time):
6385 Pass "Specified time is not representable" errors through.
6387 2014-08-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
6389 * progmodes/python.el: Completion code cleanups.
6390 (python-shell-completion-get-completions): Detect and send import
6391 statements directly to completion function.
6392 (python-shell-completion-at-point): Simplify prompt calculation
6393 and import vs input completion logic.
6395 2014-08-02 Alan Mackenzie <acm@muc.de>
6397 Fix confusion in C++ file caused by comma in "= {1,2},".
6399 * progmodes/cc-engine.el (c-beginning-of-statement-1): In checking
6400 for a statement boundary marked by "}", check there's no "="
6402 (c-guess-basic-syntax CASE 9B): Call c-beginning-of-statement with
6403 non-nil `comma-delim' argument.
6404 * progmodes/cc-fonts.el (c-font-lock-declarators): Parse an
6405 initializer expression more accurately.
6407 Correct loop termination condition in c-syntactic-skip-backward.
6408 * progmodes/cc-engine.el (c-syntactic-skip-backward): Correct for
6409 the situation where, after moving back out of a literal,
6410 skip-chars-backward doesn't move further, yet checks have still to
6413 2014-08-01 Eli Zaretskii <eliz@gnu.org>
6415 * tutorial.el (tutorial--display-changes): Accept punctuation
6416 characters before the key binding. (Bug#18146)
6418 2014-07-31 Fabián Ezequiel Gallina <fgallina@gnu.org>
6420 * progmodes/python.el: Shell output capture enhancements.
6421 (python-shell-accept-process-output): New function.
6422 (inferior-python-mode)
6423 (python-shell-send-setup-code): Use it.
6425 2014-07-30 Christophe Deleuze <christophe.deleuze@free.fr> (tiny change)
6427 * calendar/icalendar.el (icalendar--decode-isodatetime):
6428 Use actual current-time-zone when converting to local time. (Bug#15408)
6430 2014-07-29 Martin Rudalics <rudalics@gmx.at>
6432 * window.el (window--state-put-2): Handle horizontal scroll
6435 2014-07-29 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
6437 * menu-bar.el (menu-bar-update-buffers): Update item list format
6438 in `buffers-menu' to confirm with changes to `get_keyelt'
6439 (r117463). (Bug#18016)
6441 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
6443 * progmodes/python.el (inferior-python-mode): Make input prompts
6446 2014-07-28 Emilio C. Lopes <eclig@gmx.net>
6448 * net/tramp-sh.el (tramp-get-remote-python): Also search for
6449 executables named "python2" or "python3".
6450 (tramp-get-remote-uid-with-python): Use parentheses around
6451 arguments to `print' to make it compatible with Python 3.
6452 (tramp-get-remote-gid-with-python): Ditto. (Bug#18118)
6454 2014-07-28 Eli Zaretskii <eliz@gnu.org>
6456 * window.el (window--pixel-to-total): Use FRAME's root window, not
6457 that of the selected frame. (Bug#18112, Bug#16674)
6459 2014-07-28 Andreas Schwab <schwab@linux-m68k.org>
6461 * textmodes/tex-mode.el (tex-font-lock-verb): Doc fix.
6464 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
6466 * progmodes/python.el (inferior-python-mode): Doc fix.
6468 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
6470 * calendar/todo-mode.el (todo-edit-item--next-key): If next key is
6471 not a character, ignore it instead of raising an error.
6473 * calendar/todo-mode.el: Fix handling of marked items and make
6474 minor code improvements.
6475 (todo-edit-item): If there are marked items, ensure user can only
6476 invoke editing commands that work with marked items.
6477 (todo-edit-item--text): When there are marked items, make it a
6478 noop if invoked with point not on an item; otherwise, ensure it
6479 applies only to item at point.
6480 (todo-item-undone): If there are marked not-done items, return
6481 point to its original position before signaling user error.
6482 (todo--user-error-if-marked-done-item): New function.
6483 (todo-edit-item--header, todo-edit-item--diary-inclusion)
6484 (todo-item-done): Use it.
6486 2014-07-28 Glenn Morris <rgm@gnu.org>
6488 * files.el (toggle-read-only): Re-add basic doc-string.
6489 * vc/vc-hooks.el (vc-toggle-read-only): Tweak obsolescence mesage.
6491 * progmodes/prolog.el (prolog-mode-keybindings-edit):
6492 Replace missing `switch-to-prolog' with `run-prolog'.
6493 (switch-to-prolog): Define as (obsolete) alias, as in 23.4.
6495 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
6497 * calendar/todo-mode.el (todo-set-top-priorities): Fix overwriting
6498 of file-wide setting when changing category-wide setting.
6500 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
6502 * doc-view.el (doc-view-open-text): Don't require that the
6503 document is saved in a file (e.g., email attachment).
6505 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
6507 Parse completion input in a iPython friendly way. (Bug#18084)
6508 * progmodes/python.el
6509 (python-shell-completion-at-point): Rename from
6510 python-shell-completion-complete-at-point.
6511 (inferior-python-mode): Use it.
6512 (python-completion-at-point): Rename from
6513 python-completion-complete-at-point. Parse input up to first
6514 backward occurrence of whitespace, open-paren, close-paren or
6516 (python-mode): Use it.
6518 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
6520 * progmodes/python.el
6521 (python-shell-with-shell-buffer): New macro.
6522 (python-shell-font-lock-get-or-create-buffer)
6523 (python-shell-font-lock-kill-buffer)
6524 (python-shell-font-lock-with-font-lock-buffer)
6525 (python-shell-font-lock-cleanup-buffer)
6526 (python-shell-font-lock-toggle): Use it.
6527 (python-shell-font-lock-turn-on)
6528 (python-shell-font-lock-turn-off): Use it. Make command.
6530 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
6532 Grab all Python process output before inferior-python-mode hooks.
6533 * progmodes/python.el (inferior-python-mode):
6534 Call accept-process-output and sit-for to ensure all output for process
6535 has been received before running hooks.
6536 (python-shell-internal-get-or-create-process):
6537 Cleanup accept-process-output and sit-for calls.
6539 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
6541 More robust shell startup and code setup.
6542 * progmodes/python.el (python-shell-make-comint):
6543 Remove accept-process-output call.
6544 (python-shell-get-buffer): Return current buffer if major-mode is
6545 inferior-python-mode.
6546 (python-shell-get-or-create-process): Use it.
6547 (python-shell-send-setup-code): Send all setup code in one string,
6548 output success message and accept-process-output.
6550 2014-07-27 Eli Zaretskii <eliz@gnu.org>
6552 * scroll-bar.el (scroll-bar-toolkit-horizontal-scroll):
6553 Add rudimentary support for bidirectional text.
6555 2014-07-27 Martin Rudalics <rudalics@gmx.at>
6557 * frame.el (frame-notice-user-settings): Rewrite using
6558 frame-initial-frame-tool-bar-height.
6559 * menu-bar.el (menu-bar-horizontal-scroll-bar)
6560 (menu-bar-no-horizontal-scroll-bar): New functions.
6561 (menu-bar-showhide-scroll-bar-menu): Add bindings for horizontal
6563 * scroll-bar.el (scroll-bar-lines)
6564 (set-horizontal-scroll-bar-mode)
6565 (get-horizontal-scroll-bar-mode, horizontal-scroll-bar-mode)
6566 (scroll-bar-horizontal-drag-1, scroll-bar-horizontal-drag)
6567 (scroll-bar-toolkit-horizontal-scroll): New functions.
6568 (horizontal-scroll-bar-mode)
6569 (previous-horizontal-scroll-bar-mode)
6570 (horizontal-scroll-bar-mode-explicit): New variables.
6571 (horizontal-scroll-bar-mode): New option.
6572 (toggle-horizontal-scroll-bar): Do something.
6573 (top-level): Bind horizontal-scroll-bar mouse-1.
6574 * startup.el (tool-bar-originally-present): Remove variable.
6575 (command-line): Don't set tool-bar-originally-present.
6576 * window.el (window-min-height): Update doc-string.
6577 (window--dump-frame): Dump horizontal scroll bar values.
6578 (window--min-size-1): Handle minibuffer window separately.
6579 Count in margins and horizontal scroll bar. Return safe value
6580 iff IGNORE equals 'safe.
6581 (frame-windows-min-size): New function (used by frame resizing
6583 (fit-frame-to-buffer, fit-window-to-buffer): Count in horizontal
6585 (window--sanitize-window-sizes): New function.
6586 (window-split-min-size): Remove.
6587 (split-window): Count divider-width. Don't use
6588 `window-split-min-size' any more. Reword error messages.
6589 Sanitize windows sizes after splitting.
6591 2014-07-27 Thien-Thi Nguyen <ttn@gnu.org>
6593 Use `defvar-local' more.
6594 * progmodes/hideshow.el
6595 (hs-c-start-regexp, hs-block-start-regexp)
6596 (hs-block-start-mdata-select, hs-block-end-regexp)
6597 (hs-forward-sexp-func, hs-adjust-block-beginning): ...here;
6598 remove corresponding `make-variable-buffer-local' top-level calls.
6600 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
6602 Cleanup error signals. (Bug#18067)
6603 * progmodes/python.el
6604 (python-indent-shift-left): Use user-error instead.
6605 (python-shell-prompt-detect): Use lwarn with python group.
6606 (python-completion-complete-at-point)
6607 (python-eldoc--get-doc-at-point): Don't signal error.
6609 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
6611 Support for packages in Python shell. (Bug#13570)
6612 * progmodes/python.el (python-shell--package-depth): New var.
6613 (python-shell-package-enable): New command.
6614 (python-util-list-directories, python-util-list-files)
6615 (python-util-list-packages): New functions.
6617 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
6619 Faster comint output. (Bug#16875)
6620 * progmodes/python.el:
6621 (python-comint-output-filter-function): Make obsolete.
6622 (python-comint-postoutput-scroll-to-bottom): New function.
6623 (inferior-python-mode): Set comint-output-filter-functions to a
6626 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
6628 * progmodes/python.el (python-shell-font-lock-post-command-hook):
6629 Safeguard current point and undo history.
6631 2014-07-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
6633 Robust shell syntax highlighting. (Bug#18084, Bug#16875)
6634 * progmodes/python.el:
6635 (python-shell-prompt-input-regexps): Add iPython block prompt.
6636 (python-shell-output-syntax-table): Delete var.
6637 (python-shell-font-lock-with-font-lock-buffer): New macro.
6638 (python-shell-font-lock-get-or-create-buffer)
6639 (python-shell-font-lock-kill-buffer)
6640 (python-shell-font-lock-cleanup-buffer)
6641 (python-shell-font-lock-post-command-hook)
6642 (python-shell-font-lock-turn-off): New functions.
6643 (python-shell-font-lock-turn-on): New function.
6644 (inferior-python-mode): Use it.
6645 (python-shell-font-lock-toggle): New command.
6646 (python-shell-font-lock-enable): Rename from
6647 python-shell-enable-font-lock.
6648 (run-python-internal): Use it.
6649 (python-shell-font-lock-comint-output-filter-function): New function.
6650 (python-shell-comint-end-of-output-p): New function.
6651 (python-shell-output-filter): Use it.
6652 (python-util-comint-last-prompt): New function.
6653 (python-util-text-properties-replace-name): New function.
6655 2014-07-25 Glenn Morris <rgm@gnu.org>
6657 * vc/ediff-init.el (ediff-toggle-read-only-function):
6658 * vc/ediff-util.el (ediff-toggle-read-only):
6659 Replace obsolete toggle-read-only with read-only-mode.
6661 2014-07-24 Michael Albinus <michael.albinus@gmx.de>
6663 * net/tramp-cache.el (tramp-flush-file-function): Wrap the code
6664 with `save-match-data'. (Bug#18095)
6666 2014-07-21 Vincent Belaïche <vincentb1@users.sourceforge.net>
6668 * ses.el (ses-truncate-cell): Use cl-progv instead of eval in
6669 order to ensure that row and col are lexically bound inside the
6672 2014-07-21 Glenn Morris <rgm@gnu.org>
6674 * progmodes/hideif.el (hide-ifdef-mode-submap):
6675 Also substitute read-only-mode.
6676 * bindings.el (mode-line-toggle-read-only):
6677 * bs.el (bs-toggle-readonly):
6678 * buff-menu.el (Buffer-menu-toggle-read-only):
6679 * dired.el (dired-toggle-read-only):
6680 * files.el (view-read-only, find-file-read-only)
6681 (find-file-read-only-other-window)
6682 (find-file-read-only-other-frame):
6683 * progmodes/hideif.el (hide-ifdef-toggle-outside-read-only):
6684 Doc fixes re toggle-read-only.
6686 2014-07-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
6688 * progmodes/python.el: Add comment about pipe buffering and
6689 solutions for missing/delayed output in inferior Python shells.
6692 * progmodes/python.el (python-mode): Don't set
6693 mode-require-final-newline. (Bug#17990)
6695 Make python.el work with IPython automatically. (Bug#15510)
6696 * progmodes/python.el:
6697 (python-shell-completion-setup-code): New value supporting iPython.
6698 (python-shell-completion-string-code): New value supporting iPython.
6699 (python-shell-completion-get-completions): Use them.
6700 (python-shell-completion-module-string-code): Make obsolete.
6701 (python-shell-prompt-input-regexps)
6702 (python-shell-prompt-output-regexps): Add safeguard for ipdb.
6703 (python-shell-output-filter): Fix comment typo.
6705 Fix Python shell prompts detection for remote hosts.
6706 * progmodes/python.el (python-shell-prompt-detect):
6707 Replace call-process with process-file and make it more robust.
6709 Autodetect Python shell prompts. (Bug#17370)
6710 * progmodes/python.el:
6711 (python-shell-interpreter-interactive-arg)
6712 (python-shell-prompt-detect-enabled)
6713 (python-shell-prompt-detect-failure-warning)
6714 (python-shell-prompt-input-regexps)
6715 (python-shell-prompt-output-regexps): New vars.
6716 (python-shell-prompt-calculated-input-regexp)
6717 (python-shell-prompt-calculated-output-regexp): New vars.
6718 (python-shell-get-process-name)
6719 (python-shell-internal-get-process-name)
6720 (python-shell-output-filter)
6721 (python-shell-completion-get-completions): Use them.
6722 (python-shell-prompt-detect)
6723 (python-shell-prompt-validate-regexps): New functions.
6724 (python-shell-prompt-set-calculated-regexps): New function.
6725 (inferior-python-mode): Use it. Also honor overriden
6726 python-shell-interpreter and python-shell-interpreter-args.
6727 (python-shell-make-comint): Honor overriden
6728 python-shell-interpreter and python-shell-interpreter-args.
6729 (python-shell-get-or-create-process): Make it testable by allowing
6730 to call run-python non-interactively.
6731 (python-util-valid-regexp-p): New function.
6732 (python-shell-prompt-regexp, python-shell-prompt-block-regexp)
6733 (python-shell-prompt-output-regexp)
6734 (python-shell-prompt-pdb-regexp): Use it as defcustom :safe.
6736 2014-07-21 Stefan Monnier <monnier@iro.umontreal.ca>
6738 * emacs-lisp/smie.el (smie-config--guess-1): Split from
6740 (smie-config--guess): Use it.
6742 * emacs-lisp/edebug.el: Use nadvice.
6743 (edebug-original-read): Remove.
6744 (edebug--read): Rename from edebug-read and add `orig' arg.
6745 (edebug-uninstall-read-eval-functions)
6746 (edebug-install-read-eval-functions): Use nadvice.
6747 (edebug-read-sexp, edebug-read-storing-offsets, edebug-read-symbol)
6748 (edebug-read-and-maybe-wrap-form1, edebug-instrument-callee)
6749 (edebug-read-string, edebug-read-function): Use just `read'.
6750 (edebug-original-debug-on-entry): Remove.
6751 (edebug--debug-on-entry): Rename from edebug-debug-on-entry and add
6753 (debug-on-entry): Override with nadvice.
6755 * mouse.el (tear-off-window): Rename from mouse-tear-off-window since
6756 it also makes sense to bind it to a non-mouse event.
6758 * vc/vc-bzr.el (vc-bzr-shelve): Make it operate on fileset.
6760 2014-07-19 Stefan Monnier <monnier@iro.umontreal.ca>
6762 * xt-mouse.el (xterm-mouse-event): Don't assume last-click is non-nil
6765 * rect.el (rectangle--string-preview): Don't assume there
6766 a non-nil default (bug#17984).
6768 2014-07-16 Glenn Morris <rgm@gnu.org>
6770 * desktop.el (after-init-hook): Disable startup frame restoration
6771 in non-graphical situations. (Bug#17693)
6773 * vc/vc-dispatcher.el (vc-log-edit): Do set up the log buffer
6774 if it was "empty", or used for a different set of files. (Bug#17884)
6776 2014-07-16 Eli Zaretskii <eliz@gnu.org>
6778 * bindings.el (mode-line-remote): If default-directory is not a
6779 string, don't call file-remote-p on it; instead state in the
6780 help-echo that it is nil. (Bug#17986)
6782 2014-07-14 Daniel Colascione <dancol@dancol.org>
6784 * progmodes/cc-langs.el: Change comments from `cl-macroexpand-all'
6785 to `macroexpand-all'
6787 * progmodes/cc-defs.el (c-lang-defconst-eval-immediately):
6788 Use `macroexpand-all' instead of `cl-macroexpand-all'.
6790 2014-07-12 Paul Eggert <eggert@cs.ucla.edu>
6792 Fix bug: C-x v v discarded existing log message (Bug#17884).
6793 * vc/vc-dispatcher.el (vc-log-edit):
6794 Don't clobber an already-existing log message.
6796 2014-07-12 Glenn Morris <rgm@gnu.org>
6798 * vc/log-edit.el (log-edit-changelog-entries):
6799 Check for a visited-but-never-saved ChangeLog.
6801 2014-07-12 Stefan Monnier <monnier@iro.umontreal.ca>
6803 * vc/log-edit.el (log-edit-changelog-entries): Don't both visiting
6804 a non-existing file (bug#17970).
6806 * faces.el (face-name): Undo last change.
6807 (x-resolve-font-name): Don't call face-name (bug#17956).
6809 2014-07-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
6811 Fix dedenters and electric colon handling. (Bug#15163)
6812 * progmodes/python.el
6813 (python-rx-constituents): Add dedenter and block-ender.
6814 (python-indent-dedenters, python-indent-block-enders): Delete.
6815 (python-indent-context): Return new case for dedenter-statement.
6816 (python-indent-calculate-indentation): Handle new case.
6817 (python-indent-calculate-levels): Fix levels calculation for
6818 dedenter statements.
6819 (python-indent-post-self-insert-function): Fix colon handling.
6820 (python-info-dedenter-opening-block-message): New function.
6821 (python-indent-line): Use it.
6822 (python-info-closing-block)
6823 (python-info-closing-block-message): Remove.
6824 (python-info-dedenter-opening-block-position)
6825 (python-info-dedenter-opening-block-positions)
6826 (python-info-dedenter-statement-p): New functions.
6828 2014-07-11 Dmitry Antipov <dmantipov@yandex.ru>
6830 * files.el (out-of-memory-warning-percentage): New defcustom.
6831 (warn-maybe-out-of-memory): Use it.
6833 2014-07-11 Michael Albinus <michael.albinus@gmx.de>
6835 * subr.el (read-passwd): Use `read-hide-char' if non-nil. Bind it
6836 when calling `read-string'. (Bug#17839)
6838 2014-07-10 Eli Zaretskii <eliz@gnu.org>
6840 * files.el (warn-maybe-out-of-memory): Fix the wording of the
6843 2014-07-10 Dmitry Antipov <dmantipov@yandex.ru>
6845 * files.el (warn-maybe-out-of-memory): New function.
6846 (find-file-noselect): Use it.
6848 2014-07-09 Sam Steingold <sds@gnu.org>
6850 * progmodes/cperl-mode.el (cperl-block-p): Treat the perl keyword
6851 `constant' like `bless', `return' &c
6853 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
6855 * rect.el (apply-on-rectangle): Check forward-line really moved to the
6858 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
6860 * progmodes/sh-script.el (sh-smie-sh-rules): Don't align with a && in
6861 the middle of a line (bug#17896).
6863 2014-07-09 Juri Linkov <juri@jurta.org>
6865 * startup.el (command-line): Append displaying the warning about
6866 the errors in the init file to the end of `after-init-hook'.
6869 * faces.el (face-name): Return input arg `face' as-is
6870 when it's not a symbol.
6871 (x-resolve-font-name): Don't check if the face is a symbol.
6874 * facemenu.el (list-colors-print): In help-echo format use %.2f
6875 instead of %d because now HSV values are floating-point components
6876 between 0.0 and 1.0.
6878 2014-07-09 Glenn Morris <rgm@gnu.org>
6880 * emulation/cua-rect.el (cua--activate-rectangle):
6881 Avoid setting cua--rectangle to nil. (Bug#17877)
6883 2014-07-09 Stephen Berman <stephen.berman@gmx.net>
6885 * calendar/todo-mode.el: Fix wrong-type-argument error when
6886 marking multiple consecutive items.
6887 (todo-toggle-mark-item): Don't try to mark the empty lines at the
6888 end of the todo and done items sections. Note in doc string that
6889 items marked by passing a numeric prefix argument can include the
6890 last todo and first done items.
6891 (todo-mark-category): Don't try to mark the empty line between the
6892 todo and done items sections.
6894 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
6896 * emacs-lisp/edebug.el (edebug-eval-defun): Print result using
6897 proper Lisp quoting (bug#17934).
6899 * progmodes/ruby-mode.el (ruby-mode-variables): Don't meddle with
6900 require-final-newline since prog-mode already took care of it (bug#17947).
6902 2014-07-09 Stephen Berman <stephen.berman@gmx.net>
6904 * calendar/todo-mode.el: Fix two bugs. Shorten Commentary and
6905 refer to the Todo mode Info manual. Update the comment on
6907 (todo-find-filtered-items-file): Add todo-prefix overlays.
6908 (todo-filter-items): Reorder a let-bound variable to avoid a
6909 wrong-type-argument error on canceling the file choice dialog.
6911 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
6913 * progmodes/octave.el (inferior-octave-mode):
6914 Set comint-input-ring-size to a number (bug#17912).
6916 2014-07-09 Juri Linkov <juri@jurta.org>
6918 * desktop.el (desktop-minor-mode-table): Add `defining-kbd-macro'
6919 and `isearch-mode' associated with nil. (Bug#17849)
6921 2014-07-08 Stefan Monnier <monnier@iro.umontreal.ca>
6923 * linum.el (linum--face-height): New function (bug#17813).
6924 (linum-update-window): Use it to adjust margin to linum's width.
6926 * leim/quail/sisheng.el (sisheng-list): Don't bother with-case-table.
6927 * eshell/em-smart.el (eshell-smart-scroll-window):
6928 Use with-selected-window.
6930 * xt-mouse.el (xterm-mouse-translate-1): Intern drag event (bug#17894).
6931 Remove also pointless window&mark manipulation.
6933 * progmodes/perl-mode.el: Use syntax-ppss; fix one indentation case.
6934 (perl-indent-line): Use syntax-ppss to detect we're in a doc-section.
6935 (perl-continuation-line-p): Don't skip over anything else than labels.
6936 Return the previous char.
6937 (perl-calculate-indent): Use syntax-ppss instead of parse-start
6938 and update callers accordingly. For continuation lines, check the
6939 the case of array hashes.
6940 (perl-backward-to-noncomment): Make it non-interactive.
6941 (perl-backward-to-start-of-continued-exp): Rewrite.
6943 2014-07-08 Sam Steingold <sds@gnu.org>
6945 * progmodes/inf-lisp.el (lisp-eval-paragraph, lisp-eval-form-and-next):
6948 2014-07-08 Juri Linkov <juri@jurta.org>
6950 * vc/vc-annotate.el (vc-annotate-background-mode): New defcustom.
6951 (vc-annotate-color-map): Use less saturated colors (20%) for
6953 (vc-annotate-very-old-color): Add default value for background-mode.
6954 (vc-annotate-background): Set default value to nil since now text on
6955 the default backgrounds should be legible in light and dark modes.
6956 (vc-annotate-lines): Use `vc-annotate-background-mode'. Doc fix.
6959 2014-07-08 Juri Linkov <juri@jurta.org>
6961 * simple.el (transpose-chars): Don't move point into read-only area.
6964 2014-07-08 Juri Linkov <juri@jurta.org>
6966 * window.el (with-displayed-buffer-window): New macro.
6967 (with-temp-buffer-window, with-current-buffer-window):
6968 Use `macroexp-let2' to evaluate and bind variables
6969 in the same order as macro arguments.
6970 (display-buffer--action-function-custom-type):
6971 Add `display-buffer-below-selected' and `display-buffer-at-bottom'.
6973 * minibuffer.el (minibuffer-completion-help): Replace
6974 `with-output-to-temp-buffer' with `with-displayed-buffer-window'
6975 with actions that display *Completions* at-bottom when called
6976 from the minibuffer, or below-selected in a normal buffer.
6977 Associate `window-height' with `fit-window-to-buffer'.
6978 Let-bind `pop-up-windows' to nil.
6980 * dired.el (dired-mark-pop-up): Use `with-displayed-buffer-window'
6981 instead of `with-current-buffer-window'. (Bug#17809)
6983 2014-07-07 Luke Lee <luke.yx.lee@gmail.com>
6985 * progmodes/hideif.el (hide-ifdef-env): Change to global.
6986 (hide-ifdef-env-backup): New variable.
6987 (hide-ifdef-expand-reinclusion-protection, hide-ifdef-header-regexp):
6988 New customizable variables.
6989 (hif-clear-all-ifdef-defined): New defun.
6990 (hif-merge-ifdef-region, hide-ifdef-region-internal, hide-ifdef-region)
6991 (hif-show-ifdef-region): Merge hidden regions to prevent continuous "...".
6992 (hif-tokenize): Fix for MS-DOS/Win EOL style.
6993 (hif-endif-to-ifdef, hif-make-range, hif-find-range, hif-possibly-hide):
6994 Fix bug to hide the correct #elif region(s).
6995 (hif-range-elif): New defun.
6996 (hif-recurse-level): New var.
6997 (hif-evaluate-region, hif-evaluate-macro): New defun.
6998 (hide-ifdef-guts): Prevent reinclusion protected C/C++ headers from
7000 (hide-ifdef-define, hide-ifdefs, hide-ifdef-block, show-ifdef-block):
7003 2014-07-04 Michael Albinus <michael.albinus@gmx.de>
7005 * net/dbus.el (dbus-peer-handler): New defun.
7006 (dbus-register-service): Register it. (Bug#17858)
7007 (dbus-managed-objects-handler): Fix docstring.
7009 2014-07-04 Phil Sainty <psainty@orcon.net.nz>
7011 * emacs-lisp/lisp.el (narrow-to-defun-include-comments): New var.
7012 (narrow-to-defun): New arg include-comments, defaulting to it
7015 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
7017 * rect.el (rectangle--highlight-for-redisplay): Don't pass `orig' with
7018 different calling convention to rectangle--unhighlight-for-redisplay.
7020 2014-07-03 Michael Albinus <michael.albinus@gmx.de>
7022 * net/tramp.el (tramp-call-process): Handle error strings.
7024 * net/tramp-adb.el (tramp-adb-sh-fix-ls-output): Use `bolp'.
7026 * net/tramp-sh.el (tramp-sh-handle-set-visited-file-modtime)
7027 (tramp-sh-handle-verify-visited-file-modtime): Use `point-at-eol'.
7029 * net/trampver.el: Update release number.
7031 2014-07-03 Juri Linkov <juri@jurta.org>
7033 * desktop.el (desktop-save): Rename arg `auto-save' to
7034 `only-if-changed'. Doc fix. (Bug#17873)
7036 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
7038 * mouse.el (mouse-yank-primary, mouse-yank-secondary):
7039 Use insert-for-yank (bug#17271).
7041 2014-07-03 Leo Liu <sdl.web@gmail.com>
7043 * emacs-lisp/pp.el (pp-eval-expression, pp-eval-last-sexp):
7044 Support lexical-binding.
7046 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
7048 * vc/log-edit.el (log-edit-goto-eoh): New function.
7049 (log-edit--match-first-line): Use it (bug#17861).
7051 2014-07-03 Glenn Morris <rgm@gnu.org>
7053 * vc/log-edit.el (log-edit-hook): Add missing :version.
7055 2014-07-03 Fabián Ezequiel Gallina <fgallina@gnu.org>
7057 * progmodes/python.el (python-indent-post-self-insert-function):
7058 Enhancements to electric indentation behavior inside
7061 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
7063 * ps-def.el (ps-generate-postscript-with-faces1): Don't mess with
7064 buffer-invisibility-spec (bug#17867).
7066 2014-07-03 Andreas Schwab <schwab@linux-m68k.org>
7068 * vc/vc-git.el (vc-git-checkin): When operating on the whole tree
7071 2014-07-03 Glenn Morris <rgm@gnu.org>
7073 * cus-edit.el (help):
7074 * finder.el (finder-known-keywords):
7075 * help.el (help-for-help-internal):
7076 * vc/ediff-mult.el (ediff-meta-buffer-verbose-message)
7077 (ediff-redraw-registry-buffer):
7078 * vc/ediff-ptch.el (ediff-patch-file-internal):
7079 Doc fixes re "online" help. (Bug#17803)
7081 * progmodes/idlwave.el (idlwave): Update url-link for custom group.
7082 (idlwave-mode): Doc URL update.
7084 2014-07-01 Juri Linkov <juri@jurta.org>
7086 * man.el: Display man pages immediately and use process-filter
7087 to format them asynchronously.
7088 (Man-width): Doc fix.
7090 (Man-start-calling): Use `with-selected-window' to get
7091 `frame-width' and `window-width'.
7092 (Man-getpage-in-background): Call `Man-notify-when-ready'
7093 immediately after creating a new buffer. Call `Man-mode' and set
7094 `mode-line-process' in the created buffer. Set process-filter to
7095 `Man-bgproc-filter' in start-process branch. In call-process branch
7096 call either `Man-fontify-manpage' or `Man-cleanup-manpage'.
7097 Use `Man-start-calling' inside `with-current-buffer'.
7098 (Man-fontify-manpage): Don't print messages. Fix boundary condition.
7099 (Man-cleanup-manpage): Don't print messages.
7100 (Man-bgproc-filter): New function.
7101 (Man-bgproc-sentinel): Add `save-excursion' to keep point when
7102 user moved it during asynchronous formatting. Move calls of
7103 `Man-fontify-manpage' and `Man-cleanup-manpage' to
7104 `Man-bgproc-filter'. Move the call of `Man-mode' to
7105 `Man-getpage-in-background'. Use `quit-restore-window'
7106 instead of `kill-buffer'. Use `message' instead of `error'
7107 because errors are caught by process sentinel.
7108 (Man-mode): Move calls of `Man-build-page-list',
7109 `Man-strip-page-headers', `Man-unindent', `Man-goto-page' to
7110 `Man-bgproc-sentinel'. Doc fix. (Bug#2588, bug#5054, bug#9084, bug#17831)
7112 * man.el (Man-bgproc-sentinel): Use `Man-page-from-arguments'
7113 for the message about the man page cleaned up.
7115 2014-07-01 Mario Lang <mlang@delysid.org>
7117 * net/gnutls.el (gnutls-negotiate): Prevent destructive modification of
7118 cosutomization option `gnutls-verify-error'.
7120 2014-07-01 Stefan Monnier <monnier@iro.umontreal.ca>
7122 * simple.el (deactivate-mark, set-mark-command, handle-shift-selection):
7123 Don't keep transient-mark-mode buffer-local when not needed (bug#6316).
7125 * xt-mouse.el (turn-on-xterm-mouse-tracking-on-terminal)
7126 (turn-off-xterm-mouse-tracking-on-terminal): Don't burp if the terminal
7127 is suspended (bug#17857).
7129 2014-07-01 Michael Albinus <michael.albinus@gmx.de>
7131 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
7132 Prefer utf-8 coding. (Bug#17859)
7134 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
7136 * emacs-lisp/subr-x.el (string-reverse): Define as obsolete alias
7139 2014-06-30 Glenn Morris <rgm@gnu.org>
7141 * emacs-lisp/autoload.el (autoload-ensure-writable): New variable.
7142 (autoload-ensure-default-file): Maybe make existing output writable.
7143 * Makefile.in (AUTOGEN_VCS): Remove.
7144 (autoloads): Use autoload-ensure-writable rather than AUTOGEN_VCS.
7146 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
7148 * emacs-lisp/subr-x.el (string-reverse): Use `reverse'.
7150 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
7152 New if-let, when-let, thread-first and thread-last macros.
7154 * emacs-lisp/subr-x.el
7155 (internal--listify, internal--check-binding)
7156 (internal--build-binding-value-form, internal--build-binding)
7157 (internal--build-bindings): New functions.
7158 (internal--thread-argument, thread-first, thread-last)
7159 (if-let, when-let): New macros.
7161 2014-06-30 Grégoire Jadi <daimrod@gmail.com>
7163 * net/rcirc.el (rcirc-buffer-process): Restore previous
7164 behaviour. (Bug#17772)
7166 2014-06-29 Alan Mackenzie <acm@muc.de>
7168 Don't call c-parse-state when c++-template-syntax-table is active.
7169 * progmodes/cc-engine.el (c-guess-continued-construct CASE G)
7170 (c-guess-basic-syntax CASE 5D.3): Rearrange so that
7171 c-syntactic-skip-backwards isn't called with the pertinent syntax table.
7173 2014-06-28 Stephen Berman <stephen.berman@gmx.net>
7175 * calendar/todo-mode.el (todo-set-top-priorities): Fix logic to
7176 account for file-wide setting of todo-top-priorities-overrides.
7177 Make code a bit cleaner.
7179 2014-06-28 Glenn Morris <rgm@gnu.org>
7181 * net/eww.el (eww-mode) <eww-current-title>: Make local. (Bug#17860)
7183 2014-06-28 Stephen Berman <stephen.berman@gmx.net>
7185 * calendar/todo-mode.el (todo-prefix-overlays): If there is no
7186 category-wide setting of todo-top-priorities-overrides, check for
7187 a file-wide setting and fontify accordingly.
7189 2014-06-28 Glenn Morris <rgm@gnu.org>
7191 * subr.el (read-passwd): Warn about batch mode. (Bug#17839)
7193 2014-06-28 Stefan Monnier <monnier@iro.umontreal.ca>
7195 * progmodes/hideif.el: Use lexical-binding. Fix up cl-lib usage.
7197 2014-06-28 K. Handa <handa@gnu.org>
7201 * composite.el: Setup composition-function-table for dotted circle.
7202 (compose-gstring-for-dotted-circle): New function.
7204 * international/characters.el: Add category "^" to all
7205 non-spacing characters.
7207 2014-06-28 Glenn Morris <rgm@gnu.org>
7209 * Makefile.in (doit): Remove force rule.
7210 (custom-deps, finder-data, autoloads, update-subdirs)
7211 (compile-one-process): PHONY targets do not need force rules.
7213 * Makefile.in (compile-main, compile, compile-always):
7214 No need to explicitly pass variables to ourself in recursive calls.
7216 2014-06-28 Stefan Monnier <monnier@iro.umontreal.ca>
7218 * files.el (minibuffer-with-setup-hook): Evaluate the first arg eagerly.
7220 2014-06-26 Glenn Morris <rgm@gnu.org>
7222 * Makefile.in (update-authors): Update for moved authors.el.
7224 2014-06-26 Leo Liu <sdl.web@gmail.com>
7226 * skeleton.el (skeleton-end-hook): Default to nil and move the
7227 work to skeleton-insert. (Bug#17850)
7229 2014-06-26 Dmitry Antipov <dmantipov@yandex.ru>
7231 * calc/calc-alg.el (math-beforep):
7232 * progmodes/cc-guess.el (c-guess-view-reorder-offsets-alist-in-style):
7233 Simplify because string-lessp can accept symbols as args.
7235 2014-06-26 Daiki Ueno <ueno@gnu.org>
7237 * emacs-lisp/package.el (package--check-signature):
7238 If package-check-signature is allow-unsigned, don't signal error when
7239 we can't verify signature because of missing public key
7242 2014-06-26 Glenn Morris <rgm@gnu.org>
7244 * emacs-lisp/cl-macs.el (help-add-fundoc-usage):
7245 Remove outdated declaration.
7247 * emacs-lisp/authors.el (authors-valid-file-names)
7248 (authors-renamed-files-alist): Additions.
7250 2014-06-26 Leo Liu <sdl.web@gmail.com>
7252 * textmodes/picture.el (picture-set-tab-stops):
7253 * ruler-mode.el (ruler-mode-mouse-add-tab-stop)
7254 (ruler-mode-ruler): Fix to work with nil tab-stop-list.
7256 * progmodes/asm-mode.el (asm-calculate-indentation):
7257 Use indent-next-tab-stop.
7259 * indent.el (indent-accumulate-tab-stops): New function.
7261 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
7263 * emacs-lisp/package.el (package-list-unsigned): New var (bug#17625).
7264 (package-desc-status): Obey it.
7266 2014-06-26 Stephen Berman <stephen.berman@gmx.net>
7268 * calendar/todo-mode.el: Fix two bugs.
7269 (todo-insert-item--basic): If user cancels item insertion to
7270 another category before setting priority, show original category
7271 whether it is in the same or a different file.
7272 (todo-set-item-priority): After selecting category, instead of
7273 moving point to top, which extends an active region, restore it.
7275 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
7277 * help-fns.el (describe-function-1): Check file-name is a string before
7278 calling help-fns--autoloaded-p (bug#17564).
7280 2014-06-26 Juri Linkov <juri@jurta.org>
7282 * desktop.el (desktop-auto-save-enable)
7283 (desktop-auto-save-disable): New functions.
7284 (desktop-save-mode, desktop-auto-save-timeout): Use them.
7285 (desktop-read): Disable the autosave before loading the desktop,
7286 and enable afterwards. (Bug#17351)
7288 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
7290 Fix some indentation problem with \; and pipes (bug#17842).
7291 * progmodes/sh-script.el (sh-mode-syntax-table): Set syntax of ;|&.
7292 (sh-smie--default-forward-token, sh-smie--default-backward-token):
7294 (sh-smie-sh-forward-token, sh-smie-sh-backward-token)
7295 (sh-smie-rc-forward-token, sh-smie-rc-backward-token): Use them.
7296 (sh-smie-sh-rules): Fix indentation of a pipe at BOL.
7298 2014-06-26 Glenn Morris <rgm@gnu.org>
7300 * emacs-lisp/find-func.el (find-function-C-source-directory):
7301 Use file-accessible-directory-p.
7303 * ps-samp.el: Make it slightly less awful.
7304 (ps-rmail-mode-hook, ps-gnus-article-prepare-hook, ps-vm-mode-hook):
7305 (ps-gnus-summary-setup, ps-info-mode-hook): Use [print] key.
7306 Only set local values.
7307 (ps-article-subject, ps-article-author): Use standard functions
7308 like mail-fetch-field.
7309 (ps-info-file, ps-info-node): Use match-string.
7310 (ps-jts-ps-setup, ps-jack-setup): Remove, merging into...
7311 (ps-samp-ps-setup): ... new function.
7313 * progmodes/idlw-shell.el (idlwave-shell-make-temp-file):
7314 Optimize away code unneeded on any modern Emacs.
7316 * emacs-lisp/authors.el: Move to ../admin.
7318 * emacs-lisp/ert.el (ert-summarize-tests-batch-and-exit): New.
7320 2014-06-26 Luke Lee <luke.yx.lee@gmail.com>
7322 * progmodes/hideif.el (hif-string-to-number): Fix return value bug.
7323 (hif-simple-token-only, hif-tokenize): Comment in detail mainly for
7324 performance enhancements.
7325 (hif-parse-if-exp): Rename to `hif-parse-exp'. Enhance for macro
7327 (hif-factor, hif-string-concatenation, intern-safe): Support string
7328 concatenation and argumented macro expansion.
7329 (hif-if-valid-identifier-p, hif-define-operator, hif-flatten)
7330 (hif-expand-token-list, hif-get-argument-list, hif-define-macro)
7331 (hif-delimit, hif-macro-supply-arguments, hif-invoke, hif-canonicalize)
7332 (hif-canonicalize-tokens, hif-place-macro-invocation)
7333 (hif-parse-macro-arglist): Mostly new functions for supporting
7334 argumented macro expansion.
7335 (hif-string-concatenation, hif-stringify, hif-token-concat)
7336 (hif-token-stringification, hif-token-concatenation):
7337 Stringification and concatenation.
7338 (hif-find-next-relevant): Fix comments.
7339 (hif-ifdef-to-endif, hif-looking-at-elif, hif-hide-line): Bug fix for
7340 some cases involving #elif.
7341 (hif-find-define, hif-add-new-defines): New functions for automatically
7342 scanning of defined symbols.
7343 (hide-ifdef-guts): Fix for defined symbol auto scanning.
7344 (hide-ifdef-undef): Fix behavior to match CPP.
7346 2014-06-25 Glenn Morris <rgm@gnu.org>
7348 * Makefile.in ($(lisp)/progmodes/cc-defs.elc)
7349 ($(lisp)/progmodes/cc-fonts.elc, $(lisp)/progmodes/cc-langs.elc)
7350 ($(lisp)/progmodes/cc-vars.elc): Drop hand-written deps on non-cc
7351 files. They are not relevant to the original issue (bug#1004),
7352 and cause unnecessary recompilation (bug#2151).
7354 2014-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
7356 * play/landmark.el: Use lexical-binding and avoid `intangible'.
7357 (landmark--last-pos): New var.
7358 (landmark--intangible-chars): New const.
7359 (landmark--intangible): New function.
7360 (landmark-mode, landmark-move): Use it.
7361 (landmark-mode): Remove properties.
7362 (landmark-plot-square, landmark-point-square, landmark-goto-xy)
7363 (landmark-cross-qtuple):
7364 Don't worry about `intangible' any more.
7365 (landmark-click, landmark-point-y): Same; and don't assume point-min==1.
7366 (landmark-init-display): Don't set `intangible' and `point-entered'.
7367 (square): Remove. Inline it instead.
7368 (landmark--distance): Rename from `distance'.
7369 (landmark-calc-distance-of-robot-from): Rename from
7370 calc-distance-of-robot-from.
7371 (landmark-calc-smell-internal): Rename from calc-smell-internal.
7373 2014-06-25 Dmitry Antipov <dmantipov@yandex.ru>
7375 * files.el (dir-locals-find-file, file-relative-name):
7376 * info.el (Info-complete-menu-item):
7377 * minibuffer.el (completion-table-subvert): Prefer string-prefix-p
7378 to compare-strings to avoid out-of-range errors.
7379 * subr.el (string-prefix-p): Adjust to match strict range
7380 checking in compare-strings.
7382 2014-06-24 Leonard Randall <leonard.a.randall@gmail.com> (tiny change)
7384 * textmodes/reftex-parse.el (reftex-using-biblatex-p): Make search
7385 for comment lines non-greedy and stopping at newlines to fix stack
7386 overflows with large files.
7388 2014-06-24 Eli Barzilay <eli@barzilay.org>
7390 * calculator.el (calculator-last-input): Drop 'ascii-character property
7393 2014-06-24 Leo Liu <sdl.web@gmail.com>
7395 * align.el (align-adjust-col-for-rule): Unbreak due to defaulting
7396 tab-stop-list to nil. (Bug#16381)
7398 * indent.el (indent-next-tab-stop): Rename from indent--next-tab-stop.
7399 (indent-rigidly-left-to-tab-stop)
7400 (indent-rigidly-right-to-tab-stop, tab-to-tab-stop)
7401 (move-to-tab-stop): Change callers.
7403 2014-06-24 Eli Zaretskii <eliz@gnu.org>
7405 * skeleton.el (skeleton-insert): Yet another fix of the doc string
7406 wrt behavior of \n as the first/last element of a skeleton.
7408 2014-06-24 Michael Albinus <michael.albinus@gmx.de>
7410 * net/tramp-adb.el (tramp-adb-handle-process-file):
7411 * net/tramp-sh.el (tramp-sh-handle-process-file):
7412 * net/tramp-smb.el (tramp-smb-handle-process-file): Do not raise
7413 the output buffer when DISPLAY is non-nil. (Bug#17815)
7415 2014-06-24 Glenn Morris <rgm@gnu.org>
7417 * play/landmark.el (landmark-move-down, landmark-move-up):
7418 Fix 2007-10-20 change - preserve horizontal position.
7420 2014-06-23 Sam Steingold <sds@gnu.org>
7422 * simple.el (kill-append): Remove undo boundary depending on ...
7423 (kill-append-merge-undo): New user option.
7425 2014-06-23 Stefan Monnier <monnier@iro.umontreal.ca>
7427 * simple.el (handle-shift-selection, exchange-point-and-mark)
7428 (activate-mark): Set transient-mark-mode buffer-locally (bug#6316).
7429 (transient-mark-mode): Use&set the global value.
7430 * mouse.el (mouse-set-region-1, mouse-drag-track): Idem.
7431 * emulation/edt.el (edt-emulation-off): Save&restore the global
7432 transient-mark-mode setting.
7433 * obsolete/pc-select.el (pc-selection-mode): Use the
7434 transient-mark-mode function.
7436 2014-06-23 Eli Zaretskii <eliz@gnu.org>
7438 * international/fontset.el (script-representative-chars):
7439 Add representative characters for scripts added in Unicode 7.0.
7440 (otf-script-alist): Synchronize with the latest registry of OTF
7443 * international/characters.el (char-script-table): Update for
7444 scripts added and codepoint ranges changed in Unicode 7.0.
7446 2014-06-23 Eli Barzilay <eli@barzilay.org>
7448 * calculator.el (calculator-standard-displayer): Fix bug in use of
7449 `calculator-groupize-number'.
7450 (calculator-funcall): Fix broken `cl-flet' use by moving it into the
7451 `eval' code, so it works in v24.3.1 too.
7452 (calculator-last-input): Comment to clarify purpose.
7454 2014-06-22 Mario Lang <mlang@delysid.org>
7456 * textmodes/rst.el (rst-comment-region): From from -> from.
7458 * net/tramp-adb.el (tramp-adb-send-command-and-check): And and -> and.
7460 2013-06-22 Dmitry Antipov <dmantipov@yandex.ru>
7462 * electric.el (electric-layout-post-self-insert-function):
7463 * emacs-lisp/ert.el (ert--insert-infos):
7464 * obsolete/vi.el (vi-set-mark):
7465 * term.el (term-handle-scroll):
7466 * textmodes/bibtex.el (bibtex-fill-field, bibtex-fill-entry):
7467 * wid-edit.el (widget-editable-list-value-create):
7468 Prefer point-marker to copy-marker of point.
7470 2014-06-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
7472 Fix completion retrieval parsing (bug#17209).
7473 * progmodes/python.el (python-mode):
7474 (python-util-strip-string): New function.
7475 (python-shell-completion-get-completions): Use it.
7477 2014-06-21 Eli Zaretskii <eliz@gnu.org>
7479 * skeleton.el (skeleton-insert): Fix last change.
7481 2014-06-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
7483 Enhancements for outline integration (bug#17796).
7484 * progmodes/python.el (python-mode): Properly set
7485 outline-heading-end-regexp so that comments after colons for
7486 defuns are supported.
7488 2014-06-21 Eli Zaretskii <eliz@gnu.org>
7490 * skeleton.el (skeleton-insert): Doc fix.
7492 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
7494 * emacs-lisp/smie.el (smie-config--guess): Fix typo.
7495 (smie-config-guess): Use smie-config-local so the rules are obeyed
7498 * mouse.el (mouse-drag-line): Don't re-add to unread-comment-events,
7499 since it's already done inside the loop (bug#17819).
7501 2014-06-21 Martin Rudalics <rudalics@gmx.at>
7503 * mouse.el (mouse-drag-line): Re-remove code initially removed
7504 on 2013-03-09 and inadvertently reintroduced on 2013-11-30
7507 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
7509 * progmodes/sh-script.el (sh-smie-sh-rules): For { after &&, don't
7510 align with the surrounding parent (bug#17721).
7512 2014-06-21 Eli Zaretskii <eliz@gnu.org>
7514 * textmodes/texinfo.el (texinfo-mode): Set skeleton-end-newline
7516 (texinfo-insert-block, texinfo-insert-@end)
7517 (texinfo-insert-@example, texinfo-insert-@quotation): Adjust to
7518 local setting of skeleton-end-newline by adding an explicit \n to
7519 the skeletons where appropriate. (Bug#17801)
7521 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
7523 * emacs-lisp/smie.el (smie--hanging-eolp-function): New var.
7524 (smie-indent--hanging-p): Use it.
7525 * progmodes/sh-script.el (sh-set-shell): Set it (bug#17621).
7527 2014-06-21 Leo Liu <sdl.web@gmail.com>
7529 * simple.el (read-quoted-char): Don't let help chars pop up help
7532 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
7534 * progmodes/sh-script.el (sh-smie-sh-rules): Use same rule for && as
7537 * xt-mouse.el (xterm-mouse--read-event-sequence-1000):
7538 Drop unknown events instead of burping.
7540 2014-06-21 Eli Zaretskii <eliz@gnu.org>
7542 * term/w32-win.el (dynamic-library-alist): Support giflib 5.1.0
7543 and later. (Bug#17790)
7545 2014-06-21 Juri Linkov <juri@jurta.org>
7547 * dired.el (dired-mark-pop-up): Let-bind display-buffer-mark-dedicated
7548 to `soft'. (Bug#17554)
7550 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
7552 * delsel.el (electric-newline-and-maybe-indent): Mark it as well
7555 2014-06-21 Dmitry Gutov <dgutov@yandex.ru>
7557 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Don't fontify
7558 `!' in `!~' with `font-lock-negation-char-face'. (Bug#17732)
7560 2014-06-21 Michael Albinus <michael.albinus@gmx.de>
7562 * net/dbus.el (dbus-call-method): Push only non D-Bus events into
7563 `unread-command-events'.
7565 2014-06-19 William Xu <william.xwl@gmail.com>
7567 * progmodes/hideif.el (hif-string-to-number): Don't return float for
7568 hex integer constants (bug#17807).
7570 2014-06-19 Stefan Monnier <monnier@iro.umontreal.ca>
7572 * international/mule-util.el (truncate-string-ellipsis): New var.
7573 (truncate-string-to-width): Use it.
7575 2014-06-19 Robert Brown <robert.brown@gmail.com> (tiny change)
7577 * emacs-lisp/lisp-mode.el (lisp-string-after-doc-keyword-p): New fun.
7578 (lisp-string-in-doc-position-p): New function, extracted from
7579 lisp-font-lock-syntactic-face-function.
7580 (lisp-font-lock-syntactic-face-function): Use them (bug#9130).
7582 2014-06-19 Grégoire Jadi <daimrod@gmail.com>
7584 * net/rcirc.el (rcirc-omit-mode): Fix recenter error. (Bug#17769)
7586 2014-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
7588 * play/bubbles.el (bubbles--initialize, bubbles--show-scores)
7589 (bubbles--game-over): Don't add `intangible' properties since they
7592 2014-06-18 Juri Linkov <juri@jurta.org>
7594 * vc/ediff-init.el (ediff-current-diff-Ancestor)
7595 (ediff-fine-diff-Ancestor, ediff-even-diff-A, ediff-even-diff-B)
7596 (ediff-even-diff-C, ediff-even-diff-Ancestor, ediff-odd-diff-A)
7597 (ediff-odd-diff-B, ediff-odd-diff-C, ediff-odd-diff-Ancestor):
7598 Add `min-colors 88' version with removed black/white foregrounds.
7601 2014-06-18 Juri Linkov <juri@jurta.org>
7603 * vc/diff-mode.el (diff-changed): Empty face definition to use
7604 `diff-removed' and `diff-added' on tty as well. (Bug#10181)
7605 (diff-context): Use darker color on light background and
7606 lighter color on dark background.
7608 2014-06-18 Juri Linkov <juri@jurta.org>
7610 * vc/diff-mode.el (diff-refine-changed): Rename from
7611 `diff-refine-change' for consistency with `diff-changed'.
7612 (diff-refine-change): Add obsolete face alias. (Bug#10181)
7614 * vc/smerge-mode.el (smerge-refined-changed): Rename from
7615 `smerge-refined-change'.
7616 (smerge-refined-change): Add obsolete face alias.
7618 2014-06-17 Stefan Monnier <monnier@iro.umontreal.ca>
7620 * rect.el (rectangle-preview): New custom.
7621 (rectangle): New group.
7622 (rectangle--pos-cols): Add `window' argument.
7623 (rectangle--string-preview-state, rectangle--string-preview-window):
7625 (rectangle--string-flush-preview, rectangle--string-erase-preview)
7626 (rectangle--space-to, rectangle--string-preview): New functions.
7627 (string-rectangle): Use them.
7628 (rectangle--inhibit-region-highlight): New var.
7629 (rectangle--highlight-for-redisplay): Obey it. Make sure
7630 `apply-on-region' uses the point-crutches of the right window.
7631 Use :align-to rather than multiple spaces.
7633 2014-06-16 Andrea Rossetti <andrea.rossetti@gmail.com> (tiny change)
7635 * ruler-mode.el (ruler-mode-window-col)
7636 (ruler-mode-mouse-set-left-margin)
7637 (ruler-mode-mouse-set-right-margin): Fix calculation of column
7638 from mouse position (Bug#17768).
7640 2014-06-16 Ron Schnell <ronnie@driver-aces.com>
7642 * play/dunnet.el (dun-doassign): Fix bug where UNIX variable assignment
7643 without varname or rhs causes crash.
7644 (dun-ftp): Fix bug where blank ftp password is allowed, making it
7645 impossible to win endgame.
7646 (dun-unix-verbs): Add ssh as alias to rlogin, because nobody knows what
7648 (dun-help): Bump version number; update contact info.
7650 2014-06-15 Eli Barzilay <eli@barzilay.org>
7652 * calculator.el (calculator-prompt, calculator-remove-zeros)
7653 (calculator-mode-hook, calculator-operators, calculator-stack)
7654 (calculator-mode): Tweak docstring.
7655 (calculator-user-operators): Tweak docstring, fix a bug in the last
7657 (calculator-displayer): `std' case has an optional boolean.
7658 (calculator-displayers): Use the new boolean to group in decimal mode.
7659 (calculator-mode-map, calculator, calculator-message)
7660 (calculator-op-arity, calculator-add-operators)
7661 (calculator-string-to-number, calculator-displayer-prev)
7662 (calculator-displayer-next, calculator-remove-zeros)
7663 (calculator-eng-display, calculator-number-to-string)
7664 (calculator-update-display, calculator-last-input)
7665 (calculator-clear-fragile, calculator-digit, calculator-decimal)
7666 (calculator-exp, calculator-saved-move, calculator-clear)
7667 (calculator-copy, calculator-put-value, calculator-help)
7668 (calculator-expt, calculator-truncate): Minor code improvements.
7669 (calculator-need-3-lines): New function pulling out code from
7671 (calculator-get-display): Rename from `calculator-get-prompt', and
7673 (calculator-push-curnum): Rename from `calculator-curnum-value', and
7674 extended for all uses of it. All callers changed.
7675 (calculator-groupize-number): New utility for splitting a number into
7677 (calculator-standard-displayer): Improve code, new optional argument to
7678 use comma-split groups, make second argument optional too to use with
7679 'left/'right inputs. All callers changed.
7680 (calculator-reduce-stack-once): New utility, doing the meat of what
7681 `calculator-reduce-stack' used to do, much improved (mostly using
7682 `pcase' for conciseness and clarity).
7683 (calculator-reduce-stack): Now doing just the reduction loop using
7684 `calculator-reduce-stack-once'.
7685 (calculator-funcall): Improve code, make it work in v24.3.1 too.
7686 (calculator-last-input): Improve code, remove some old cruft.
7687 (calculator-quit): Kill `calculator-buffer' in electric mode too.
7688 (calculator-integer-p): Remove.
7689 (calculator-fact): Improve code, make it work on non-integer values
7690 too (using truncated numbers).
7692 2014-06-15 Michael Albinus <michael.albinus@gmx.de>
7694 Sync with Tramp 2.2.10.
7696 * net/tramp.el (tramp-methods): Tweak docstring.
7697 (tramp-handle-file-accessible-directory-p): Check for
7698 `file-readable-p' instead of `file-executable-p'.
7699 (tramp-check-cached-permissions):
7700 Use `tramp-compat-file-attributes'.
7701 (tramp-call-process): Add new argument VEC. Adapt callees in all
7704 * net/tramp-adb.el (tramp-adb-handle-write-region): Improve messages.
7705 (tramp-adb-maybe-open-connection): Don't set
7706 `tramp-current-*' variables.
7708 * net/tramp-cache.el (tramp-flush-file-function): Do not flush
7709 file properties of temporary buffers.
7711 * net/tramp-ftp.el (top): Remove special handling for URL syntax.
7713 * net/tramp-gvfs.el (tramp-gvfs-methods) <sftp>: Add.
7714 (tramp-gvfs-handle-delete-file): Flush file
7715 properties, not directory properties.
7716 (tramp-gvfs-handle-file-attributes): Use `string-to-number' when
7717 reading "unix::mode".
7718 (tramp-gvfs-handle-file-name-all-completions):
7719 Use "-h" option for "gvfs-ls".
7720 (tramp-gvfs-url-file-name): `user' and `localname' could be nil.
7721 (tramp-gvfs-send-command): Simplify traces.
7723 * net/tramp-sh.el (vc-handled-backends, vc-bzr-program)
7724 (vc-git-program, vc-hg-program): Declare.
7725 (tramp-methods) <sftp>: Remove. It has never worked satisfactorily.
7726 (tramp-methods) <nc>: Add new method.
7727 (tramp-methods) <telnet>: Redirect stderr to "/dev/null".
7728 (tramp-methods) <plink, plinkx, pscp, psftp>: Improve
7730 (tramp-default-user-alist): Add "nc".
7731 (top): Remove completion function for "sftp". Add completion
7732 functions for "nc" and "psftp".
7733 (tramp-do-copy-or-rename-file-out-of-band): Tweak docstring.
7734 Implement support for "nc" method.
7735 (tramp-sh-handle-expand-file-name, tramp-local-coding-commands)
7736 (tramp-remote-coding-commands, tramp-call-local-coding-command):
7738 (tramp-sh-handle-write-region): Tweak error message.
7739 (tramp-sh-handle-vc-registered): Remove backends when the remote
7740 binary does not exist.
7741 (tramp-find-inline-encoding): Do not raise an error.
7742 (tramp-make-copy-program-file-name): Tweak docstring. Handle also
7743 the "nc" case. Quote result also locally.
7745 * net/tramp-smb.el (tramp-smb-handle-copy-directory)
7746 (tramp-smb-handle-set-file-acl): Use `start-process'.
7747 (tramp-smb-handle-insert-directory): Use progress reporter.
7748 (tramp-smb-handle-rename-file): Flush also file properties of
7751 * net/trampver.el: Update release number.
7753 2014-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
7755 * ses.el: Miscellaneous cleanups; use lexical-binding; avoid
7757 (ses-localvars): Remove ses--local-printer-list, unused.
7758 (ses--metaprogramming): New macro. Use it to defvar variables.
7759 (ses-set-localvars): Simplify.
7760 (ses--locprn, ses-cell): Use defstruct. Change ses-cell's
7761 property-list into an alist.
7762 (ses-locprn-get-compiled, ses-locprn-compiled-aset)
7763 (ses-locprn-get-def, ses-locprn-def-aset, ses-locprn-get-number):
7764 Remove; use defstruct accessors/setters instead.
7765 (ses-cell-formula-aset, ses-cell-printer-aset)
7766 (ses-cell-references-aset): Remove, use setf instead.
7767 (ses--alist-get): New function.
7768 (ses-cell-property): Rename from ses-cell-property-get and rewrite.
7769 Use an alist instead of a plist and don't do move-to-front since the
7770 list is always short.
7771 (ses-cell-property-get-fun, ses-cell-property-delq-fun)
7772 (ses-cell-property-set-fun, ses-cell-property-set)
7773 (ses-cell-property-pop-fun, ses-cell-property-get-handle)
7774 (ses-cell-property-handle-car, ses-cell-property-handle-setcar): Remove.
7775 (ses--letref): New macro.
7776 (ses-cell-property-pop): Rewrite.
7777 (ses--cell): Rename from ses-cell and make it into a function.
7778 Make `formula' fallback on `value' if nil.
7779 (ses--local-printer): Rename from ses-local-printer and make it into
7781 (ses-set-cell): Turn it into a macro so finding the accessor from the
7782 field name is done at compile time.
7783 (ses-repair-cell-reference-all): Test presence of `sym' rather than
7784 `ref' before adding `sym' to :ses-repair-reference.
7785 (ses-calculate-cell): Use ses--letref rather than
7786 ses-cell-property-get-handle.
7787 (ses-write-cells): Use a single prin1-to-string.
7788 (ses-setter-with-undo): New function.
7789 (ses-aset-with-undo, ses-set-with-undo): Rewrite using it.
7790 (ses-unset-with-undo): Remove.
7791 (ses-load): Prefer apply' over `eval'.
7792 (ses-read-printer, ses-set-column-width): Use standard "(default
7795 2014-06-15 Glenn Morris <rgm@gnu.org>
7797 * Makefile.in (leim, semantic): Use `make -C' rather than `cd && make'.
7799 * progmodes/cc-langs.el: Require cl-lib. (Bug#17463)
7800 Replace delete-duplicates and mapcan by cl- versions throughout.
7801 And cl-macroexpand-all by macroexpand-all.
7802 (delete-duplicates, mapcan, cl-macroexpand-all): No need to declare.
7804 2014-06-15 Eli Zaretskii <eliz@gnu.org>
7806 * subr.el (posn-col-row): Doc fix. (Bug#17768)
7808 2014-06-15 Juri Linkov <juri@jurta.org>
7810 * bindings.el: Put `ascii-character' property on keypad keys
7811 mapped to characters. (Bug#17759)
7813 2014-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
7815 * emacs-lisp/smie.el (smie-next-sexp): Fix up "other-end" info when
7816 bumping forward into a closing paren (bug#17761).
7818 * term/xterm.el (xterm--version-handler): Work around for OSX
7819 Terminal.app (bug#17607).
7821 2014-06-14 Ron Schnell <ronnie@driver-aces.com>
7823 * play/dunnet.el (dun-describe-room, dun-mode):
7824 If a lamp is in the room, you won't be eaten by a grue.
7826 2014-06-13 Glenn Morris <rgm@gnu.org>
7828 * Makefile.in ($(lisp)/cus-load.el, $(lisp)/finder-inf.el)
7829 (autoloads, $(lisp)/subdirs.el, compile-main, leim, semantic, compile)
7830 (compile-always): GNU make automatically passes
7831 command-line arguments to sub-makes.
7833 * calendar/calendar.el (calendar-generate-window):
7834 Remove pointless call to font-lock-fontify-buffer.
7836 2014-06-13 Matthias Meulien <orontee@gmail.com>
7838 * simple.el (completion-list-mode-map): Navigate with tab and backtab
7841 2014-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
7843 * simple.el (set-mark-command): Simplify a bit.
7845 2014-06-12 Nicolas Richard <theonewiththeevillook@yahoo.fr>
7847 * help.el (help--key-binding-keymap): New function.
7848 (help--binding-locus): New function.
7849 (describe-key): Mention the keymap in which the binding was
7852 2014-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
7854 * hippie-exp.el (he--all-buffers): New function.
7855 (try-expand-line-all-buffers, try-expand-list-all-buffers)
7856 (try-expand-dabbrev-all-buffers): Use it.
7858 2014-06-12 Emilio C. Lopes <eclig@gmx.net>
7860 * hippie-exp.el (try-expand-line-all-buffers)
7861 (try-expand-list-all-buffers, try-expand-dabbrev-all-buffers):
7862 Read hippie-expand-only-buffers and hippie-expand-ignore-buffers in the
7863 original buffer, in case they're buffer-local.
7865 2014-06-12 Vincent Belaïche <vincentb1@users.sourceforge.net>
7867 * ses.el (ses-initial-global-parameters-re): New defconst, a
7868 specific regexp is needed now that ses.el can handle both
7869 file-format 2 --- ie. no local printers --- and 3 --- i.e. may have
7871 (ses-localvars): Add local variables needed for local printer handling.
7872 (ses-set-localvars): Handle hashmap initialisation.
7873 (ses-paramlines-plist): Add param-line for number of local printers.
7874 (ses-paramfmt-plist): New defconst, needed for code factorization
7875 between functions `ses-set-parameter' and
7876 `ses-file-format-extend-paramter-list'
7877 (ses-make-local-printer-info): New defsubst.
7878 (ses-locprn-get-compiled, ses-locprn-compiled-aset)
7879 (ses-locprn-get-def, ses-locprn-def-aset, ses-locprn-get-number)
7880 (ses-cell-printer-aset): New defmacro.
7881 (ses-local-printer-compile): New defun.
7882 (ses-local-printer): New defmacro.
7883 (ses-printer-validate, ses-call-printer): Add support for local
7885 (ses-file-format-extend-paramter-list): New defun.
7886 (ses-set-parameter): Use const `ses-paramfmt-plist' for code
7888 (ses-load): Add support for local printer functions.
7889 (ses-read-printer): Update docstring and add support for local printer
7891 (ses-refresh-local-printer, ses-define-local-printer): New defun.
7892 (ses-safe-printer): Add support for local printer functions.
7894 2014-06-12 Ivan Andrus <darthandrus@gmail.com>
7896 * ffap.el (ffap-lax-url): New var (bug#17723).
7897 (ffap-url-at-point): Use it.
7898 (ffap-file-at-point): Avoid returning just "/".
7900 2014-06-12 Matthias Meulien <orontee@gmail.com>
7902 * progmodes/python.el (import skeleton): New skeleton (bug#17672).
7903 (python-mode-map): Bind it.
7905 * progmodes/python.el (class skeleton): Don't erase last char of class
7908 2014-06-12 Cameron Desautels <camdez@gmail.com> (tiny change)
7910 * help.el (where-is): Use `default' arg of completing-read (bug#17705).
7912 2014-06-12 Kevin Ryde <user42_kevin@yahoo.com.au>
7914 * files.el (auto-mode-alist): Map .ad files to xdefaults-mode
7917 2014-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
7919 * international/mule-cmds.el: Use lexical-binding.
7920 (ucs-names): Simplify.
7922 2014-05-18 Eric Hanchrow <eric.hanchrow@gmail.com>
7924 * progmodes/python.el (run-python): Use read-shell-command.
7926 2014-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
7928 * rect.el: Make it possible to move bounds past EOL or into TABs.
7929 (operate-on-rectangle): Use apply-on-rectangle.
7930 (rectangle--mark-crutches): New var.
7931 (rectangle--pos-cols, rectangle--col-pos, rectangle--point-col)
7932 (rectangle--crutches, rectangle--reset-crutches): New functions.
7933 (apply-on-rectangle): Obey crutches. Avoid setq.
7934 Fix missing final iteration if end is at EOB&BOL.
7935 (rectangle-mark-mode-map): Add remap bindings for
7936 exchange-point-and-mark and char/line movements.
7937 (rectangle--*-char): New function.
7938 (rectangle-exchange-point-and-mark, rectangle-right-char)
7939 (rectangle-left-char, rectangle-forward-char)
7940 (rectangle-backward-char, rectangle-next-line)
7941 (rectangle-previous-line): New commands.
7942 (rectangle--place-cursor): New function.
7943 (rectangle--highlight-for-redisplay): Use it. Use apply-on-rectangle.
7945 2014-06-08 Glenn Morris <rgm@gnu.org>
7947 * startup.el (initial-buffer-choice): Doc fix.
7948 Reset :version (adding an option does not merit a :version bump).
7950 * bookmark.el (bookmark-load):
7951 * uniquify.el (uniquify-buffer-name-style): Doc fixes.
7953 2014-06-08 Juri Linkov <juri@jurta.org>
7955 * desktop.el: Activate auto-saving on window configuration changes.
7956 (desktop-save-mode, desktop-auto-save-timeout): Add/remove
7957 `desktop-auto-save-set-timer' to/from
7958 `window-configuration-change-hook'.
7959 (desktop-auto-save-set-timer): Change REPEAT arg of
7960 `run-with-idle-timer' from t to nil.
7961 http://lists.gnu.org/archive/html/emacs-devel/2014-06/msg00147.html
7963 2014-06-08 Santiago Payà i Miralta <santiagopim@gmail.com>
7965 * vc/vc-hg.el (vc-hg-working-revision): Use "hg parent" and
7966 vc-hg-command (bug#17570).
7968 2014-06-08 Stefan Monnier <monnier@iro.umontreal.ca>
7970 * international/mule-cmds.el (ucs-names): Add special entry for BEL
7973 2014-06-08 Glenn Morris <rgm@gnu.org>
7975 * startup.el (window-setup-hook): Doc fix.
7977 * emacs-lisp/package.el (package-check-signature)
7978 (package-unsigned-archives): Doc fixes.
7980 2014-06-08 Martin Rudalics <rudalics@gmx.at>
7982 * window.el (display-buffer-use-some-window): Don't make window
7983 used smaller than it was before (Bug#17671).
7985 2014-06-08 Eli Zaretskii <eliz@gnu.org>
7987 * menu-bar.el (menu-bar-open): Fix last change: use the PC
7988 'redisplay' instead of '(sit-for 0)'.
7990 2014-06-08 Michael Albinus <michael.albinus@gmx.de>
7992 * net/tramp.el (tramp-ssh-controlmaster-options):
7993 Improve search regexp. (Bug#17653)
7995 2014-06-08 Glenn Morris <rgm@gnu.org>
7997 * emacs-lisp/package.el (package-pinned-packages): Doc fix.
7999 2014-06-08 Eli Zaretskii <eliz@gnu.org>
8001 * menu-bar.el (menu-bar-open): Fix invocation via M-x.
8003 2014-06-06 Santiago Payà i Miralta <santiagopim@gmail.com>
8005 * vc/vc-hg.el (vc-hg-create-tag, vc-hg-retrieve-tag): New functions
8008 * vc/vc-hg.el (vc-hg-log-graph): New var.
8009 (vc-hg-print-log): Use it.
8010 (vc-hg-root-log-format): Include branch name and bookmarks; ignore
8011 graph output (bug#17515).
8013 2014-06-06 Stefan Monnier <monnier@iro.umontreal.ca>
8015 * mouse.el (mouse-posn-property): Ignore buffer position info when the
8016 even happened elsewhere.
8018 2014-06-06 Mario Lang <mlang@delysid.org>
8020 * emacs-lisp/tabulated-list.el (tabulated-list-print): Only call
8021 `recenter' if `current-buffer' is equal to `window-buffer'.
8023 2014-06-05 Leo Liu <sdl.web@gmail.com>
8025 * emacs-lisp/cl-macs.el (cl-macrolet): Avoid excessive progn's.
8027 2014-06-05 Michal Nazarewicz <mina86@mina86.com>
8029 * textmodes/tildify.el (tildify-foreach-region-outside-env):
8030 New function which calls a callback on portions of the buffer that are
8031 outside of ignored environments.
8032 (tildify-build-regexp): Remove function since it is now
8033 incorporated in `tildify-foreach-region-outside-env' where it is
8034 optimized and simplified by the use of `mapconcat'.
8035 (tildify-tildify): Return number of substitutions made so that…
8036 (tildify-count): …can be removed.
8037 (tildify-find-env): Accept a new PAIRS argument which was
8038 previously looked up in `tildify-ignored-environments-alist' each
8039 time the function was called. With this change, the lookup is
8040 performed only once in `tildify-foreach-region-outside-env'.
8041 (tildify-region): Greatly simplify the function since now most of
8042 the work is done by `tildify-foreach-region-outside-env'.
8043 (tildify-mode-alist): Simplify slightly by avoiding if and setq
8044 and instead using or.
8046 * textmodes/tildify.el (tildify-ignored-environments-alist):
8047 Optimize environments regexes
8049 Each time beginning of an environment to ignore is found,
8050 `tildify-find-env' needs to identify regexp for the ending
8051 of the environment. This is done by trying all the opening
8052 regexes on matched text in a loop, so to speed that up, this
8053 loop should have fewer things to match, which can be done by
8054 using alternatives in the opening regexes.
8056 Coincidentally, this should make matching of the opening
8057 regexp faster as well thanks to the use of `regexp-opt' and
8058 having common prefix pulled from many regexes.
8060 * textmodes/tildify.el (tildify-string-alist)
8061 (tildify-ignored-environments-alist): Add `nxml-mode' to the list
8062 of supported modes since `xml-mode' is no longer a thing but just
8063 an alias to the former. Also include comments and insides of tags
8064 in `tildify-ignored-environments-alist' for XML modes. Finally,
8065 since XML does not define “ ”[1], use a numeric reference for
8066 a no-break space (namely “ ”)
8068 [1] XML specification defines only a handful of predefined entities.
8069 The list is at <http://www.w3.org/TR/REC-xml/#sec-predefined-ent>
8070 and includes only <, >, &, ' and " (meaning <,
8071 >, &, ' and " respectively). This is in contrast to HTML and even
8072 XHTML which defined a whole bunch of entities including “ ”.
8074 * textmodes/tildify.el (tildify-pattern-alist)
8075 (tildify-string-alist, tildify-ignored-environments-alist):
8076 Improve defcustom's types by adding more tags explaining what each
8077 value means and replace “sexp” used in
8078 `tildify-ignored-environments-alist' with a full type declaration.
8080 * textmodes/tildify.el (tildify-find-env): Fix matched group
8081 indexes in end-regex building
8083 When looking for a start of an ignore-environment, the regex is built
8084 by concatenating regexes of all the environments configured in
8085 `tildify-ignored-environments-alist'. So for example, the following
8086 list could be used to match TeX's \verb and \verb* commands:
8088 (("\\\\verb\\(.\\)" . (1))
8089 ("\\\\verb\\*\\(.\\)" . (1)))
8091 This would result in the following regex being used to find the start
8092 of any of the variants of the \verb command:
8094 \\\\verb\\(.\\)\\|\\\\verb\\*\\(.\\)
8096 But now, if “\\\\verb\\*\\(.\\)” matches, the first capture group
8097 won't match anything, and thus (match-string 1) will be nil, which
8098 will cause building of the end-matching regex to fail.
8100 Fix this by using capture groups from the time when the opening
8101 regexes are matched individually.
8103 * textmodes/tildify.el (tildify-find-env): Fix end-regex building
8104 in `tildify-find-env'
8106 The `tildify-ignored-environments-alist' allows the end-regex to
8107 be provided not as a static string but mix of strings and indexes
8108 of groups matched the begin-regex. For example, the “\verb!…!”
8109 TeX-command (where “!” is an arbitrary character) is handled
8112 ("\\\\verb\\*?\\(.\\)" . (1))
8114 In the same way, the following should be supported as well:
8116 ("open-\\(.\\)" . ("end-" 1))
8118 However the tildify-find-env function fails at
8121 (if (stringp (setq aux (car expression)))
8122 expression ; BUG: expression is a list
8123 (regexp-quote (match-string aux))))
8125 where the string part is handled incorrectly.
8127 The most trivial fix would be to replace `expression' in the
8128 true-part of the if-statement with `aux', but instead, this commit
8129 optimizes `tildify-find-env' by changing it to use `mapconcat'
8130 rather than open-coded while-loop.
8132 2014-06-05 Mario Lang <mlang@delysid.org>
8134 * woman.el (woman-mapcan): Remove.
8135 (woman-parse-colon-path): Use cl-mapcan instead.
8137 2014-06-03 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
8139 * register.el: Add link to Emacs manual in Commentary.
8141 2014-06-02 Sam Steingold <sds@gnu.org>
8143 * menu-bar.el (lookup-key-ignore-too-long): Extract from...
8144 (popup-menu): ...here.
8145 (menu-bar-open): Use it to avoid an error when `lookup-key'
8148 2014-06-02 Michael Albinus <michael.albinus@gmx.de>
8150 * net/tramp.el (tramp-call-process): Add traces.
8151 (tramp-handle-unhandled-file-name-directory): Return "/".
8153 2014-06-02 Wilson Snyder <wsnyder@wsnyder.org>
8155 Sync with upstream verilog-mode revision 3cd8144.
8156 * progmodes/verilog-mode.el (verilog-mode-version): Bump.
8157 (verilog-auto-arg-format): New option, to support newlines in AUTOARG.
8158 (verilog-type-font-keywords): Add nor.
8159 (verilog-batch-execute-func): Force reading of Local Variables.
8160 Fix printing "no changes to be saved" with verilog-batch.
8161 (verilog-auto-arg-ports): Doc fix.
8162 Add verilog-auto-arg-format to support newlines in AUTOARG.
8163 (verilog-auto-arg): Doc fix.
8165 2014-06-02 Glenn Morris <rgm@gnu.org>
8167 * emulation/crisp.el, emulation/tpu-edt.el, emulation/tpu-extras.el:
8168 * emulation/tpu-mapper.el, emulation/vi.el, emulation/vip.el:
8169 * emulation/ws-mode.el: Move to obsolete/.
8170 * Makefile.in (AUTOGEN_VCS): Update for moved tpu-edu.el.
8172 2014-06-02 Eli Zaretskii <eliz@gnu.org>
8174 * simple.el (keyboard-quit): Force update of mode lines, to remove
8175 the "Def" indicator, if we were defining a macro. (Bug#17615)
8177 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
8179 * minibuffer.el (minibuffer-force-complete-and-exit):
8180 Obey minibuffer-default (bug#17545).
8182 * progmodes/js.el (js-indent-line): Don't mix columns and chars
8185 * subr.el (set-transient-map): Don't wait for some "nested"
8186 transient-map to finish if we're only supposed to be active for
8187 the next command (bug#17642).
8189 2014-06-02 Leo Liu <sdl.web@gmail.com>
8191 * emacs-lisp/gv.el (window-buffer, window-display-table)
8192 (window-dedicated-p, window-hscroll, window-point, window-start):
8193 Fix gv-expander. (Bug#17630)
8195 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
8197 * mouse.el (mouse-posn-property): Ignore posn-point for mode-line
8200 * leim/quail/latin-pre.el ("latin-2-prefix"): Use ",," rather than ", "
8201 for the single comma, since ", " is *very* common in normal French text
8204 2014-06-02 Glenn Morris <rgm@gnu.org>
8206 * emacs-lisp/package.el (package-check-signature)
8207 (package-unsigned-archives): Fix :version.
8209 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
8211 * subr.el (sit-for): Don't run input-methods (bug#15614).
8213 2014-06-02 Glenn Morris <rgm@gnu.org>
8215 * cus-start.el: Fix some :version numbers.
8217 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
8219 * simple.el (deactivate-mark): Set mark-active to nil even if
8220 deactivation is done via setting transient-mark-mode to nil,
8221 since one is buffer-local and the other is global.
8223 * emacs-lisp/byte-opt.el (byte-optimize-binary-predicate): Don't assume
8224 there can't be more than 2 arguments (bug#17584).
8226 2014-06-02 Glenn Morris <rgm@gnu.org>
8228 * simple.el (filter-buffer-substring-functions)
8229 (filter-buffer-substring-function, buffer-substring-filters)
8230 (filter-buffer-substring, buffer-substring--filter): Doc fixes.
8232 * minibuffer.el (completion-in-region-functions, completion-in-region)
8233 (completion--in-region): Doc fixes.
8235 * abbrev.el (abbrev-expand-functions, abbrev-expand-function)
8236 (expand-abbrev, abbrev--default-expand): Doc fixes.
8238 2014-06-02 Paul Eggert <eggert@cs.ucla.edu>
8240 Include sources used to create macuvs.h.
8241 * international/README: Refer to the Unicode Terms of Use rather
8242 than copying it bodily here, as that simplifies maintenance.
8244 2014-06-01 Glenn Morris <rgm@gnu.org>
8246 * loadup.el (load-prefer-newer): Set non-nil when dumping. (Bug#17629)
8248 2014-05-31 Glenn Morris <rgm@gnu.org>
8250 * files.el (locate-dominating-file): Expand file argument. (Bug#17641)
8252 2014-05-30 Glenn Morris <rgm@gnu.org>
8254 * loadup.el: Treat `command-line-args' more flexibly.
8256 2014-05-30 Alan Mackenzie <acm@muc.de>
8258 Guard (looking-at "\\s!") from XEmacs.
8259 * progmodes/cc-engine.el (c-state-pp-to-literal): Add guard form.
8261 2014-05-30 Ken Olum <kdo@cosmos.phy.tufts.edu>
8263 * mail/rmail.el (rmail-delete-forward, rmail-delete-backward):
8264 The argument COUNT is now optional, to be more backward-compatible.
8265 Doc fix. (Bug#17560)
8267 2014-05-29 Reuben Thomas <rrt@sc3d.org>
8269 * whitespace.el (whitespace-report-region):
8270 Simplify documentation.
8271 (whitespace-report-region): Allow report-if-bogus to take the
8272 value `never', for non-interactive use.
8273 (whitespace-report): Refer to whitespace-report-region's
8276 2014-05-29 Stefan Monnier <monnier@iro.umontreal.ca>
8278 * whitespace.el: Use font-lock-flush. Minimize refontifications.
8279 Side benefit: it works without jit-lock.
8280 (whitespace-point--used): New buffer-local var.
8281 (whitespace-color-on): Initialize it and flush it. Use font-lock-flush.
8282 (whitespace-color-off): Use font-lock-flush.
8283 (whitespace-point--used, whitespace-point--flush-used): New functions.
8284 (whitespace-trailing-regexp, whitespace-empty-at-bob-regexp)
8285 (whitespace-empty-at-eob-regexp): Use them.
8286 (whitespace-post-command-hook): Rewrite.
8288 * font-lock.el (font-lock-flush, font-lock-ensure): New functions.
8289 (font-lock-fontify-buffer): Mark interactive-only.
8290 (font-lock-multiline, font-lock-fontified, font-lock-set-defaults):
8292 (font-lock-specified-p): Remove redundant boundp check.
8293 (font-lock-flush-function, font-lock-ensure-function): New vars.
8294 (font-lock-turn-on-thing-lock): Set them.
8295 (font-lock-default-fontify-buffer): Obey font-lock-dont-widen.
8296 (font-lock-after-change-function): Make `old-len' optional.
8297 (font-lock-set-defaults): Remove redundant `set' of font-lock-defaults.
8298 Call font-lock-flush, just in case.
8299 * progmodes/verilog-mode.el (verilog-preprocess): Disable workaround in
8301 * progmodes/vera-mode.el (vera-fontify-buffer): Declare obsolete.
8302 (vera-mode-map, vera-mode-menu): Remove bindings to it.
8303 * progmodes/idlw-help.el (idlwave-help-fontify): Use font-lock-ensure
8304 and with-syntax-table.
8305 * textmodes/conf-mode.el (conf-quote-normal):
8306 * progmodes/sh-script.el (sh-set-shell):
8307 * progmodes/prog-mode.el (prettify-symbols-mode):
8308 * progmodes/f90.el (f90-font-lock-n):
8309 * progmodes/cwarn.el (cwarn-mode):
8310 * nxml/nxml-mode.el (nxml-toggle-char-ref-extra-display):
8311 * progmodes/compile.el (compilation-setup, compilation--unsetup):
8312 * hi-lock.el (hi-lock-mode, hi-lock-unface-buffer)
8313 (hi-lock-set-pattern, hi-lock-set-file-patterns): Use font-lock-flush.
8314 * mail/rmail.el (rmail-variables): Set font-lock-dont-widen instead of
8315 font-lock-fontify-buffer-function and
8316 font-lock-unfontify-buffer-function.
8317 (rmail-unfontify-buffer-function, rmail-fontify-message):
8318 Use with-silent-modifications.
8319 * htmlfontify.el (hfy-force-fontification): Use jit-lock-fontify-now
8320 and font-lock-ensure.
8321 * bs.el (bs-show-in-buffer): Use font-lock-ensure.
8323 2014-05-28 Thien-Thi Nguyen <ttn@gnu.org>
8325 * emacs-lisp/package.el (package-generate-autoloads):
8326 Inhibit backup files.
8328 2014-05-28 Stefan Monnier <monnier@iro.umontreal.ca>
8330 * progmodes/hideshow.el (hs-hide-all): Call syntax-propertize
8333 2014-05-21 Michal Nazarewicz <mina86@mina86.com>
8335 * textmodes/tildify.el (tildify-buffer, tildify-region):
8336 Add dont-ask option.
8338 2014-05-28 Stefan Monnier <monnier@iro.umontreal.ca>
8340 * subr.el (zerop): Move from C. Add compiler-macro (bug#17475).
8341 * emacs-lisp/byte-opt.el (byte-optimize-zerop): Remove.
8343 * subr.el (internal--funcall-interactively): New.
8344 (internal--call-interactively): Remove.
8345 (called-interactively-p): Detect funcall-interactively instead of
8347 * simple.el (repeat-complex-command): Use funcall-interactively.
8348 (repeat-complex-command--called-interactively-skip): Remove.
8350 2014-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
8352 * register.el (register-read-with-preview): Don't burp on
8353 frame switches (e.g. due to the frame we just popped).
8355 * mouse.el (mouse-set-region): Handle spurious drag events (bug#17562).
8356 (mouse-drag-track): Annotate `mouse-drag-start' so we know we moved.
8358 2014-05-26 Andreas Schwab <schwab@linux-m68k.org>
8360 * cus-face.el (custom-face-attributes): Add :distant-foreground.
8362 2014-05-26 Martin Rudalics <rudalics@gmx.at>
8364 * window.el (window--dump-frame): Remove interactive specification.
8366 2014-05-26 Glenn Morris <rgm@gnu.org>
8368 * hippie-exp.el (he-line-search-regexp):
8369 Handle comint-prompt-regexp containing subgroups. (Bug#17529)
8371 2014-05-26 Stephen Berman <stephen.berman@gmx.net>
8373 * calendar/todo-mode.el: Remove dependence on auto-mode-alist,
8374 to avoid errors when trying to create or visit a file foo.todo
8375 located outside to todo-directory, and to allow having such files
8376 without them being tied to Todo mode (bug#17482).
8377 (todo-show, todo-move-category, todo-merge-category, todo-find-archive)
8378 (todo-archive-done-item, todo-find-filtered-items-file)
8379 (todo-filter-items, todo-find-item, todo-diary-goto-entry)
8380 (todo-category-completions, todo-read-category): When visiting a
8381 Todo file, make sure we're in the right mode and the buffer local
8383 (todo-make-categories-list, todo-reset-nondiary-marker)
8384 (todo-reset-done-string, todo-reset-comment-string):
8385 After processing all Todo files, kill the buffers of those files that
8386 weren't being visited before the processing.
8387 (todo-display-as-todo-file, todo-add-to-buffer-list)
8388 (todo-visit-files-commands): Comment out.
8389 (todo-modes-set-3, todo-mode): Comment out additions to find-file-hook.
8390 (auto-mode-alist): Remove add-to-list calls making Todo file
8391 extensions unrestrictedly tied to Todo modes.
8393 2014-05-26 Stefan Monnier <monnier@iro.umontreal.ca>
8395 * emacs-lisp/nadvice.el (advice--member-p): Change second arg.
8396 (advice-function-member-p): Tell it to check both names and functions
8398 (advice--add-function): Adjust call accordingly.
8400 2014-05-26 Stephen Berman <stephen.berman@gmx.net>
8402 * calendar/todo-mode.el: Miscellaneous bug fixes.
8403 (todo-delete-file): When deleting an archive but not its todo
8404 file, make sure to update the todo file's category sexp.
8405 (todo-move-category): Keep the moved category's name unless the
8406 file moved to already has a category with that name. If the
8407 numerically last category of the source file was moved, make the
8408 first category current to avoid selecting a nonexisting category.
8409 (todo-merge-category): Fix implementation to make merging to a
8410 category in another file work as documented. Eliminate now
8411 insufficient and unnecessary renaming of archive category, correct
8412 document string accordingly, and clarify it. If the numerically
8413 last category of the source file was merged, make the first
8414 category current to avoid selecting a nonexisting category.
8415 (todo-archive-done-item): When there are marked items and point
8416 happens to be on an unmarked item, ignore the latter. Don't leave
8417 point below last item after archiving marked items.
8418 (todo-unarchive-items): Fix logic to ensure unarchiving an item
8419 from an archive with only one category deletes the archive only
8420 when the category is empty after unarchiving. Make sure the todo
8421 file's category sexp is updated.
8422 (todo-read-file-name): Allow an existing file name even when it is
8423 not required (todo-move-category needs this to work as documented).
8424 (todo-add-file): Call todo-validate-name to reject the name of an
8425 existing todo file (needed due to fix in todo-read-file-name).
8426 (todo-reset-nondiary-marker): Also reset in filtered items files.
8427 (todo-reset-done-string, todo-reset-comment-string): Also reset in
8428 regexp filtered items files.
8429 (todo-reset-highlight-item): Also reset in filtered items files.
8430 Fix incorrect variable reference in document string.
8432 2014-05-26 Glenn Morris <rgm@gnu.org>
8434 * window.el (window--dump-frame): Avoid error in --without-x builds.
8436 2014-05-26 Glenn Morris <rgm@gnu.org>
8438 * nxml/nxml-mode.el (xml-mode): Only define this alias once.
8440 2014-05-26 Eli Zaretskii <eliz@gnu.org>
8442 * frame.el (set-frame-font): Doc fix.
8444 * menu-bar.el (menu-set-font): Doc fix. (Bug#17532)
8446 2014-05-26 Dmitry Gutov <dgutov@yandex.ru>
8448 * emacs-lisp/package.el (package--download-one-archive):
8449 Use `write-region' instead of `save-buffer' to avoid running various
8451 (describe-package-1): Same. Insert newline at the end of the
8452 buffer if appropriate.
8454 2014-05-26 Juri Linkov <juri@jurta.org>
8456 * avoid.el (mouse-avoidance-set-mouse-position): Don't raise frame.
8457 (mouse-avoidance-ignore-p): Remove `switch-frame', add `focus-out'.
8458 Add more modifiers: meta, control, shift, hyper, super, alt.
8461 * avoid.el (mouse-avoidance-banish-position): Fix defcustom :options
8462 to allow changing its value with `set-variable'.
8464 2014-05-26 Stefan Monnier <monnier@iro.umontreal.ca>
8466 * progmodes/scheme.el (scheme-mode-syntax-table): Remove hack for
8468 (scheme-syntax-propertize, scheme-syntax-propertize-sexp-comment):
8470 (scheme-mode-variables): Set syntax-propertize-function instead of
8471 font-lock-syntactic-face-function.
8472 (scheme-font-lock-syntactic-face-function): Delete.
8474 * emacs-lisp/lisp.el (end-of-defun): Ensure we move (bug#17274).
8476 * emacs-lisp/timer.el (timer-event-handler): Don't run if canceled
8479 2014-05-26 Michael Albinus <michael.albinus@gmx.de>
8481 * net/tramp-sh.el (tramp-find-inline-encoding): Do not match "%%t"
8482 for a temporary file name.
8484 2014-05-26 Eli Zaretskii <eliz@gnu.org>
8486 * simple.el (line-move-ignore-invisible): Doc fix. (Bug#17511)
8488 2014-05-26 Michael Albinus <michael.albinus@gmx.de>
8490 * net/dbus.el (dbus-init-bus, dbus-call-method)
8491 (dbus-call-method-asynchronously, dbus-send-signal)
8492 (dbus-method-return-internal, dbus-method-error-internal):
8493 Check, whether Emacs has been compiled with D-Bus support. (Bug#17508)
8495 2014-05-26 Nicolas Richard <theonewiththeevillook@yahoo.fr>
8497 * emacs-lisp/eieio-opt.el (eieio-help-class): Correctly deal with
8498 methods which do not have a doc string. (Bug#17490)
8500 2014-05-25 Tassilo Horn <tsdh@gnu.org>
8502 * textmodes/reftex-ref.el (reftex-format-special): Make it work
8503 also for AMS Math's \eqref macro.
8505 2014-05-25 Thien-Thi Nguyen <ttn@gnu.org>
8507 Arrange to never byte-compile the generated -pkg.el file.
8509 * emacs-lisp/package.el (package-generate-description-file):
8510 Output first-line comment to set buffer-local var `no-byte-compile'.
8511 Suggested by Dmitry Gutov:
8512 <http://lists.gnu.org/archive/html/emacs-devel/2014-05/msg00401.html>.
8514 2014-05-25 Thien-Thi Nguyen <ttn@gnu.org>
8516 Fix bug: Properly quote args to generated -pkg.el `define-package'.
8518 * emacs-lisp/package.el (package-generate-description-file):
8519 Inline `package--alist-to-plist'; rewrite to selectively
8520 quote alist values that are not self-quoting.
8521 (package--alist-to-plist): Delete func.
8523 2014-05-25 Andreas Schwab <schwab@linux-m68k.org>
8525 * term/xterm.el (xterm-function-map): Add mapping for shifted
8528 2014-05-24 Daniel Colascione <dancol@dancol.org>
8530 * progmodes/subword.el (subword-find-word-boundary): Move point to
8531 correct spot before search. (Bug#17580)
8533 * emacs-lisp/nadvice.el (defun): Write in eval-and-compile to avoid
8536 2014-05-24 Leo Liu <sdl.web@gmail.com>
8538 * calc/calc.el (math-bignum): Handle most-negative-fixnum. (Bug#17556)
8540 2014-05-23 Stefan Monnier <monnier@iro.umontreal.ca>
8542 * minibuffer.el (completion--sreverse): Remove.
8543 (completion--common-suffix): Use `reverse' instead.
8544 * emacs-lisp/regexp-opt.el (regexp-opt-group): Use `reverse' on strings.
8546 2014-05-22 Glenn Morris <rgm@gnu.org>
8548 * shell.el (shell-mode) <shell-dirstack-query>: Bypass bash aliases.
8550 2014-05-21 Daniel Colascione <dancol@dancol.org>
8552 * files.el (interpreter-mode-alist): Add mksh.
8554 * progmodes/sh-script.el (sh-ancestor-alist): Add mksh, a pdksh
8556 (sh-alias-alist): Alias /system/bin/sh (Android's system shell) to
8557 mksh. Improve custom spec; allow regular expressions.
8558 (sh-shell): Delegate name splitting to `sh-canonicalize-shell'.
8559 (sh-after-hack-local-variables): New function.
8560 (sh-mode): Use it; respect file-local `sh-shell' variable. (Bug#17333)
8561 (sh-set-shell): Use `sh-canonicalize-shell' instead of open-coding
8563 (sh-canonicalize-shell): Rewrite to support regexes.
8565 2014-05-21 Leo Liu <sdl.web@gmail.com>
8567 * emacs-lisp/cl-lib.el (cl-endp): Fix last change.
8569 2014-05-19 Leo Liu <sdl.web@gmail.com>
8571 * emacs-lisp/cl-lib.el (cl-endp): Conform to CL's semantics.
8573 2014-05-18 Glenn Morris <rgm@gnu.org>
8576 * play/gametree.el: `track-mouse' is always defined since 2012-11-24.
8578 2014-05-14 Sam Steingold <sds@gnu.org>
8580 * progmodes/python.el (python-shell-get-or-create-process):
8581 Do not bind `current-prefix-arg' so that C-c C-z does not talk
8582 back unless requested.
8584 2014-05-14 Glenn Morris <rgm@gnu.org>
8586 * subr.el (with-file-modes): New macro.
8587 * printing.el (pr-save-file-modes): Make obsolete.
8588 * eshell/esh-util.el (eshell-with-file-modes): Make obsolete.
8589 * emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-2):
8590 Add with-file-modes.
8591 * doc-view.el (doc-view-make-safe-dir):
8592 * epg.el (epg--start):
8593 * files.el (locate-user-emacs-file, make-temp-file)
8594 (backup-buffer-copy, move-file-to-trash):
8595 * printing.el (pr-despool-print, pr-call-process, pr-text2ps):
8596 * eshell/esh-util.el (eshell-with-private-file-modes)
8597 (eshell-make-private-directory):
8598 * net/browse-url.el (browse-url-mosaic):
8599 * obsolete/mailpost.el (post-mail-send-it):
8600 * obsolete/pgg-pgp.el (pgg-pgp-verify-region):
8601 * obsolete/pgg-pgp5.el (pgg-pgp5-verify-region):
8602 Use with-file-modes.
8604 * vc/emerge.el (emerge-make-temp-file): Simplify.
8606 2014-05-14 Stephen Berman <stephen.berman@gmx.net>
8607 Stefan Monnier <monnier@iro.umontreal.ca>
8609 * minibuffer.el (completion-pcm--merge-try): Merge trailing / with
8612 2014-05-14 Glenn Morris <rgm@gnu.org>
8614 * vc/emerge.el (emerge-temp-file-prefix):
8615 Make pointless option obsolete.
8616 (emerge-temp-file-mode): Make non-functional option obsolete.
8618 2014-05-14 Michael Albinus <michael.albinus@gmx.de>
8620 * net/browse-url.el (browse-url):
8621 Use `unhandled-file-name-directory' when setting `default-directory',
8622 in order to circumvent stalled remote connections. (Bug#17425)
8624 2014-05-14 Glenn Morris <rgm@gnu.org>
8626 * printing.el (subst-char-in-string, make-temp-file, pr-get-symbol):
8627 Optimize on Emacs, which has the relevant functions for ages.
8629 2014-05-13 Stefan Monnier <monnier@iro.umontreal.ca>
8631 * simple.el (undo-make-selective-list): Obey undo-no-redo.
8633 2014-05-12 Sam Steingold <sds@gnu.org>
8635 * calendar/time-date.el (seconds-to-string): New function to
8636 pretty print time delay in seconds.
8638 2014-05-12 Stefan Monnier <monnier@iro.umontreal.ca>
8640 * mpc.el (mpc-format): Trim Date to the year.
8641 (mpc-songs-hashcons): Shorten the Date field.
8643 * emacs-lisp/nadvice.el (advice--interactive-form): Don't get fooled
8644 into autoloading just because of a silly indirection.
8646 2014-05-12 Santiago Payà i Miralta <santiagopim@gmail.com>
8648 * vc/vc-hg.el (vc-hg-unregister): New function. (Bug#17454)
8650 2014-05-12 Glenn Morris <rgm@gnu.org>
8652 * emacs-lisp/find-gc.el: Move to ../admin.
8654 * printing.el (pr-version):
8655 * ps-print.el (ps-print-version): Also mention bug-gnu-emacs.
8657 * net/browse-url.el (browse-url-mosaic):
8658 Create /tmp/Mosaic.PID as a private file.
8660 2014-05-12 Stefan Monnier <monnier@iro.umontreal.ca>
8662 * emacs-lisp/nadvice.el: Support adding a given function multiple times.
8663 (advice--member-p): If name is given, only compare the name.
8664 (advice--remove-function): Don't stop at the first match.
8665 (advice--normalize-place): New function.
8666 (add-function, remove-function): Use it.
8667 (advice--add-function): Pass the name, if any, to
8668 advice--remove-function.
8670 2014-05-12 Philipp Rumpf <prumpf@gmail.com> (tiny change)
8672 * electric.el (electric-indent-post-self-insert-function): Don't use
8673 `pos' after modifying the buffer (bug#17449).
8675 2014-05-12 Stephen Berman <stephen.berman@gmx.net>
8677 * calendar/todo-mode.el (todo-insert-item-from-calendar):
8678 Correct argument list to conform to todo-insert-item--basic.
8680 2014-05-12 Glenn Morris <rgm@gnu.org>
8682 * files.el (cd-absolute): Test if directory is accessible
8683 rather than executable. (Bug#17330)
8685 * progmodes/compile.el (recompile):
8686 Handle C-u M-x recompile from a non-compilation buffer. (Bug#17444)
8688 * net/browse-url.el (browse-url-mosaic):
8689 Be careful when writing /tmp/Mosaic.PID. (Bug#17428)
8690 This is CVE-2014-3423.
8692 2014-05-11 Stefan Monnier <monnier@iro.umontreal.ca>
8694 * mouse.el: Use the normal toplevel loop while dragging.
8695 (mouse-set-point): Handle multi-clicks.
8696 (mouse-set-region): Handle multi-clicks for drags.
8697 (mouse-drag-region): Update call accordingly.
8698 (mouse-drag-track): Remove `do-mouse-drag-region-post-process' hack.
8699 Use the normal event loop instead of a local while/read-event loop.
8700 (global-map): Remove redundant bindings for double/triple-mouse-1.
8701 * xt-mouse.el (xterm-mouse-translate-1): Only process one event at a time.
8702 Generate synthetic down events when the protocol only sends up events.
8703 (xterm-mouse-last): Remove.
8704 (xterm-mouse--read-event-sequence-1000): Use xterm-mouse-last-down
8705 terminal parameter instead.
8706 (xterm-mouse--set-click-count): New function.
8707 (xterm-mouse-event): Detect/generate double/triple clicks.
8708 * reveal.el (reveal-close-old-overlays): Don't close while dragging.
8710 * info.el (Info-quoted): New face.
8711 (Info-mode-font-lock-keywords): New var.
8712 (Info-mode): Use it.
8714 * emacs-lisp/lisp-mode.el (preceding-sexp): Exclude leading "," which
8715 are a hindrance for C-x C-e.
8717 2014-05-11 Leo Liu <sdl.web@gmail.com>
8719 * net/rcirc.el (rcirc-sentinel): Fix last change.
8721 2014-05-08 Sam Steingold <sds@gnu.org>
8723 * net/rcirc.el (rcirc-reconnect-delay): New user option.
8724 (rcirc-sentinel): Auto-reconnect to the server if
8725 `rcirc-reconnect-delay' is non-0 (but not more often than its
8726 value in case the host is off-line).
8728 2014-05-09 Eli Zaretskii <eliz@gnu.org>
8730 * progmodes/grep.el (lgrep): Fix a typo in last commit.
8732 2014-05-09 Glenn Morris <rgm@gnu.org>
8734 * files.el (file-expand-wildcards):
8735 * man.el (Man-support-local-filenames):
8736 * printing.el (pr-i-directory, pr-interface-directory):
8737 * progmodes/grep.el (lgrep, rgrep):
8738 * textmodes/ispell.el (ispell-call-process)
8739 (ispell-call-process-region, ispell-start-process)
8740 (ispell-init-process): Use file-accessible-directory-p.
8742 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
8744 * xt-mouse.el: Drop spurious/oddly shaped events (bug#17378).
8745 (xterm-mouse--read-event-sequence-1000): Return nil if something
8747 (xterm-mouse-event): Propagate it.
8748 (xterm-mouse-translate-1): Handle it.
8750 2014-05-08 Stephen Berman <stephen.berman@gmx.net>
8752 * calendar/todo-mode.el (todo-insert-item--apply-args): When all
8753 four slots of the parameter list are filled, make sure to pass it
8754 to the argument list of todo-insert-item--basic.
8756 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
8758 * emacs-lisp/package.el (package-compute-transaction): Topological sort.
8759 Add optional `seen' argument to detect and break infinite loops.
8761 2014-05-08 Eli Zaretskii <eliz@gnu.org>
8763 * emacs-lisp/find-gc.el (find-gc-unsafe, find-unsafe-funcs)
8764 (trace-unsafe, trace-use-tree): Make parentheses style be
8765 according to Emacs style.
8767 2014-05-08 Michael Albinus <michael.albinus@gmx.de>
8769 * net/tramp-sh.el (tramp-remote-process-environment):
8770 Remove HISTFILE and HISTSIZE; it's too late to set them here.
8772 (tramp-open-shell): Do not let-bind `tramp-end-of-output'.
8773 Add "HISTFILE=/dev/null" to the shell's env arguments. Do not send
8774 extra "PSx=..." commands.
8775 (tramp-maybe-open-connection): Setenv HISTFILE to /dev/null.
8778 (tramp-uudecode): Replace the hard-coded temporary file name by a
8780 (tramp-remote-coding-commands): Enhance docstring.
8781 (tramp-find-inline-encoding): Replace "%t" by a temporary file
8783 This is CVE-2014-3424.
8785 2014-05-08 Glenn Morris <rgm@gnu.org>
8787 * emacs-lisp/find-gc.el (find-gc-source-directory): Give it a value.
8788 (find-gc-source-files): Update some names.
8789 (trace-call-tree): Simplify and update.
8790 Avoid predictable temp-file names. (http://bugs.debian.org/747100)
8791 This is CVE-2014-3422.
8793 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
8795 * minibuffer.el (completion--try-word-completion): Revert fix for
8796 Bug#15980 (bug#17375).
8798 * xt-mouse.el (xterm-mouse--read-event-sequence-1000): (bug#17378)
8799 Always store button numbers in the same way in xterm-mouse-last;
8800 Don't burp is xterm-mouse-last is not set as expected.
8801 Never return negative indices.
8803 2014-05-08 Dmitry Gutov <dgutov@yandex.ru>
8805 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
8806 Backtrack one char if the global/char-literal var matcher hits
8807 inside a string. The next char could be the beginning of an
8808 expression expansion.
8810 2014-05-08 Glenn Morris <rgm@gnu.org>
8812 * help-fns.el (describe-function-1): Test for an autoload before a
8813 macro, since `macrop' works on autoloads. (Bug#17410)
8815 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
8817 * electric.el (electric-indent-functions-without-reindent): Add yaml.
8819 * minibuffer.el (completion-table-with-quoting) <completion--unquote>:
8820 Make sure the new point we return is within the new string (bug#17239).
8822 2014-05-05 Daniel Colascione <dancol@dancol.org>
8824 * progmodes/compile.el (compilation-error-regexp-alist-alist):
8825 Port `gnu' pattern to rx.
8827 2014-05-05 Jarek Czekalski <jarekczek@poczta.onet.pl>
8829 Remove unneeded prompt when closing a buffer with active
8830 emacsclient ("Buffer ... still has clients"), #16548.
8831 * server.el (server-start): Remove the only call to:
8832 (server-kill-buffer-query-function): Remove.
8834 2014-05-04 Leo Liu <sdl.web@gmail.com>
8836 * calendar/diary-lib.el (calendar-chinese-month-name-array):
8837 Defvar to pacify compiler.
8839 2014-05-04 Eli Zaretskii <eliz@gnu.org>
8841 * mail/rmailsum.el (rmail-new-summary-1): Fix a typo in a comment.
8843 2014-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
8845 * vc/ediff-diff.el (ediff-set-fine-diff-properties-in-one-buffer):
8846 Use nil rather than `default' for the "default" appearance (bug#17388).
8847 * vc/ediff-util.el (ediff-inferior-compare-regions)
8848 (ediff-toggle-autorefine, ediff-unselect-difference): Don't use
8849 a misleading `default' value when it's really a boolean.
8850 * vc/ediff-init.el (ediff-set-overlay-face): Don't set help-echo if the
8851 overlay is not visible.
8853 2014-05-04 Stephen Berman <stephen.berman@gmx.net>
8855 * calendar/todo-mode.el (todo-edit-file): Use display-warning.
8856 (todo-menu): Uncomment and update.
8858 2014-05-04 Stephen Berman <stephen.berman@gmx.net>
8860 * calendar/todo-mode.el: Reimplement item editing to have the same
8861 basic user interface as item insertion, and make small UI and
8862 larger internal improvements to the latter.
8863 (todo-insert-item): Add reference to the Todo mode user manual to
8864 the documentation string.
8865 (todo-insert-item--basic): Rename from todo-basic-insert-item and
8866 adjust all callers. Change signature to combine diary and
8867 nonmarking arguments. Incorporate functionality of deleted item
8868 copying command and add error checking. Remove detailed
8869 descriptions of the arguments from the documentation string, since
8870 this is treated in the Todo mode user manual.
8871 (todo-copy-item, todo-edit-multiline-item)
8872 (todo-edit-done-item-comment, todo-edit-item-header)
8873 (todo-edit-item-time, todo-edit-item-date-from-calendar)
8874 (todo-edit-item-date-to-today, todo-edit-item-date-day-name)
8875 (todo-edit-item-date-year, todo-edit-item-date-month)
8876 (todo-edit-item-date-day, todo-edit-item-diary-nonmarking):
8878 (todo-edit-item): Reimplement as wrapper command for
8879 todo-edit-item--next-key and make it distinguish done and not done
8881 (todo-edit-item--text): New function, replacing old command
8882 todo-edit-item and incorporating deleted commands
8883 todo-edit-multiline-item and todo-edit-done-item-comment.
8884 (todo-edit-item--header): Rename from todo-basic-edit-item-header.
8885 Use only numeric value of prefix argument. Remove detailed
8886 descriptions of the arguments from the documentation string, since
8887 this is treated in the Todo mode user manual.
8888 (todo-edit-item--diary-inclusion): New function, replacing old
8889 command todo-edit-item-diary-inclusion and incorporating and fixing
8890 functionality of deleted command todo-edit-item-diary-nonmarking,
8891 making sure to remove todo-nondiary-marker when adding
8892 diary-nonmarking-symbol.
8893 (todo-edit-category-diary-inclusion): Make sure to delete
8894 diary-nonmarking-symbol when adding todo-nondiary-marker.
8895 (todo-edit-category-diary-nonmarking): Fix indentation.
8896 (todo-insert-item--parameters): Group diary and nonmarking
8897 parameters together.
8898 (todo-insert-item--apply-args): Adjust to signature of
8899 todo-insert-item--basic and incorporate copy parameter.
8900 Make small code improvements.
8901 (todo-insert-item--next-param): Improve prompt and adjust it to
8902 new parameter grouping. Remove obsolete code.
8903 (todo-edit-item--param-key-alist)
8904 (todo-edit-item--date-param-key-alist)
8905 (todo-edit-done-item--param-key-alist): New defconsts.
8906 (todo-edit-item--prompt): New variable.
8907 (todo-edit-item--next-key): New function.
8908 (todo-key-bindings-t): Bind "e" to todo-edit-item.
8909 Remove bindings of deleted commands.
8911 2014-05-04 Leo Liu <sdl.web@gmail.com>
8913 * emacs-lisp/cl-macs.el (cl-deftype): Fix indentation.
8915 2014-05-04 Glenn Morris <rgm@gnu.org>
8917 * allout-widgets.el (allout-widgets-tally)
8918 (allout-decorate-item-guides):
8919 * menu-bar.el (menu-bar-positive-p):
8920 * minibuffer.el (completion-pcm-complete-word-inserts-delimiters):
8921 * progmodes/gdb-mi.el (gdbmi-same-start, gdbmi-is-number):
8922 * progmodes/js.el (js--inside-param-list-p)
8923 (js--inside-dojo-class-list-p, js--forward-destructuring-spec):
8924 * progmodes/prolog.el (region-exists-p):
8925 * progmodes/verilog-mode.el (verilog-scan-cache-ok-p):
8926 * textmodes/reftex-parse.el (reftex-using-biblatex-p):
8927 Doc fixes (replace `iff').
8929 2014-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
8931 * mpc.el (mpc-volume-mouse-set): Don't burp at the boundaries.
8933 2014-05-04 Leo Liu <sdl.web@gmail.com>
8935 Support Chinese diary entries in calendar and diary. (Bug#17393)
8936 * calendar/cal-china.el (calendar-chinese-month-name-array): New var.
8937 (calendar-chinese-from-absolute-for-diary)
8938 (calendar-chinese-to-absolute-for-diary)
8939 (calendar-chinese-mark-date-pattern, diary-chinese-mark-entries)
8940 (diary-chinese-list-entries): New functions to list and mark
8941 Chinese diary entries in the calendar window.
8942 (diary-chinese-anniversary)
8943 (diary-chinese-insert-anniversary-entry)
8944 (diary-chinese-insert-entry, diary-chinese-insert-monthly-entry)
8945 (diary-chinese-insert-yearly-entry): New commands to insert
8946 Chinese diary entries.
8948 * calendar/diary-lib.el (diary-font-lock-keywords):
8949 Support font-locking Chinese dates.
8951 * calendar/cal-menu.el (cal-menu-diary-menu): Add entries for
8952 inserting Chinese diary entries.
8954 * calendar/calendar.el (diary-chinese-entry-symbol):
8955 New customizable variable.
8956 (calendar-mode-map): Add bindings for inserting Chinese diary
8959 2014-05-03 Juri Linkov <juri@jurta.org>
8961 * dired.el (dired-check-switches, dired-switches-recursive-p):
8962 New functions. (Bug#17218)
8963 (dired-switches-escape-p, dired-move-to-end-of-filename):
8964 Use `dired-check-switches'.
8965 (dired-insert-old-subdirs, dired-build-subdir-alist)
8966 (dired-sort-R-check): Use `dired-switches-recursive-p'.
8968 2014-05-01 Barry O'Reilly <gundaetiapo@gmail.com>
8970 * simple.el (undo-make-selective-list): New algorithm fixes
8971 incorrectness of position adjustments when undoing in region.
8973 (undo-elt-crosses-region): Make obsolete.
8974 (undo-adjust-elt, undo-adjust-beg-end, undo-adjust-pos):
8975 New functions to adjust positions using undo-deltas.
8977 2014-05-01 Stefan Monnier <monnier@iro.umontreal.ca>
8979 * emacs-lisp/lisp-mode.el (lisp--match-hidden-arg): Only highlight past
8980 the last consecutive closing paren (bug#17345).
8982 2014-04-30 Reuben Thomas <rrt@sc3d.org>
8984 * dired.el (dired-mode): make terminology for eXpunge command
8985 consistent. (Bug#17276)
8987 2014-04-30 Eli Zaretskii <eliz@gnu.org>
8989 * dired.el (dired-initial-position-hook, dired-initial-position):
8992 2014-04-30 Glenn Morris <rgm@gnu.org>
8994 * mail/rmail.el (rmail-quit): Handle killed summaries. (Bug#17283)
8996 2014-04-30 Matthias Dahl <matthias.dahl@binary-island.eu>
8998 * faces.el (face-spec-recalc): Apply X resources only after the
8999 defface spec has been applied. Thus, X resources are no longer
9000 overriden by the defface spec which also fixes issues on win32 where
9001 the toolbar coloring was wrong because it is set through X resources
9002 and was (wrongfully) overriden. (Bug#16694)
9004 2014-04-30 Stefan Monnier <monnier@iro.umontreal.ca>
9006 * textmodes/rst.el (electric-pair-pairs): Declare.
9007 (rst-mode): Set it (bug#17131).
9009 2014-04-30 Juri Linkov <juri@jurta.org>
9011 * desktop.el (desktop-value-to-string): Let-bind `print-length'
9012 and `print-level' to nil. (Bug#17351)
9014 2014-04-30 Nicolas Richard <theonewiththeevillook@yahoo.fr>
9016 * battery.el (battery-update): Handle the case where battery
9017 status is "N/A" (bug#17319).
9019 2014-04-28 Stefan Monnier <monnier@iro.umontreal.ca>
9021 * progmodes/ps-mode.el: Use SMIE. Move string and comment recognition
9022 to syntax-propertize.
9023 (ps-mode-auto-indent): Mark as obsolete.
9024 (ps-mode-font-lock-keywords-1): Remove string-or-comment handling.
9025 (ps-mode-font-lock-keywords-3): Use symbol regexp operators instead of
9026 word regexp operators.
9027 (ps-mode-map): Move initialization into declaration. Remove binding
9028 for TAB, RET, >, ], and }.
9029 (ps-mode-syntax-table): Move initialization into declaration.
9030 Don't give word syntax to non-word chars.
9031 (ps-run-mode-map): Move initialization into declaration.
9032 (ps-mode-menu-main): Remove auto-indent entry.
9033 (ps-mode-smie-rules): New function.
9034 (ps-mode): Setup smie, syntax-propertize, and electric-indent-mode.
9035 (ps-mode-looking-at-nested, ps-mode-match-string-or-comment): Remove.
9036 (ps-mode--string-syntax-table): New const.
9037 (ps-mode--syntax-propertize-special, ps-mode-syntax-propertize):
9039 (ps-mode-newline, ps-mode-tabkey, ps-mode-r-brace, ps-mode-r-angle)
9040 (ps-mode-r-gt, ps-mode-r-balance): Remove functions.
9042 2014-04-27 Daniel Colascione <dancol@dancol.org>
9044 * term/xterm.el (xterm-paste): Use large finite timeout when
9045 reading event to avoid putting keys in this-command-keys.
9047 2014-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
9049 * progmodes/perl-mode.el (perl--syntax-exp-intro-regexp): New var.
9050 (perl-syntax-propertize-function): Use it. Extend handling of
9051 here-docs to the unquoted case.
9053 2014-04-25 Eli Zaretskii <eliz@gnu.org>
9055 * tooltip.el (tooltip-show-help-non-mode, tooltip-show-help):
9056 Use equal-including-properties to compare help-echo strings (bug#17331).
9058 2014-04-25 Leo Liu <sdl.web@gmail.com>
9060 * emacs-lisp/lisp-mode.el (emacs-lisp-mode-syntax-table):
9061 Fix syntax for @. (Bug#17325)
9063 2014-04-25 Daniel Colascione <dancol@dancol.org>
9065 * emacs-lisp/cl.el (gv): Require gv early to break eager
9066 macro-expansion cycles.
9068 2014-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
9070 * simple.el (region-active-p): Check there's a mark (bug#17324).
9072 * simple.el (completion-list-mode-map): Use choose-completion for the
9073 mouse binding as well (bug#17302).
9074 (completion-list-mode, completion-setup-function): Adjust docstring and
9075 echo area message accordingly.
9076 * progmodes/idlwave.el (idlwave-choose-completion): Adjust to new
9077 calling convention of choose-completion.
9078 * comint.el (comint-dynamic-list-completions):
9079 * term.el (term-dynamic-list-completions): Accept choose-completion.
9081 * progmodes/perl-mode.el (perl-syntax-propertize-function): Slash after
9082 &, |, +, - and * can't be a division (bug#17317).
9084 * term/xterm.el (xterm--version-handler): Don't use modern xterm
9085 features on gnome-terminal (bug#16988).
9087 2014-04-25 Thien-Thi Nguyen <ttn@gnu.org>
9089 Improve Scheme font-locking for (define ((foo ...) ...) ...).
9091 * progmodes/scheme.el (scheme-font-lock-keywords-1): To find
9092 the declared object, ignore zero or more parens, not zero or one.
9094 2014-04-24 Leo Liu <sdl.web@gmail.com>
9096 * progmodes/xscheme.el (xscheme-expressions-ring)
9097 (xscheme-expressions-ring-yank-pointer, xscheme-running-p)
9098 (xscheme-control-g-disabled-p, xscheme-process-filter-state)
9099 (xscheme-allow-output-p, xscheme-prompt)
9100 (xscheme-string-accumulator, xscheme-mode-string): Use defvar-local.
9102 * progmodes/scheme.el (would-be-symbol, next-sexp-as-string):
9103 Comment out unused functions.
9105 2014-04-24 Stefan Monnier <monnier@iro.umontreal.ca>
9107 * info.el: Use lexical-binding and cl-lib.
9108 Use defvar-local and setq-local instead of make-local-variable.
9109 (Info-apropos-matches): Avoid add-to-list.
9110 (Info-edit-mode-map): Fix obsolescence call to Info-edit-map.
9112 2014-04-24 Daniel Colascione <dancol@dancol.org>
9114 * progmodes/sh-script.el (sh-builtins): Add coproc to list of bash builtins.
9116 2014-04-23 Stefan Monnier <monnier@iro.umontreal.ca>
9118 * emacs-lisp/cl-macs.el (cl--loop-let): Fix last merge.
9120 2014-04-22 Michael Heerdegen <michael_heerdegen@web.de>
9122 * dired.el (dired-insert-set-properties): Do not consider
9123 subdirectory headings and empty lines to be information that
9124 `dired-hide-details-mode' should hide. (Bug#17228)
9126 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
9128 * net/tramp-sh.el (tramp-sh-handle-file-name-all-completions):
9129 Remove test messages.
9130 (tramp-do-copy-or-rename-file-out-of-band): Do not quote `source'
9133 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
9135 * dframe.el (dframe-get-focus): Remove `hook' argument (bug#17311).
9136 * speedbar.el (speedbar-get-focus): Run the "hook" afterwards instead.
9138 * emacs-lisp/cl-macs.el (cl--loop-let): Avoid `nil' as var name.
9140 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
9142 * net/tramp-sh.el (tramp-sh-handle-file-name-all-completions):
9143 Set "IFS=" when using read builtin, in order to preserve spaces in
9144 the file name. Add test messages for hunting a bug on hydra.
9145 (tramp-get-ls-command): Undo using "-b" argument. It doesn't help.
9147 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
9149 * progmodes/prog-mode.el (prettify-symbols--compose-symbol):
9150 Don't prettify a word within a symbol.
9152 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
9154 * net/tramp-sh.el (tramp-get-ls-command): Use "-b" argument if
9157 2014-04-22 Daniel Colascione <dancol@dancol.org>
9159 * emacs-lisp/byte-run.el (function-put): Unbreak build: don't
9160 use defun to define `function-put'.
9162 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
9164 * emacs-lisp/lisp-mode.el (lisp--match-hidden-arg): New function.
9165 (lisp-el-font-lock-keywords-2, lisp-cl-font-lock-keywords-2): Use it.
9166 (lisp-mode-variables): Set font-lock-extra-managed-props.
9168 * emacs-lisp/byte-run.el (function-put): New function.
9169 (defun-declarations-alist): Use it. Add `pure' and `side-effect-free'.
9170 * emacs-lisp/cl-macs.el (cl-defstruct, cl-struct-sequence-type)
9171 (cl-struct-slot-info, cl-struct-slot-offset, cl-struct-slot-value):
9174 2014-04-22 Daniel Colascione <dancol@dancol.org>
9176 * emacs-lisp/macroexp.el (internal-macroexpand-for-load):
9177 Add `full-p' parameter; when nil, call `macroexpand' instead of
9180 * emacs-lisp/byte-run.el (eval-when-compile, eval-and-compile):
9183 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
9184 Use lambda function values, not quoted lambdas.
9185 (byte-compile-recurse-toplevel): Remove extraneous &optional.
9187 * emacs-lisp/cl-macs.el
9188 (cl-struct-sequence-type, cl-struct-slot-info): Declare pure.
9189 (cl-struct-slot-value): Conditionally use aref or nth so that the
9190 compiler produces optimal code.
9192 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
9194 * emacs-lisp/cl-macs.el (cl-struct-slot-offset): Mark as pure.
9195 (inline): Don't inline cl--set-elt.
9196 (cl-struct-slot-value): Remove explicit gv-setter and compiler-macro.
9197 Define as inlinable instead.
9198 (cl-struct-set-slot-value): Remove.
9200 * emacs-lisp/cl-lib.el (cl--set-elt): Remove.
9201 * emacs-lisp/cl-seq.el (cl-replace, cl-substitute, cl-nsubstitute):
9204 2014-04-21 Daniel Colascione <dancol@dancol.org>
9206 * emacs-lisp/cl-macs.el (cl--const-expr-val): We didn't need the
9207 last two parameters after all.
9208 (cl--expr-contains,cl--compiler-macro-typep,cl--compiler-macro-member)
9209 (cl--compiler-macro-assoc,cl-struct-slot-value)
9210 (cl-struct-set-slot-value): Stop using them.
9212 (2014-04-21 Stefan Monnier <monnier@iro.umontreal.ca>
9214 * image-mode.el (image-mode-window-put): Don't assume there's a `t'
9215 entry in image-mode-winprops-alist.
9217 2014-04-21 Daniel Colascione <dancol@dancol.org>
9219 * emacs-lisp/bytecomp.el (byte-compile-recurse-toplevel): New function.
9220 (byte-compile-recurse-toplevel, byte-compile-initial-macro-environment)
9221 (byte-compile-toplevel-file-form): Use it.
9223 * emacs-lisp/cl-macs.el:
9224 (cl--loop-let): Properly destructure `while' clauses.
9226 2014-04-20 Daniel Colascione <dancol@dancol.org>
9228 * vc/vc.el (vc-root-dir): New public autoloaded function for
9229 generically finding the current VC root.
9230 * vc/vc-hooks.el (vc-not-supported): New error.
9231 (vc-call-backend): Signal `vc-not-supported' instead of generic error.
9233 2014-04-20 Daniel Colascione <dancol@dancol.org>
9235 * emacs-lisp/cl-macs.el (cl-the): Make `cl-the' assert its type
9237 (cl--const-expr-val): cl--const-expr-val should macroexpand its
9238 argument in case we're inside a symbol-macrolet.
9239 (cl--do-arglist, cl--compiler-macro-typep)
9240 (cl--compiler-macro-member, cl--compiler-macro-assoc): Pass macro
9241 environment to `cl--const-expr-val'.
9242 (cl-struct-sequence-type,cl-struct-slot-info)
9243 (cl-struct-slot-offset, cl-struct-slot-value)
9244 (cl-struct-set-slot-value): New functions.
9246 2014-04-19 Stefan Monnier <monnier@iro.umontreal.ca>
9248 * progmodes/sh-script.el (sh-smie--sh-keyword-p): Handle variable
9249 assignments such as "case=hello" (bug#17297).
9251 2014-04-18 Michael Albinus <michael.albinus@gmx.de>
9253 * net/tramp.el (tramp-run-real-handler, tramp-file-name-handler):
9255 (tramp-file-name-handler, tramp-completion-file-name-handler):
9256 Revert patch from 2014-04-10, it isn't necessary anymore.
9257 (tramp-autoload-file-name-handler)
9258 (tramp-register-autoload-file-name-handlers): New defuns.
9259 (top): Autoload call of `tramp-register-autoload-file-name-handlers'.
9260 (tramp-register-file-name-handlers): Remove also
9261 `tramp-autoload-file-name-handler' from `file-name-handler-list'.
9262 Do not autoload its invocation, but eval it after loading of 'tramp.
9264 * net/tramp-adb.el (tramp-unload-hook): Unload `tramp-adb'.
9266 * net/tramp-compat.el (tramp-unload-hook): Unload `tramp-loaddefs'.
9268 2014-04-17 Daniel Colascione <dancol@dancol.org>
9270 Add support for bracketed paste mode; add infrastructure for
9271 managing terminal mode enabling and disabling automatically.
9274 (xterm-mouse-mode): Simplify.
9275 (xterm-mouse-tracking-enable-sequence)
9276 (xterm-mouse-tracking-disable-sequence): New constants.
9277 (turn-on-xterm-mouse-tracking-on-terminal)
9278 (turn-off-xterm-mouse-tracking-on-terminal):
9279 Use tty-mode-set-strings and tty-mode-reset-strings terminal
9280 parameters instead of random hooks.
9281 (turn-on-xterm-mouse-tracking)
9282 (turn-off-xterm-mouse-tracking): Delete.
9284 * term/xterm.el (xterm-extra-capabilities): Fix bitrotted comment.
9285 (xterm-paste-ending-sequence): New constant.
9286 (xterm-paste): New command used for bracketed paste support.
9288 (xterm-modify-other-keys-terminal-list): Delete obsolete variable.
9289 (terminal-init-xterm-bracketed-paste-mode): New function.
9290 (terminal-init-xterm): Call it.
9291 (terminal-init-xterm-modify-other-keys): Use tty-mode-set-strings
9292 and tty-mode-reset-strings instead of random hooks.
9293 (xterm-turn-on-modify-other-keys)
9294 (xterm-turn-off-modify-other-keys)
9295 (xterm-remove-modify-other-keys): Delete obsolete functions.
9297 * term/screen.el: Rewrite to just use the xterm code.
9298 Add copyright notice. Mention tmux.
9300 2014-04-17 Ian D <dunni@gnu.org> (tiny change)
9302 * image-mode.el (image-mode-window-put): Also update the property of
9303 the "default window".
9304 * doc-view.el (doc-view-new-window-function): If no window
9305 exists, move to the last known page.
9307 2014-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
9309 * progmodes/perl-mode.el (perl-calculate-indent): Don't auto-indent in
9310 here-documents (bug#17262).
9312 2014-04-16 Eli Zaretskii <eliz@gnu.org>
9314 * term/pc-win.el (x-list-fonts, x-get-selection-value):
9315 Provide doc strings, as required by snarf-documentation.
9317 2014-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
9319 * ps-def.el (ps-generate-postscript-with-faces1): Use the new `sorted'
9320 arg of overlays-at. Use `invisible-p'.
9322 * obsolete/lucid.el (extent-at):
9323 * htmlfontify.el (hfy-overlay-props-at): Use the new `sorted' arg of
9325 (hfy-fontify-buffer): Remove unused var `orig-ovls'.
9327 2014-04-16 João Távora <joaotavora@gmail.com>
9329 * net/shr.el (shr-expand-url): Use `expand-file-name' for relative
9332 2014-04-16 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
9334 * vc/ediff-diff.el (ediff-set-fine-diff-properties-in-one-buffer):
9335 Use mapc to loop over a vector. (Bug#17257).
9337 2014-04-16 Michael Albinus <michael.albinus@gmx.de>
9339 * net/tramp-sh.el (tramp-sh-handle-file-truename): Revert previous
9340 patch, there are new problems with file names containing spaces.
9341 Get rid of backticks. (Bug#17238)
9343 2014-04-16 João Távora <joaotavora@gmail.com>
9345 * elec-pair.el (electric-pair--syntax-ppss): Simplify and fix
9348 2014-04-16 Eli Zaretskii <eliz@gnu.org>
9350 * frame.el (blink-cursor-blinks, blink-cursor-blinks-done): Doc fixes.
9351 (blink-cursor-mode): Mention customization variables and the
9352 effect of 'blink-cursor-blinks'.
9354 2014-04-16 Barry O'Reilly <gundaetiapo@gmail.com>
9356 * simple.el (undo): Prevent insertion of identity mapping into
9357 undo-equiv-table so as undo-only does not inf loop in the presence
9358 of consecutive nils in undo list.
9360 2014-04-16 Matthias Dahl <matthias.dahl@binary-island.eu>
9362 * faces.el (make-face): Deprecate optional argument as it is no
9363 longer needed/used since the conditional X resources handling
9364 has been pushed down to make-face-x-resource-internal itself.
9365 (make-empty-face): Don't pass optional argument to make-face.
9367 2014-04-16 Karl Fogel <kfogel@red-bean.com>
9369 * savehist.el (savehist-save): Remove workaround for a read-passwd
9370 bug that was fixed before 24.3. Thanks to Juanma Barranquero for
9371 noticing that the shim was still present.
9373 2014-04-14 Stefan Monnier <monnier@iro.umontreal.ca>
9375 * doc-view.el (doc-view-set-doc-type): Ignore file name case; add .pps.
9377 2014-04-14 Juanma Barranquero <lekktu@gmail.com>
9379 * faces.el (face-set-after-frame-default): Remove unused local variable.
9381 2014-04-12 Stefan Monnier <monnier@iro.umontreal.ca>
9383 * progmodes/grep.el: Use lexical-binding.
9384 (grep-expand-template): Pass explicit lexical env to `eval'.
9385 (zrgrep): Let-bind grep-find-template explicitly.
9387 * emacs-lisp/cl-lib.el (current-case-table): Remove setter.
9388 * leim/quail/sisheng.el (sisheng-list): Use with-case-table.
9390 2014-04-12 Eli Zaretskii <eliz@gnu.org>
9392 * international/characters.el <standard-case-table>: Add entries
9393 for letters from the Coptic block u+2C80-u+2CFF. (Bug#17243)
9394 Set category of Coptic characters be 'g' (Greek).
9396 2014-04-12 Leo Liu <sdl.web@gmail.com>
9398 * progmodes/octave.el (completion-table-with-cache):
9399 Define if not available.
9400 (octave-goto-function-definition, octave-sync-function-file-names)
9401 (octave-find-definition-default-filename):
9402 Backquote upattern for compatibility.
9404 2014-04-12 Michael Albinus <michael.albinus@gmx.de>
9406 * net/tramp-sh.el (tramp-sh-handle-file-truename): Quote the file
9407 name twice due to backticks. (Bug#17238)
9409 2014-04-12 Glenn Morris <rgm@gnu.org>
9411 * term/w32-win.el (x-win-suspend-error):
9412 * term/x-win.el (x-win-suspend-error): Sync docs.
9414 2014-04-12 Matthias Dahl <matthias.dahl@binary-island.eu>
9416 * faces.el (make-face): Remove deprecated optional argument.
9417 The conditional application of X resources is handled directly by
9418 make-face-x-resource-internal since Emacs 24.4.
9419 (make-empty-face): Don't pass optional argument to make-face.
9421 2014-04-11 Glenn Morris <rgm@gnu.org>
9423 * Makefile.in (EMACSDATA, EMACSDOC, EMACSPATH): Unexport. (Bug#16429)
9425 2014-04-11 Stefan Monnier <monnier@iro.umontreal.ca>
9427 Ediff's overlay priorities cause more trouble than they solve.
9428 * vc/ediff-init.el (ediff-shadow-overlay-priority): Remove variable.
9429 (ediff-highest-priority): Remove function (bug#17234).
9430 * vc/ediff-util.el (ediff-highlight-diff-in-one-buffer):
9431 * vc/ediff-diff.el (ediff-set-diff-overlays-in-one-buffer)
9432 (ediff-set-fine-diff-properties-in-one-buffer): Don't mess with
9435 2014-04-11 Feng Li <fengli@gmail.com> (tiny change)
9437 * progmodes/pascal.el (pascal-font-lock-keywords): Fix incorrect format
9438 entry; use symbol boundaries to avoid mis-matches.
9440 2014-04-11 Michael Albinus <michael.albinus@gmx.de>
9442 * net/tramp.el (tramp-file-name-handler)
9443 (tramp-completion-file-name-handler): Avoid recursive loading.
9445 * net/tramp-sh.el (tramp-make-copy-program-file-name):
9446 Quote result also locally.
9448 2014-04-11 Stefan Monnier <monnier@iro.umontreal.ca>
9450 * emulation/cua-base.el (<toplevel>, cua--pre-command-handler-1):
9451 Remove left-over code.
9453 * newcomment.el (comment-indent-new-line): Sink code where it's used.
9454 Reuse the previous comment's indentation unconditionally if it's on its
9457 2014-04-09 Daniel Colascione <dancol@dancol.org>
9459 * emacs-lisp/lisp.el (backward-up-list): Add `escape-strings',
9460 `no-syntax-crossing' arguments. Forward to `up-list'.
9461 (up-list): Add `escape-strings', `no-syntax-crossing' arguments.
9462 Implement logic for escaping from strings. Use narrowing to deal
9465 2014-04-09 Leo Liu <sdl.web@gmail.com>
9467 * net/rcirc.el (rcirc-connection-info): New variable.
9468 (rcirc-connect): Use it to store connection info.
9469 (rcirc-buffer-process): Avoid get-buffer-process which returns nil
9471 (rcirc-cmd-reconnect): New command. (Bug#17045)
9472 (rcirc-mode, set-rcirc-encode-coding-system)
9473 (set-rcirc-decode-coding-system, rcirc-connect): Use setq-local.
9475 2014-04-09 Daniel Colascione <dancol@dancol.org>
9477 * emacs-lisp/cl-indent.el: Add comment claiming
9478 facility is also good for elisp.
9479 (lisp-indent-find-method): New function.
9480 (common-lisp-indent-function): Recognize cl-loop.
9481 (common-lisp-indent-function-1): Recognize cl constructs; use
9482 `lisp-indent-find-method' instead of `get' directly.
9483 (if): Use else-body style for elisp.
9485 2014-04-09 Dmitry Gutov <dgutov@yandex.ru>
9487 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Highlight more
9488 Module methods. (Bug#17216)
9490 2014-04-09 Stefan Monnier <monnier@iro.umontreal.ca>
9492 * help.el (describe-bindings): Fix buffer handling (bug#17210).
9493 (describe-bindings-internal): Mark obsolete.
9495 2014-04-09 Stefan Monnier <monnier@iro.umontreal.ca>
9497 * subr.el (with-silent-modifications): Don't bind deactivate-mark,
9498 buffer-file-name, and buffer-file-truename any more.
9500 2014-04-08 Leo Liu <sdl.web@gmail.com>
9502 Use lexical-binding and require cl-lib.
9503 * net/rcirc.el (rcirc, rcirc-handler-ctcp-KEEPALIVE)
9504 (rcirc-handler-generic, rcirc-fill-paragraph)
9505 (rcirc-format-response-string, rcirc-target-buffer)
9506 (rcirc-last-line, rcirc-record-activity, rcirc-split-activity)
9507 (rcirc-activity-string, rcirc-make-trees, rcirc-cmd-ctcp)
9508 (rcirc-ctcp-sender-PING, rcirc-browse-url)
9509 (rcirc-markup-timestamp, rcirc-markup-attributes)
9510 (rcirc-markup-my-nick, rcirc-markup-urls)
9511 (rcirc-markup-bright-nicks, rcirc-markup-fill)
9512 (rcirc-check-auth-status, rcirc-handler-WALLOPS)
9513 (rcirc-handler-JOIN, rcirc-handler-PART-or-KICK)
9514 (rcirc-handler-PART, rcirc-handler-KICK, rcirc-handler-QUIT)
9515 (rcirc-handler-NICK, rcirc-handler-PING, rcirc-handler-PONG)
9516 (rcirc-handler-TOPIC, rcirc-handler-301, rcirc-handler-317)
9517 (rcirc-handler-332, rcirc-handler-333, rcirc-handler-477)
9518 (rcirc-handler-MODE, rcirc-handler-353, rcirc-handler-366)
9519 (rcirc-authenticate, rcirc-handler-INVITE, rcirc-handler-ERROR)
9520 (rcirc-handler-ctcp-VERSION, rcirc-handler-ctcp-TIME)
9521 (rcirc-handler-CTCP-response): Fix unused arguments warnings and
9524 2014-04-07 João Távora <joaotavora@gmail.com>
9526 * elec-pair.el (electric-pair--syntax-ppss):
9527 When inside comments parse from comment beginning.
9528 (electric-pair--balance-info): Fix typo in comment.
9529 (electric-pair--in-unterminated-string-p): Delete.
9530 (electric-pair--unbalanced-strings-p): New function.
9531 (electric-pair-string-bound-function): New var.
9532 (electric-pair-inhibit-if-helps-balance): Decide quote pairing
9533 according to `electric-pair--in-unterminated-string-p'
9535 * elec-pair.el (electric-pair-inhibit-if-helps-balance):
9536 Inhibit quote pairing if point-max is inside an unterminated string.
9537 (electric-pair--looking-at-unterminated-string-p): Delete.
9538 (electric-pair--in-unterminated-string-p): New function.
9540 2014-04-07 Glenn Morris <rgm@gnu.org>
9542 * shell.el (shell-directory-tracker):
9543 Go back to just ignoring failures. (Bug#17159)
9545 2014-04-07 João Távora <joaotavora@gmail.com>
9547 Fix `electric-pair-delete-adjacent-pairs' in modes binding
9548 backspace. (Bug#16981)
9549 * elec-pair.el (electric-pair-backward-delete-char): Delete.
9550 (electric-pair-backward-delete-char-untabify): Delete.
9551 (electric-pair-mode-map): Bind backspace to a menu item filtering
9552 a new `electric-pair-delete-pair' command.
9553 (electric-pair-delete-pair): New command.
9555 * progmodes/python.el (python-electric-pair-string-delimiter):
9556 Fix triple-quoting electricity. (Bug#17192)
9558 * elec-pair.el (electric-pair-post-self-insert-function):
9559 Don't skip whitespace when `electric-pair-text-pairs' and
9560 `electric-pair-pairs' were used. syntax to
9561 electric-pair--skip-whitespace. (Bug#17183)
9563 2014-04-07 Eli Zaretskii <eliz@gnu.org>
9565 * leim/quail/ipa.el (ipa-x-sampa): Fix the character produced for
9568 2014-04-07 Stefan Monnier <monnier@iro.umontreal.ca>
9570 * mpc.el (mpc--status-timer-run): Disable timer if not displayed.
9571 (mpc--status-idle-timer-run): Use mpc--status-timer-run.
9573 2014-04-07 Glenn Morris <rgm@gnu.org>
9575 * help.el (view-lossage): Doc tweak.
9577 2014-04-07 Matthias Dahl <ml_emacs-lists@binary-island.eu>
9579 * faces.el (face-spec-recalc): Call make-face-x-resource-internal
9580 only when inhibit-x-resources is nil, and do that earlier in the
9581 function. Doc fix. (Bug#16694)
9582 (face-spec-choose): Accept additional optional argument, whose
9583 value is returned if no matching attributes are found.
9584 (face-spec-recalc): Use the new optional argument when calling
9585 face-spec-choose. (Bug#16378)
9586 (make-face-x-resource-internal): Do nothing when
9587 inhibit-x-resources is non-nil. Don't touch the default face if
9588 reversed video is given--as was done in previous versions of Emacs.
9589 (face-set-after-frame-default): Don't call
9590 make-face-x-resource-internal here. (Bug#16434)
9592 2014-04-07 Tassilo Horn <tsdh@gnu.org>
9594 * doc-view.el (doc-view-bookmark-jump):
9595 Use `bookmark-after-jump-hook' to jump to the right page after the
9596 buffer is shown in a window. (bug#16090)
9598 2014-04-07 Eli Zaretskii <eliz@gnu.org>
9600 * international/characters.el (mirroring): Fix last change:
9601 instead of loading uni-mirrored.el explicitly, do that implicitly
9602 by creating the 'mirroring' uniprop table. This avoids announcing
9603 the loading of uni-mirrored.el.
9605 2014-04-07 Glenn Morris <rgm@gnu.org>
9607 * files.el (buffer-stale--default-function)
9608 (buffer-stale-function, revert-buffer--default):
9609 * autorevert.el (auto-revert-buffers): Doc tweaks.
9611 2014-04-07 Eli Zaretskii <eliz@gnu.org>
9613 * international/characters.el: Preload uni-mirrored.el. (Bug#17169)
9615 2014-04-07 Glenn Morris <rgm@gnu.org>
9617 * files.el (make-backup-file-name-function)
9618 (make-backup-file-name, make-backup-file-name--default-function)
9619 (make-backup-file-name-1, find-backup-file-name)
9620 (revert-buffer-function, revert-buffer-insert-file-contents-function)
9621 (buffer-stale--default-function, buffer-stale-function)
9622 (before-revert-hook, after-revert-hook, revert-buffer-in-progress-p)
9623 (revert-buffer, revert-buffer--default)
9624 (revert-buffer-insert-file-contents--default-function):
9625 Doc fixes related to defaults no longer being nil.
9626 (make-backup-file-name-function): Bump :version.
9627 Restore nil as a valid but deprecated custom type.
9629 2014-04-07 Stefan Monnier <monnier@iro.umontreal.ca>
9631 * progmodes/perl-mode.el (perl-syntax-propertize-function):
9632 Handle $' used as a variable (bug#17174).
9634 * progmodes/perl-mode.el (perl-indent-new-calculate):
9635 Handle forward-sexp failure (bug#16985).
9636 (perl-syntax-propertize-function): Add "foreach" and "for" statement
9637 modifiers introducing expressions (bug#17116).
9639 2014-04-06 Stefan Monnier <monnier@iro.umontreal.ca>
9641 * dired-aux.el (dired-file-set-difference): Use lexical-scoping.
9643 2014-04-05 Leo Liu <sdl.web@gmail.com>
9645 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
9646 Add define-compilation-mode.
9648 2014-04-04 João Távora <joaotavora@gmail.com>
9650 * elec-pair.el (electric-pair--syntax-ppss): When inside comments
9651 parse from comment beginning.
9652 (electric-pair--balance-info): Fix typo in comment.
9653 (electric-pair--in-unterminated-string-p): Delete.
9654 (electric-pair--unbalanced-strings-p): New function.
9655 (electric-pair-string-bound-function): New var.
9656 (electric-pair-inhibit-if-helps-balance): Decide quote pairing
9657 according to `electric-pair--in-unterminated-string-p'.
9659 2014-04-04 Stefan Monnier <monnier@iro.umontreal.ca>
9661 * textmodes/reftex-parse.el (reftex--index-tags): Rename `index-tags'.
9662 Move declaration before first use.
9663 (reftex-move-to-next-arg): Silence compiler warning.
9665 2014-04-04 Joost Kremers <joostkremers@fastmail.fm> (tiny change)
9667 * textmodes/reftex-toc.el (reftex-toc, reftex-re-enlarge):
9668 Use `window-total-width' instead of `window-width'.
9670 2014-04-03 Daniel Colascione <dancol@dancol.org>
9672 * subr.el (set-transient-map): Remove rms's workaround entirely;
9673 use new `suspicious-object' subr to mark our lambda for closer
9676 2014-04-02 Richard Stallman <rms@gnu.org>
9678 * subr.el (set-transient-map): Comment out previous change.
9680 2014-04-02 Glenn Morris <rgm@gnu.org>
9682 * menu-bar.el (menu-bar-file-menu):
9683 * vc/ediff.el (ediff-current-file):
9684 Update for revert-buffer-function no longer being nil by default.
9686 * simple.el (command-execute): Respect nil disabled-command-function.
9688 2014-04-02 Nicolas Richard <theonewiththeevillook@yahoo.fr>
9690 * simple.el (command-execute): Do not execute the command when it
9691 is disabled; fixes thinko in 2013-02-20 conversion from C. (Bug#17151)
9693 2014-04-02 Juri Linkov <juri@jurta.org>
9695 * dired-aux.el (dired-compress-file): Don't use string-match-p
9696 because its match data is used afterwards.
9698 2014-04-02 Stefan Monnier <monnier@iro.umontreal.ca>
9700 * emacs-lisp/package.el (package-built-in-p): Treat a min-version of
9703 2014-04-02 João Távora <joaotavora@gmail.com>
9705 * elec-pair.el (electric-pair-inhibit-if-helps-balance):
9706 Inhibit quote pairing if point-max is inside an unterminated string.
9707 (electric-pair--looking-at-unterminated-string-p):
9709 (electric-pair--in-unterminated-string-p): New function.
9711 2014-04-01 Daniel Colascione <dancol@dancol.org>
9713 * minibuffer.el (minibuffer-complete): Prevent assertion failure
9714 when trying to complete the prompt.
9716 2014-03-31 Leo Liu <sdl.web@gmail.com>
9718 * emacs-lisp/eldoc.el (eldoc-print-current-symbol-info):
9719 Refactor out eldoc-documentation-function-default.
9720 (eldoc-documentation-function-default): New function.
9721 (eldoc-documentation-function): Change value.
9723 2014-03-31 Glenn Morris <rgm@gnu.org>
9725 * simple.el (cycle-spacing--context, cycle-spacing): Doc tweaks.
9727 * progmodes/vhdl-mode.el (vhdl-speedbar-select-mra)
9728 (vhdl-compose-components-package, vhdl-compose-configuration):
9729 Abbreviate default-directory (missing from some previous upstream sync).
9731 2014-03-31 Reto Zimmermann <reto@gnu.org>
9733 Sync with upstream vhdl mode v3.35.2.
9734 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
9735 (top-level): No longer require assoc.
9736 (vhdl-asort, vhdl-anot-head-p, vhdl-aput, vhdl-adelete, vhdl-aget):
9737 New functions. Use throughout to replace aget etc.
9738 (vhdl-aput-delete-if-nil): Rename from vhdl-aput.
9739 (vhdl-update-file-contents): Update for vhdl-aput-delete-if-nil rename.
9740 (vhdl-template-replace-header-keywords): Fix bug for "<title string>".
9741 (vhdl-compile-init): Do not initialize regexps for Emacs 22+.
9742 (vhdl-error-regexp-emacs-alist): Remove regexps from all compilers
9743 except `vhdl-compiler'.
9744 (vhdl-error-regexp-add-emacs): Remove all other compilers,
9747 2014-03-31 Glenn Morris <rgm@gnu.org>
9749 * progmodes/vhdl-mode.el (vhdl-expand-abbrev, vhdl-expand-paren):
9750 Revert 2014-03-26 merge goof; go back to using defalias.
9752 2014-03-30 Daniel Colascione <dancol@dancol.org>
9754 * comint.el (comint-send-input):
9755 Deactivate completion-in-region-mode before we send comint input.
9758 * simple.el (keyboard-quit): Deactivate completion-in-region-mode
9761 2014-03-29 Glenn Morris <rgm@gnu.org>
9763 * textmodes/reftex.el: Manage most autoloads automatically.
9764 * textmodes/reftex-auc.el, textmodes/reftex-cite.el:
9765 * textmodes/reftex-dcr.el, textmodes/reftex-global.el:
9766 * textmodes/reftex-index.el, textmodes/reftex-parse.el:
9767 * textmodes/reftex-ref.el, textmodes/reftex-sel.el:
9768 * textmodes/reftex-toc.el: Set generated-autoload-file,
9769 and add autoload cookies for reftex.el.
9770 * Makefile.in (AUTOGEN_VCS): Add textmodes/reftex.el.
9772 2014-03-28 Glenn Morris <rgm@gnu.org>
9774 * cus-start.el (report-emacs-bug-address): Set custom properties.
9775 * mail/emacsbug.el (report-emacs-bug-address):
9776 Variable is now defined in emacs.c.
9778 * mail/emacsbug.el (report-emacs-bug):
9779 Include system-configuration-features.
9781 2014-03-28 Michal Nazarewicz <mina86@mina86.com>
9783 * simple.el (cycle-spacing): Never delete spaces on first run by
9784 default, but do so in a new 'fast mode and if there are already
9785 N spaces (the previous behavior).
9786 Compare N with its value in previous invocation so that changing
9787 prefix argument restarts `cycle-spacing' sequence.
9788 The idea is that with this change, binding M-SPC to
9789 `cycle-spacing' should not introduce any changes in behavior of
9790 the binding so long as users do not type M-SPC twice in a raw with
9791 the same prefix argument or lack thereof.
9793 2014-03-28 Glenn Morris <rgm@gnu.org>
9795 * faces.el (term-file-aliases): New variable.
9796 (tty-run-terminal-initialization): Respect term-file-aliases.
9797 * term/apollo.el, term/vt102.el, term/vt125.el, term/vt201.el:
9798 * term/vt220.el, term/vt240.el, term/vt300.el, term/vt320.el:
9799 * term/vt400.el, term/vt420.el: Remove files, replaced by aliases.
9801 2014-03-27 Glenn Morris <rgm@gnu.org>
9803 * startup.el (inhibit-startup-hooks): Doc tweak.
9804 (normal-top-level): Simplify running of hooks.
9805 For window-setup-hook, respect inhibit-startup-hooks.
9806 (command-line-1): Don't set window-setup-hook to nil.
9808 Allow selective autoloading from obsolete/ directory.
9809 * Makefile.in (obsolete-autoloads): New rule.
9810 (autoloads): Run obsolete-autoloads.
9811 * obsolete/iswitchb.el (iswitchb-mode): Use obsolete-autoload.
9812 * simple.el (iswitchb-mode): Remove hand-written autoloads.
9814 2014-03-27 Dmitry Gutov <dgutov@yandex.ru>
9816 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
9817 Highlight special globals with font-lock-builtin-face. (Bug#17057)
9819 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
9820 Don't propertize `?' or `!' as symbol constituent when after
9823 2014-03-27 Juanma Barranquero <lekktu@gmail.com>
9825 * frameset.el (frameset--restore-frame): Remove workaround for bug#14795
9826 which is no longer needed and causes trouble in GTK builds (bug#17046).
9828 * emacs-lisp/package-x.el (package--archive-contents-from-url):
9829 Use url-insert-file-contents; package-handle-response no longer exists.
9831 2014-03-26 Daniel Colascione <dancol@dancol.org>
9833 * simple.el (process-menu-mode-map): New variable.
9834 (process-menu-delete-process): New command.
9836 2014-03-26 Juanma Barranquero <lekktu@gmail.com>
9838 * emacs-lisp/package.el: Fix bug#16733 (again).
9839 (url-http-parse-response, url-http-end-of-headers, url-recreate-url)
9840 (url-http-target-url): Remove unused declarations.
9841 (package-handle-response): Remove.
9842 (package--with-work-buffer): Use url-insert-file-contents and simplify.
9843 (package--download-one-archive): Use current-buffer instead of
9844 dynamic binding of `buffer'.
9845 (describe-package-1): Do not decode readme-string.
9847 2014-03-26 Michael Albinus <michael.albinus@gmx.de>
9849 * net/tramp.el (tramp-methods, tramp-connection-timeout): Fix docstring.
9851 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Revert change
9852 from 2014-03-07, it decreases performance unnecessarily. Let-bind
9853 `remote-file-name-inhibit-cache' to nil in the second pass.
9854 (tramp-find-executable): Do not call "which" on SunOS.
9855 (tramp-send-command-and-check): Fix docstring.
9856 (tramp-do-copy-or-rename-file-directly): In the `rename' case,
9857 check whether source directory has set the sticky bit.
9859 2014-03-26 Barry O'Reilly <gundaetiapo@gmail.com>
9861 * simple.el (primitive-undo): Only process marker adjustments
9862 validated against their corresponding (TEXT . POS). Issue warning
9863 for lone marker adjustments in undo history. (Bug#16818)
9864 (undo-make-selective-list): Add marker adjustments to selective
9865 undo list based on whether their corresponding (TEXT . POS) is in
9866 the region. Remove variable adjusted-markers, which was unused
9867 and only non nil during undo-make-selective-list.
9868 (undo-elt-in-region): Return nil when passed a marker adjustment
9869 and explain in function doc.
9871 2014-03-26 Nicolas Richard <theonewiththeevillook@yahoo.fr>
9873 * align.el (align-region): Do not fail when end-mark is nil (bug#17088).
9875 2014-03-26 Dmitry Gutov <dgutov@yandex.ru>
9877 * progmodes/ruby-mode.el (ruby-expression-expansion-re):
9878 Match special global variables without curlies, too.
9879 (ruby-font-lock-keywords): Simplify the matcher for special global
9880 variables. Don't require a non-word character after the variable.
9883 2014-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
9885 * simple.el (redisplay-highlight-region-function): Increase priority of
9886 overlay to make sure boundaries are visible (bug#15899).
9888 2014-03-26 Juanma Barranquero <lekktu@gmail.com>
9890 * frameset.el (frameset--initial-params): Fix typo in parameter name.
9891 (frameset-restore): Compare display strings with equal.
9893 * frame.el (make-frame): Don't quote display name in error message,
9894 it is already a string.
9896 2014-03-26 Thierry Volpiatto <thierry.volpiatto@gmail.com>
9898 * net/tramp.el (tramp-read-passwd): Suspend the timers while reading
9901 2014-03-26 Dmitry Gutov <dgutov@yandex.ru>
9903 * emacs-lisp/package.el (package--add-to-archive-contents):
9904 Include already installed and built-in packages in
9905 `package-archive-contents'.
9906 (package-install): Don't include already installed packages in the
9907 options during interactive invocation. (Bug#16762)
9908 (package-show-package-list): If the buffer is already displayed in
9909 another window, switch to that window.
9911 2014-03-26 Reto Zimmermann <reto@gnu.org>
9913 Sync with upstream vhdl mode v3.35.1.
9914 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
9915 (vhdl-compiler-alist): Doc fix.
9916 (vhdl-goto-line): Remove.
9917 (vhdl-mode-abbrev-table-init): Add XEmacs compat.
9918 (vhdl-mode) <paragraph-start>: Fix value.
9919 (vhdl-fix-statement-region): Not `for' in wait-statement.
9920 (vhdl-beautify-region): Also (un)tabify.
9921 (vhdl-get-visible-signals):
9922 Scan declarative part of generate statements.
9923 (vhdl-template-record): Fix indentation for record type declaration.
9924 (vhdl-expand-abbrev, vhdl-expand-paren):
9925 Revert to using fset again rather than defalias.
9926 (vhdl-scan-directory-contents): Tweak.
9927 (vhdl-speedbar-find-file, vhdl-speedbar-port-copy)
9928 (vhdl-compose-components-package):
9929 Replace vhdl-goto-line with forward-line.
9930 (top-level): Tweak speedbar frame selection.
9931 (vhdl-generate-makefile-1): Support for compilers with no
9932 unit-to-file name mapping (create directory with dummy files).
9934 2014-03-26 Wilson Snyder <wsnyder@wsnyder.org>
9936 Sync with upstream verilog-mode revision 702457d.
9937 * progmodes/verilog-mode.el (verilog-mode-version): Update.
9938 (create-lockfiles): Declare.
9939 (verilog-read-decls): Fix module header imports, bug709.
9940 Reported by Victor Lau.
9941 Fix parsing 'var' in AUTOs, msg1294. Reported by Dominique Chen.
9942 (verilog-auto-inout-module): Fix AUTOINOUTMODULE not inserting
9943 interface-only modules, bug721. Reported by Dean Hoyt.
9945 2014-03-26 Glenn Morris <rgm@gnu.org>
9947 * obsolete/gulp.el: Move here from emacs-lisp/.
9949 * files.el (lock-buffer, unlock-buffer, file-locked-p):
9950 Remove fallback aliases, since they are always defined now.
9952 2014-03-24 Daniel Colascione <dancol@dancol.org>
9954 * emacs-lisp/cl-macs.el (cl--do-arglist): Use `plist-member'
9955 instead of cl-loop search function.
9957 2014-03-23 Lars Ingebrigtsen <larsi@gnus.org>
9959 * calendar/parse-time.el (parse-time-iso8601-regexp)
9960 (parse-iso8601-time-string): Copy from `url-dav' so that we can use
9963 2014-03-23 Lars Ingebrigtsen <larsi@gnus.org>
9965 * net/dns.el (network-interface-list): Define for XEmacs.
9967 2014-03-23 Magnus Henoch <magnus.henoch@gmail.com>
9969 * net/dns.el (dns-servers-up-to-date-p): New function to see whether
9970 the network interfaces changed.
9971 (dns-query): Use it to flush the data.
9973 2014-03-23 Juanma Barranquero <lekktu@gmail.com>
9975 * vc/vc.el (vc-rollback): Use set-buffer-modified-p.
9977 2014-03-23 Daniel Colascione <dancol@dancol.org>
9979 Change subword-mode to use `find-word-boundary-function-table' and
9980 replace `capitalized-words-mode'. Also, convert to lexical binding.
9981 * progmodes/cap-words.el: Delete now-obsolete file.
9982 * progmodes/subword.el: Reimplement using
9983 `find-word-boundary-function-table'.
9984 (subword-mode-map): Hollow out.
9985 (capitalized-words-mode): Define as obsolete alias for
9987 (subword-mode, superword-mode): Tweak documentation to reflect new
9988 implementation; call `subword-setup-buffer'.
9989 (subword-forward, subword-capitalize): Add underscore to indicate
9991 (subword-find-word-boundary-function-table): New constant.
9992 (subword-empty-char-table): New constant.
9993 (subword-setup-buffer): New function.
9994 (subword-find-word-boundary): New function.
9996 2014-03-23 Daniel Colascione <dancol@dancol.org>
9998 * emacs-lisp/cl-macs.el (cl--do-arglist): Use a little `cl-loop'
9999 list to look for keyword arguments instead of `memq', fixing
10000 (Bug#3647) --- unfortunately, only for freshly-compiled code.
10001 Please make bootstrap.
10003 2014-03-22 Glenn Morris <rgm@gnu.org>
10005 * dired.el (dired-read-regexp): Make obsolete.
10006 (dired-mark-files-regexp, dired-mark-files-containing-regexp)
10007 (dired-flag-files-regexp):
10008 * dired-aux.el (dired-mark-read-regexp):
10009 * dired-x.el (dired-mark-unmarked-files): Use read-regexp directly.
10011 * startup.el (fancy-startup-text):
10012 * help.el (describe-gnu-project): Visit online info about GNU project.
10014 * help-fns.el (help-fns--interactive-only): New function.
10015 (help-fns-describe-function-functions): Add the above function.
10016 * simple.el (beginning-of-buffer, end-of-buffer, insert-buffer)
10017 (next-line, previous-line): Remove hand-written interactive-only
10018 information from doc strings, it is auto-generated now.
10019 * bookmark.el (bookmark-write):
10020 * epa-mail.el (epa-mail-decrypt, epa-mail-verify, epa-mail-sign)
10021 (epa-mail-import-keys): Mark interactive-only,
10022 and remove hand-written interactive-only information from doc strings.
10023 * epa.el (epa-decrypt-armor-in-region, epa-verify-region)
10024 (epa-verify-cleartext-in-region, epa-sign-region, epa-encrypt-region):
10025 * files.el (not-modified):
10026 * simple.el (mark-whole-buffer): Mark interactive-only.
10028 * emacs-lisp/byte-run.el (defun-declarations-alist):
10029 Add interactive-only. Doc tweak.
10030 (macro-declarations-alist): Doc tweak.
10031 * subr.el (declare): Doc tweak (add xref to manual).
10032 * comint.el (comint-run):
10033 * files.el (insert-file-literally, insert-file):
10034 * replace.el (replace-string, replace-regexp):
10035 * simple.el (beginning-of-buffer, end-of-buffer, delete-backward-char)
10036 (delete-forward-char, goto-line, insert-buffer, next-line)
10037 (previous-line): Set interactive-only via declare.
10039 2014-03-22 Dmitry Gutov <dgutov@yandex.ru>
10041 * emacs-lisp/package.el (package-desc): Use the contents of the
10042 quoted form, not its cdr. (Bug#16873)
10044 2014-03-22 Juanma Barranquero <lekktu@gmail.com>
10046 * w32-common-fns.el (x-selection-owner-p): Add empty docstring for the
10047 benefit of doc.c; change parameter profile to match the X function.
10049 2014-03-22 Leo Liu <sdl.web@gmail.com>
10051 * help.el (temp-buffer-setup-hook): Remove help-mode-setup.
10052 (temp-buffer-show-hook): Remove help-mode-finish. (Bug#16038)
10054 2014-03-21 Richard Stallman <rms@gnu.org>
10056 * battery.el (battery-linux-sysfs): Search for each field
10057 from the beginning of the buffer.
10059 * subr.el (set-transient-map): Clear out function and value
10060 of the temporary symbol when we're done with it.
10062 * mail/rmailsum.el (rmail-summary-delete-forward):
10063 Optimize case of reaching end and handling count.
10064 (rmail-summary-mark-deleted): Optimize when N is current msg.
10065 Don't create new summary line.
10066 (rmail-summary-undelete): Pass arg to rmail-undelete-previous-message.
10067 (rmail-summary-undelete-many): Rewrite for speed.
10068 (rmail-summary-msg-number): New function.
10070 * mail/rmail.el (rmail-delete-message): Update summary.
10071 (rmail-undelete-previous-message): Handle repeat count arg.
10072 (rmail-delete-backward, rmail-delete-forward): Likewise.
10074 2014-03-21 Daniel Colascione <dancol@dancol.org>
10076 * mail/emacsbug.el (report-emacs-bug): Include memory usage
10077 information in bug reports.
10079 2014-03-21 Michael Albinus <michael.albinus@gmx.de>
10081 * net/tramp.el (tramp-methods): Add docstring for `tramp-login-env'
10082 and `tramp-copy-env'.
10084 * net/tramp-sh.el (tramp-methods) <sudo>: Add `tramp-login-env'.
10085 (tramp-maybe-open-connection): Handle `tramp-login-env'.
10087 2014-03-21 Glenn Morris <rgm@gnu.org>
10089 * electric.el (electric-indent-post-self-insert-function): Add doc.
10091 2014-03-21 Dmitry Gutov <dgutov@yandex.ru>
10093 * emacs-lisp/package.el (package-compute-transaction):
10094 Use `version-list-<=' to compare the requirement version against
10095 the version of package already to be installed. Update the error
10096 message. (Bug#16826)
10098 * progmodes/ruby-mode.el (ruby-smie-rules):
10099 Add indentation rule for ` @ '. (Bug#17050)
10101 2014-03-21 Juanma Barranquero <lekktu@gmail.com>
10103 * align.el (align-regexp): Remove superfluous backslash.
10105 * ffap.el (ffap-ftp-default-user, ffap-url-regexp)
10106 (ffap-pass-wildcards-to-dired, dired-at-point-require-prefix)
10107 (ffap-rfc-path, ffap-ftp-sans-slash-regexp, ffap-menu-regexp):
10108 Fix docstring typos.
10109 (ffap-next): Use C-u in docstring.
10110 (ffap-machine-p, ffap-list-env, ffap-alist, ffap-alist)
10111 (ffap-string-at-point-mode-alist, ffap-menu, ffap-menu-ask):
10112 Remove superfluous backslashes.
10113 (ffap-string-at-point): Reflow docstring.
10115 * server.el (server-host): Reflow docstring.
10116 (server-unload-function): Fix docstring typo.
10117 (server-eval-at): Remove superfluous backslash.
10119 * skeleton.el (skeleton-insert): Remove superfluous backslash.
10120 (skeleton-insert): Doc fix.
10121 (skeleton-insert): Reflow docstring.
10123 * term/tty-colors.el (tty-color-alist, tty-modify-color-alist)
10124 (tty-color-approximate, tty-color-by-index, tty-color-values)
10125 (tty-color-desc): Remove superfluous backslashes.
10127 2014-03-21 Glenn Morris <rgm@gnu.org>
10129 * cus-start.el (history-length): Bump :version.
10131 * Makefile.in ($(MH_E_DIR)/mh-loaddefs.el)
10132 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
10133 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
10134 Don't set `make-backup-files'.
10136 * info.el (info--prettify-description): New function,
10137 to give info-finder descriptions consistent case, punctuation.
10138 (Info-finder-find-node): Use it. Sort packages.
10139 Refer to "description" rather than "commentary".
10141 2014-03-21 Juanma Barranquero <lekktu@gmail.com>
10143 * frameset.el (frameset--print-register): New function.
10144 (frameset-to-register): Use it.
10146 2014-03-20 Juanma Barranquero <lekktu@gmail.com>
10148 * progmodes/hideif.el (hif-string-to-number): New function.
10149 (hif-tokenize): Use it to understand non-decimal floats.
10151 * emacs-lisp/cl-extra.el (cl--map-overlays): Remove obsolete code.
10153 * skeleton.el (skeleton-autowrap): Mark as obsolete. Doc fix.
10155 2014-03-20 Stefan Monnier <monnier@iro.umontreal.ca>
10157 * electric.el (electric-newline-and-maybe-indent): New command.
10158 Bind it globally to C-j.
10159 (electric-indent-mode): Don't mess with the global map any more.
10160 Don't drop the post-self-insert-hook is some buffer is still using it
10163 * bindings.el (global-map): Remove C-j binding.
10165 * emacs-lisp/nadvice.el (advice--make-docstring): Try harder to find
10166 the docstring of functions advised before dumping (bug#16993).
10168 2014-03-19 Stefan-W. Hahn <stefan.hahn@s-hahn.de> (tiny change)
10170 * ps-print.el (ps-generate-postscript-with-faces):
10171 Explicitly deactivate the mark (bug#16866).
10172 * simple.el (deactivate-mark): Update region highlight.
10174 2014-03-19 Juanma Barranquero <lekktu@gmail.com>
10176 * emacs-lisp/package.el (describe-package-1):
10177 Decode commentary (bug#16733).
10179 2014-03-18 Juanma Barranquero <lekktu@gmail.com>
10181 * custom.el (defcustom): Doc fix: recommend avoiding destructive
10182 modification of the value argument of :set (bug#16755).
10184 2014-03-18 Stefan Monnier <monnier@iro.umontreal.ca>
10186 * simple.el (newline-and-indent): Do autofill (bug#17031).
10188 2014-03-18 Dmitry Gutov <dgutov@yandex.ru>
10190 * newcomment.el (comment-normalize-vars): Only add escaping check
10191 to `comment-start-skip' if not `comment-use-syntax'. (Bug#16971)
10192 (comment-beginning): Use `narrow-to-region' instead of moving back
10194 (http://lists.gnu.org/archive/html/emacs-devel/2014-03/msg00488.html)
10195 (comment-start-skip): Update the docstring.
10197 2014-03-18 Richard Stallman <rms@gnu.org>
10199 * dired.el (dired-display-file): Force use of other window.
10201 2014-03-18 Daniel Colascione <dancol@dancol.org>
10203 * startup.el (tty-handle-args): Remove debug message from 2007.
10205 2014-03-17 Stefan Monnier <monnier@iro.umontreal.ca>
10207 * emacs-lisp/nadvice.el (advice--interactive-form): New function.
10208 (advice--make-interactive-form): Use it to avoid (auto)loading function.
10209 (advice--make-1, advice-add, advice-remove):
10210 Remove braindead :advice-pending hack.
10212 2014-03-17 Glenn Morris <rgm@gnu.org>
10214 * calendar/calendar.el (calendar-generate-month): Apply weekend
10215 face to the right days; fixes 2013-08-06 change. (Bug#17028)
10217 2014-03-17 Michael Albinus <michael.albinus@gmx.de>
10219 * net/tramp.el (tramp-action-out-of-band): Read pending output.
10220 (tramp-call-process): Trace also DESTINATION.
10222 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
10223 Quote file names when they are local. Remove superfluous trace.
10225 2014-03-17 Dmitry Gutov <dgutov@yandex.ru>
10227 * newcomment.el (comment-beginning): If `comment-start-skip'
10228 doesn't match, move back one char and try again. (Bug#16971)
10230 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
10231 Set `comment-use-syntax' to t to avoid the unnecessary runtime check.
10232 Set `comment-start-skip' to a simpler value that doesn't try to
10233 check if the semicolon is escaped (this is handled by
10234 `syntax-ppss' now). (Bug#16971)
10236 * progmodes/scheme.el (scheme-mode-variables): Same.
10238 2014-03-16 Martin Rudalics <rudalics@gmx.at>
10240 Fix behavior of with-temp-buffer-window (Bug#16816, Bug#17007).
10241 * window.el (with-temp-buffer-window): Don't make BUFFER-OR-NAME
10242 current (Bug#16816, Bug#17007).
10243 (with-current-buffer-window): New macro doing the same as
10244 `with-temp-buffer-window' but with BUFFER-OR-NAME current.
10245 * help.el (help-print-return-message): Warn in doc-string to not
10246 use this in `with-help-window'.
10247 (describe-bindings-internal): Call `describe-buffer-bindings'
10248 from within help buffer. See Juanma's scenario in (Bug#16816).
10249 (with-help-window): Update doc-string.
10250 * dired.el (dired-mark-pop-up):
10251 * files.el (save-buffers-kill-emacs):
10252 * register.el (register-preview): Use `with-current-buffer-window'
10253 instead of `with-temp-buffer-window'.
10255 2014-03-16 Juanma Barranquero <lekktu@gmail.com>
10257 * textmodes/rst.el (rst-arabic-to-roman, rst-roman-to-arabic):
10258 Implement inserting into current buffer, documented in their docstrings.
10259 (rst-define-key, rst-compare-adornments, rst-insert-list-new-item)
10260 (rst-section-tree-point, rst-forward-section, rst-indent)
10261 (rst-compute-tabs, rst-font-lock-find-unindented-line-end)
10262 (rst-font-lock-find-unindented-line-limit, rst-adornment-level)
10263 (rst-font-lock-handle-adornment-pre-match-form)
10264 (rst-repeat-last-character): Reflow docstrings.
10265 (rst-preferred-adornments, rst-update-section, rst-find-title-line)
10266 (rst-adjust-adornment-work, rst-initial-items, rst-insert-list)
10267 (rst-toc-insert-style, rst-toc-insert-node, rst-goto-section)
10268 (rst-compile, rst-imenu-convert-cell, rst-imenu-create-index):
10269 Fix docstring typos.
10270 (rst-all-sections, rst-section-hierarchy, rst-adjust): Doc fixes.
10271 (rst-uncomment-region, rst-font-lock-find-unindented-line-match)
10272 (rst-font-lock-handle-adornment-matcher): Mark unused arguments.
10274 2014-03-15 Juanma Barranquero <lekktu@gmail.com>
10276 * term/ns-win.el (x-command-line-resources): Rename from ns-... version,
10277 for compatibility with other ports.
10278 (ns-initialize-window-system): Use it. It is set in term/common-win.el
10279 from the -xrm command line argument, but in the Nextstep port its value
10280 is irrelevant because nsfns.m:Fx_open_connection ignores it for now.
10282 * progmodes/python.el (defconst, python-syntax-count-quotes)
10283 (python-indent-region, python-indent-shift-right)
10284 (python-indent-dedent-line-backspace, python-nav-backward-sexp)
10285 (python-nav-backward-sexp-safe, python-nav-backward-up-list)
10286 (python-shell-prompt-block-regexp, python-shell-prompt-output-regexp)
10287 (python-shell-prompt-pdb-regexp, python-shell-enable-font-lock)
10288 (inferior-python-mode, python-shell-make-comint, run-python-internal)
10289 (python-shell-buffer-substring, python-shell-send-buffer)
10290 (python-pdbtrack-activate, python-pdbtrack-stacktrace-info-regexp)
10291 (python-completion-complete-at-point, python-fill-docstring-style)
10292 (python-eldoc-function, python-imenu-format-item-label)
10293 (python-imenu-format-parent-item-label)
10294 (python-imenu-format-parent-item-jump-label)
10295 (python-imenu--build-tree, python-imenu-create-index)
10296 (python-imenu-create-flat-index): Fix docstring typos.
10297 (python-indent-context, python-shell-prompt-regexp, run-python):
10298 Remove superfluous backslashes.
10299 (python-indent-line, python-nav-beginning-of-defun)
10300 (python-shell-get-buffer, python-shell-get-process)
10301 (python-info-current-defun, python-info-current-line-comment-p)
10302 (python-info-current-line-empty-p, python-util-popn): Doc fixes.
10303 (python-indent-post-self-insert-function, python-shell-send-file)
10304 (python-shell-completion-get-completions)
10305 (python-shell-completion-complete-or-indent)
10306 (python-eldoc--get-doc-at-point): Reflow docstrings.
10308 2014-03-14 Glenn Morris <rgm@gnu.org>
10310 * emacs-lisp/package.el (package-menu-mode-map):
10311 Replace use of obsolete function alias. Tweak menu item text.
10313 * info.el (Info-finder-find-node):
10314 Ignore the `emacs' metapackage. (Bug#10813)
10316 * finder.el (finder-list-matches): Include unversioned packages
10317 in the result of a keyword search.
10319 * finder.el (finder--builtins-descriptions): New constant.
10320 (finder-compile-keywords): Use finder--builtins-descriptions.
10322 2014-03-14 Dmitry Gutov <dgutov@yandex.ru>
10324 * simple.el (blink-matching-paren): Describe the new value,
10325 `jump', enabling the old behavior.
10326 (blink-matching-open): Use that value. (Bug#17008)
10328 2014-03-14 Glenn Morris <rgm@gnu.org>
10330 * finder.el (finder-no-scan-regexp): Add leim-list.
10331 (finder-compile-keywords):
10332 Don't skip files with same basename. (Bug#14010)
10333 * Makefile.in (setwins_finder): New, excluding leim.
10334 (finder-data): Use setwins_finder.
10336 * help-fns.el (help-split-fundoc, help-add-fundoc-usage)
10337 (help-function-arglist, help-make-usage): Move from here...
10338 * help.el (help-split-fundoc, help-add-fundoc-usage)
10339 (help-function-arglist, help-make-usage): ... to here. (Bug#17001)
10340 * emacs-lisp/bytecomp.el (byte-compile-lambda): Do not load help-fns.
10342 2014-03-14 Juanma Barranquero <lekktu@gmail.com>
10344 * net/socks.el (socks, socks-override-functions)
10345 (socks-find-services-entry):
10346 * progmodes/hideif.el (hif-set-var, hif-nexttoken, hif-comma)
10347 (hif-find-ifdef-block):
10348 * progmodes/modula2.el (m2-indent): Fix docstring typos.
10350 * net/tls.el (tls-program): Reflow docstring.
10352 * progmodes/pascal.el (pascal-mode-abbrev-table)
10353 (pascal-imenu-generic-expression, pascal-auto-endcomments)
10354 (pascal-mark-defun, pascal-comment-area, pascal-indent-level)
10355 (pascal-outline-mode): Fix docstring typos.
10356 (pascal-mode): Let define-derived-mode document mode hook.
10357 (pascal-uncomment-area): Reflow.
10358 (pascal-exclude-str-start, pascal-exclude-str-end): Add docstring.
10360 * progmodes/opascal.el (opascal-compound-block-indent)
10361 (opascal-case-label-indent): Fix docstring typos.
10362 (opascal-mode): Fix typos; let defined-derived-mode document mode hook.
10364 2014-03-13 Dmitry Gutov <dgutov@yandex.ru>
10366 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
10367 Fontify multiple adjacent negation chars. (Bug#17004)
10369 2014-03-13 Tom Willemse <tom@ryuslash.org> (tiny change)
10371 * emacs-lisp/package.el (package--prepare-dependencies):
10372 Accept requirements without explicit version (bug#14941).
10374 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
10376 * register.el (register-separator, copy-to-register): Doc fixes.
10377 (register-preview-default): Remove unnecessary call to concat.
10379 * frameset.el (frameset-restore): When checking for a visible frame,
10380 use the action map instead of calling visible-frame-list.
10382 2014-03-12 Jonas Bernoulli <jonas@bernoul.li>
10384 * emacs-lisp/eieio.el (with-slots): Use cl-symbol-macrolet (bug#16998).
10386 2014-03-12 Martin Rudalics <rudalics@gmx.at>
10388 * window.el (fit-frame-to-buffer): Get maximum width from
10389 display's width instead of height.
10391 2014-03-12 Glenn Morris <rgm@gnu.org>
10393 * desktop.el (desktop-restore-frames)
10394 (desktop-restore-in-current-display, desktop-restore-forces-onscreen)
10395 (desktop-restore-reuses-frames): Doc tweaks.
10397 * electric.el (electric-indent-mode): Doc fix.
10399 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
10401 * vc/pcvs.el (cvs-temp-buffer, defun-cvs-mode, cvs-get-cvsroot)
10402 (cvs-checkout, cvs-mode-checkout, cvs-update-filter, cvs-mode-mark)
10403 (cvs-mode-diff-head, cvs-mode-diff-repository, cvs-mode-diff-yesterday)
10404 (cvs-mode-diff-vendor, cvs-mode-do, cvs-change-cvsroot)
10405 (cvs-dired-use-hook): Fix docstring typos.
10406 (cvs-mode-view-file-other-window, cvs-mode-byte-compile-files):
10409 * vc/pcvs-defs.el (cvs-auto-remove-handled)
10410 (cvs-auto-remove-directories, cvs-default-ignore-marks)
10411 (cvs-idiff-imerge-handlers, cvs-reuse-cvs-buffer)
10412 (cvs-execute-single-dir): Fix docstring typos.
10414 * vc/pcvs-info.el (cvs-status-map, cvs-states): Fix docstring typos.
10415 (cvs-fileinfo-pp, cvs-fileinfo-from-entries): Doc fixes.
10417 * vc/pcvs-parse.el (cvs-parsed-fileinfo): Reflow docstring.
10419 * vc/pcvs-util.el (cvs-flags-query, cvs-flags-set, cvs-prefix-set):
10420 Fix docstring typos.
10422 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
10424 * frameset.el (frameset--jump-to-register): Add autoload; it could be
10425 called from jump-to-register after unloading the frameset package.
10427 2014-03-11 Stefan Monnier <monnier@iro.umontreal.ca>
10429 * simple.el (set-mark): Ensure mark-active is nil if the mark is nil
10430 (bug#16975). Deactivate the mark before setting it to nil.
10431 (activate-mark): Do nothing if region is already active.
10433 2014-03-11 Juanma Barranquero <lekktu@gmail.com>
10435 * frameset.el (frameset--target-display): Remove definition; declare.
10436 (frameset-save, frameset-restore): Let-bind frameset--target-display.
10438 2014-03-11 Stefan Monnier <monnier@iro.umontreal.ca>
10440 * emacs-lisp/nadvice.el (advice--make-1): Fix autoloading avoidance.
10441 (advice-add): Add a :advice--pending marker, so advice--make-1 knows
10442 when the advice is pending.
10443 (advice-remove): Remove this marker when not needed any more.
10445 2014-03-11 Juanma Barranquero <lekktu@gmail.com>
10447 * frameset.el: Separate options for reusing frames and cleaning up.
10448 (frameset--reuse-list): Remove definition; declare.
10449 (frameset--action-map): Declare.
10450 (frameset--find-frame-if): Doc fix.
10451 (frameset--restore-frame): Cache frame action.
10452 (frameset-restore): New keyword arg CLEANUP-FRAMES, allows to select
10453 how to clean up the frame list after restoring. Remove cleaning
10454 options from REUSE-FRAMES. Change all keyword values to symbols.
10455 (frameset--jump-to-register): Simplify by using CLEANUP-FRAMES.
10457 * desktop.el (desktop-restore-forces-onscreen)
10458 (desktop-restore-reuses-frames): Use non-keyword values.
10459 (desktop-restore-frameset): Use CLEANUP-FRAMES arg of frameset-restore.
10461 2014-03-10 Glenn Morris <rgm@gnu.org>
10463 * files.el (find-file): Doc fix: update info node name.
10465 * emacs-lisp/advice.el (ad-add-advice, defadvice):
10466 Doc fix: remove references to deleted info nodes.
10468 2014-03-10 Michael Albinus <michael.albinus@gmx.de>
10470 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
10471 Do not add nil to the environment, when there's no remote `locale'.
10472 (tramp-find-inline-encoding): Check, that the remote host has
10473 installed perl, before sending scripts.
10475 2014-03-10 Leo Liu <sdl.web@gmail.com>
10477 * emacs-lisp/eldoc.el (eldoc-minibuffer-message):
10478 Clear eldoc-last-message. (Bug#16920)
10480 2014-03-10 Stefan Monnier <monnier@iro.umontreal.ca>
10482 * desktop.el (desktop-create-buffer): Don't run activate-mark-hook
10485 2014-03-09 Juri Linkov <juri@jurta.org>
10487 * ansi-color.el (ansi-color-names-vector): Copy default colors
10488 from `xterm-standard-colors' that look well on the default white
10489 background (and also on the black background) to avoid illegible
10490 color combinations like yellow-on-white and white-on-white.
10491 http://lists.gnu.org/archive/html/emacs-devel/2014-02/msg00157.html
10493 2014-03-08 Juanma Barranquero <lekktu@gmail.com>
10495 * frameset.el (frameset-restore): When no frame is visible, do not
10496 generate a list of frames, just make visible the selected one.
10498 2014-03-08 Dmitry Gutov <dgutov@yandex.ru>
10500 * vc/vc-git.el (vc-git-command): Turn FILE-OR-LIST into nil when
10501 it only contains the repository root. (Bug#16897)
10503 2014-03-07 Michael Albinus <michael.albinus@gmx.de>
10505 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Run first pass
10506 only when `remote-file-name-inhibit-cache' is nil.
10507 (tramp-sh-file-name-handler): Use `tramp-error'. Simplify code.
10509 2014-03-06 Martin Rudalics <rudalics@gmx.at>
10511 * window.el (fit-frame-to-buffer, fit-frame-to-buffer-margins):
10513 (fit-frame-to-buffer): New argument ONLY. Remove dependency on
10514 fit-frame-to-buffer variable. Fix doc-string.
10515 (fit-window-to-buffer): Set ONLY argument in call of
10516 fit-frame-to-buffer. Fix doc-string.
10518 2014-03-06 Michael Albinus <michael.albinus@gmx.de>
10520 * net/tramp.el (tramp-error): VEC-OR-PROC can be nil.
10521 (tramp-action-password): Clear password cache if needed.
10522 (tramp-read-passwd): Do not clear password cache.
10524 * net/tramp-gvfs.el (tramp-gvfs-handler-askpassword): Clear password
10525 cache unless it is the first password request.
10527 2014-03-06 Glenn Morris <rgm@gnu.org>
10529 * simple.el (newline): Doc tweak.
10531 * emacs-lisp/shadow.el (load-path-shadows-find):
10532 Ignore dir-locals. (Bug#12357)
10534 2014-03-05 Glenn Morris <rgm@gnu.org>
10536 * files.el (interpreter-mode-alist):
10537 * progmodes/sh-script.el (sh-ancestor-alist): Add dash. (Bug#16938)
10539 2014-03-05 Juanma Barranquero <lekktu@gmail.com>
10541 * frameset.el (frameset--initial-params): Filter out null entries.
10543 2014-03-05 Martin Rudalics <rudalics@gmx.at>
10545 * window.el (window-min-height, window-min-width):
10546 Rewrite doc-strings.
10547 (window-body-size): Add PIXELWISE argument to make it consistent
10550 2014-03-05 Juanma Barranquero <lekktu@gmail.com>
10552 * finder.el (finder-mode-map, finder-mode-syntax-table):
10553 Revert part of 2014-02-28 change.
10555 2014-03-05 Lars Ingebrigtsen <larsi@gnus.org>
10557 * net/eww.el (eww-mode-map): [tab] doesn't work on tty.
10558 (eww-setup-buffer): Clear next/prev/etc more reliably.
10559 (eww-textarea-map): [tab] doesn't work on tty.
10560 Reported by Mario Lang.
10562 * net/shr.el (shr-map): Ditto.
10564 2014-03-04 Glenn Morris <rgm@gnu.org>
10566 * minibuffer.el (completion-hilit-commonality):
10567 Revert 2014-03-01 short-cut, which changed the return value. (Bug#16933)
10569 2014-03-04 Juanma Barranquero <lekktu@gmail.com>
10571 * hilit-chg.el (hilit-chg-unload-function): New function.
10572 (highlight-changes-mode, highlight-changes-visible-mode): Fix typos.
10573 (hilit-chg-map-changes): Prefer cardinal number to digit.
10574 (hilit-chg-display-changes): Reflow docstring.
10575 (highlight-changes-rotate-faces): Remove superfluous backslash.
10577 2014-03-04 Michael Albinus <michael.albinus@gmx.de>
10579 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Do not call
10580 `tramp-send-command-and-check'.
10582 2014-03-04 Juanma Barranquero <lekktu@gmail.com>
10584 * hexl.el (hexl-address-region, hexl-ascii-region)
10585 (hexl-goto-hex-address, hexl-backward-char, hexl-forward-char)
10586 (hexl-backward-short, hexl-forward-short, hexl-backward-word)
10587 (hexl-forward-word, hexl-previous-line, hexl-next-line):
10588 Use "Hexl mode" for non-hyperlinked hexl-mode references in docstrings.
10589 (hexl-mode): Doc fix.
10590 (hexl-ascii-start-column, hexl-beginning-of-line, hexl-end-of-line)
10591 (hexl-mode-ruler): Fix typos in docstrings.
10593 * strokes.el (strokes-xpm-header, strokes-rate-stroke): Fix typos.
10594 (strokes-character, strokes-get-grid-position, strokes-list-strokes):
10595 Remove superfluous backslashes.
10596 (strokes-last-stroke, strokes-global-map, strokes-mode):
10598 (strokes-xpm-for-stroke, strokes-xpm-to-compressed-string)
10599 (strokes-xpm-for-compressed-string): Use quotes with buffer name.
10600 (strokes-distance-squared, strokes-global-set-stroke)
10601 (strokes-global-set-stroke-string): Doc fixes.
10602 (strokes-help): Fix typos; reflow docstring.
10604 2014-03-04 Martin Rudalics <rudalics@gmx.at>
10606 * window.el (window-in-direction): Fix doc-string.
10608 2014-03-04 Glenn Morris <rgm@gnu.org>
10610 * emacs-lisp/smie.el (smie-config-guess): Doc fix.
10611 Explicit error if no grammar.
10612 (smie-config-save): Doc fix. Fix quote typo.
10614 2014-03-04 Stefan Monnier <monnier@iro.umontreal.ca>
10616 * progmodes/cc-mode.el (c-initialize-cc-mode): Only hook into
10617 electric-indent-mode-hook if we obey electric-indent-mode.
10618 (c-basic-common-init): Use (fboundp 'electric-indent-local-mode) to
10619 decide whether we obey electric-indent-mode.
10620 (c-change-set-fl-decl-start, c-extend-after-change-region):
10622 (c-electric-indent-mode-hook): Assume we do want to obey
10623 electric-indent-mode.
10625 * electric.el (electric-indent-mode-has-been-called): Remove.
10626 (electric-indent-mode): Fix accordingly.
10628 * files.el (hack-local-variables): Mention file name in warning.
10630 * htmlfontify.el (hfy-fontify-buffer): Drop `invis-range' message.
10632 2014-03-04 Michal Nazarewicz <mina86@mina86.com>
10634 * bindings.el: Add comment describing why C-d binds to `delete-char'.
10635 * simple.el (delete-forward-char): Mark as interactive-only.
10637 2014-03-03 Juanma Barranquero <lekktu@gmail.com>
10639 * icomplete.el (icomplete-completions):
10640 Follow-up to 2014-03-01 change.
10642 * icomplete.el: Miscellaneous doc fixes.
10643 Use Icomplete everywhere instead of icomplete for consistency.
10644 (icomplete-max-delay-chars): Fix typo.
10645 (icomplete-mode): Use \[].
10646 (icomplete-tidy, icomplete-exhibit): Reflow.
10647 (icomplete-minibuffer-setup-hook, icomplete-completions):
10648 Remove superfluous backlashes.
10650 * ido.el: Miscellaneous doc fixes.
10651 Use Ido everywhere instead of ido or `ido' for consistency.
10652 (ido-record-ftp-work-directories, ido-merge-ftp-work-directories)
10653 (ido-cache-ftp-work-directory-time, ido-slow-ftp-hosts)
10654 (ido-slow-ftp-host-regexps, ido-reread-directory): Upcase "ftp".
10655 (ido-separator): Extract obsolescence info from docstring and declare
10656 with make-obsolete-variable.
10657 (ido-minibuffer-setup-hook): Simplify example.
10658 (ido-text, ido-text-init, ido-input-stack, ido-report-no-match)
10659 (ido-wide-find-file, ido-wide-find-dir, ido-wide-find-dir-or-delete-dir)
10660 (ido-completion-help, ido-completing-read): Fix typos in docstrings.
10661 (ido-everywhere): Reflow docstring.
10662 (ido-toggle-vc): Doc fix.
10663 (ido-switch-buffer, ido-find-file): Use tabs to improve legibility
10664 of long list of keybindings.
10666 2014-03-03 Glenn Morris <rgm@gnu.org>
10668 * frame.el (display-pixel-height, display-pixel-width)
10669 (display-mm-dimensions-alist, display-mm-height)
10670 (display-mm-width): Doc tweaks.
10672 2014-03-02 Barry O'Reilly <gundaetiapo@gmail.com>
10674 * simple.el (undo-elt-in-region): Fix buffer corruption for edge
10675 case of undo in region.
10677 2014-03-02 Martin Rudalics <rudalics@gmx.at>
10679 * window.el (fit-window-to-buffer): Fix argument in window-size
10680 call when window is horizontally combined.
10682 2014-03-02 Juanma Barranquero <lekktu@gmail.com>
10684 * icomplete.el (icomplete-completions): Use string-width.
10685 Suggested by Stefan Monnier <monnier@iro.umontreal.ca>.
10687 2014-03-01 Dmitry Gutov <dgutov@yandex.ru>
10689 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
10690 Highlight regexp options. (Bug#16914)
10692 2014-03-01 Martin Rudalics <rudalics@gmx.at>
10694 * window.el (window--max-delta-1): Round down when calculating
10695 how many lines/columns we can get from a window.
10697 2014-03-01 Glenn Morris <rgm@gnu.org>
10699 * isearch.el (search-invisible): Doc fix.
10701 * minibuffer.el (completion-hilit-commonality):
10702 Make `base-size' argument optional. Short-cut if `prefix-len' is 0.
10703 * comint.el (comint-dynamic-list-completions): Doc fix.
10704 * comint.el (comint-dynamic-list-completions):
10705 * filecache.el (file-cache-minibuffer-complete):
10706 * tempo.el (tempo-display-completions):
10707 * eshell/em-hist.el (eshell-list-history):
10708 Replace use of obsolete argument of display-completion-list.
10710 2014-03-01 Juanma Barranquero <lekktu@gmail.com>
10712 * icomplete.el (icomplete-completions):
10713 Revert back to using "..." when ?… cannot be displayed.
10715 2014-02-28 Juanma Barranquero <lekktu@gmail.com>
10717 * finder.el (finder-unload-function): New function.
10719 2014-02-28 Juanma Barranquero <lekktu@gmail.com>
10721 * dframe.el (dframe-detach):
10722 * find-dired.el (find-dired, find-name-dired):
10723 * finder.el (finder-mode-map, finder-mode-syntax-table)
10724 (finder-headmark, finder-select, finder-mouse-select):
10725 Fix docstring typos.
10727 2014-02-28 Martin Rudalics <rudalics@gmx.at>
10729 Revert recent with-temp-buffer-window change (Bug#16816, Bug#16882).
10730 * window.el (with-temp-buffer-window): Revert change from 2014-02-21.
10731 Suggested by Thierry Volpiatto <thierry.volpiatto@gmail.com>.
10732 Fix doc-string based on a suggestion by Nicolas Richard
10733 <theonewiththeevillook@yahoo.fr>.
10734 * help.el (with-help-window): Fix doc-string.
10736 2014-02-28 Ivan Kanis <ivan@kanis.fr>
10738 * net/shr.el (shr-image-animate): New option.
10739 (shr-put-image): Respect shr-image-animate.
10741 2014-02-28 Michael Albinus <michael.albinus@gmx.de>
10743 * net/tramp-adb.el (tramp-adb-parse-device-names):
10744 Use `accept-process-output'.
10745 (tramp-adb-handle-file-truename): Cache the localname only.
10746 (tramp-adb-handle-make-directory)
10747 (tramp-adb-handle-delete-directory): Flush file properties correctly.
10748 (tramp-adb-handle-set-file-modes): Do not raise an error when file
10749 modes cannot be changed.
10751 * net/tramp-cache.el (tramp-flush-directory-property): Remove also
10752 file properties of symlinks.
10754 2014-02-28 Per Starbäck <starback@stp.lingfil.uu.se>
10756 * textmodes/bibtex.el (bibtex-biblatex-entry-alist): Update
10757 required/optional fields to match development biblatex. (Bug#16781)
10759 2014-02-28 Andy Sawyer <andy.sawyer@gmail.com> (tiny change)
10761 * saveplace.el (toggle-save-place):
10762 Fix argument handling. (Bug#16673)
10764 2014-02-28 Glenn Morris <rgm@gnu.org>
10766 * minibuffer.el (completions-first-difference)
10767 (completions-common-part, completion-hilit-commonality): Doc fixes.
10769 2014-02-28 Karl Berry <karl@gnu.org>
10771 * info.el (Info-mode-map): Add H for describe-mode,
10772 to synchronize with standalone Info.
10774 2014-02-28 Emilio C. Lopes <eclig@gmx.net>
10776 * progmodes/sql.el (sql-interactive-mode):
10777 Avoid setting global comint-input-ring-separator. (Bug#16814)
10779 2014-02-27 Michael Albinus <michael.albinus@gmx.de>
10781 * net/dbus.el (dbus--init-bus): Declare function.
10782 (dbus-path-local, dbus-interface-local): New defconst.
10783 (dbus-init-bus): Use them.
10784 (dbus-return-values-table): Extend doc.
10785 (dbus-handle-bus-disconnect): Extend error message.
10787 2014-02-27 Juanma Barranquero <lekktu@gmail.com>
10789 * subr.el (y-or-n-p): Fix double space issue in message.
10791 2014-02-27 Michael Albinus <michael.albinus@gmx.de>
10793 * net/tramp.el (tramp-call-process): Improve trace message.
10794 (tramp-handle-insert-file-contents): Trace error case.
10796 * net/tramp-adb.el (tramp-adb-file-name-handler-alist)
10797 <insert-directory>: Use `tramp-handle-insert-directory'.
10798 (tramp-adb-handle-insert-directory): Remove function.
10799 (tramp-adb-send-command-and-check): New defun, replacing
10800 `tramp-adb-command-exit-status'. Change all callees.
10801 (tramp-adb-handle-file-attributes)
10802 (tramp-adb-handle-directory-files-and-attributes): Use it.
10803 (tramp-adb-ls-output-name-less-p):
10804 Use `directory-listing-before-filename-regexp'.
10805 (tramp-adb-handle-delete-directory): Flush also file properties of
10806 the truename of directory.
10807 (tramp-adb-handle-file-name-all-completions): Add "./" and "../".
10808 (tramp-adb-handle-file-local-copy): Make the local copy readable.
10809 (tramp-adb-handle-write-region): Implement APPEND.
10810 (tramp-adb-handle-rename-file): Make it more robust. Flush file
10811 properties correctly.
10812 (tramp-adb-maybe-open-connection): Set `tramp-current-*'
10813 variables. Check for connected devices only when needed.
10815 2014-02-27 Glenn Morris <rgm@gnu.org>
10817 * minibuffer.el (completion-table-dynamic)
10818 (completion-table-with-cache): Doc fixes.
10820 * emacs-lisp/crm.el (crm-default-separator, crm-separator)
10821 (completing-read-multiple): Doc fixes.
10823 2014-02-27 Daniel Colascione <dancol@dancol.org>
10825 * minibuffer.el (completion--nth-completion): Fix indentation.
10827 * net/tramp-sh.el (tramp-get-remote-path): Don't signal error when
10828 explicit tramp path is empty.
10830 2014-02-27 Glenn Morris <rgm@gnu.org>
10832 * emacs-lisp/crm.el (completing-read-multiple):
10833 Empower help-enable-auto-load.
10835 2014-02-26 Glenn Morris <rgm@gnu.org>
10837 * startup.el (command-line): Don't init the tty in daemon mode.
10839 Avoid calling tty-setup-hook twice, eg if a term file
10840 explicitly calls tty-run-terminal-initialization. (Bug#16859)
10841 * faces.el (tty-run-terminal-initialization): Add run-hook argument.
10842 (tty-create-frame-with-faces): Use it.
10843 * startup.el (command-line): Pass run-hook argument
10844 to tty-run-terminal-initialization.
10846 * dired.el (dired-restore-desktop-buffer): Demote errors;
10847 eg in case a glob match fails. (Bug#16884)
10849 2014-02-26 Dmitry Gutov <dgutov@yandex.ru>
10851 * emacs-lisp/lisp.el (lisp--local-variables): Catch `end-of-file'
10852 error from `read-from-string'. (Bug#16850)
10854 * emacs-lisp/ert.el (ert-run-tests-interactively): `read' the
10855 result of `completing-read' in the interactive form. (Bug#16854)
10857 2014-02-25 Glenn Morris <rgm@gnu.org>
10859 * image.el (image-animate, image-animate-timeout):
10860 Stop animating images in dead buffers. (Bug#16878)
10862 * emacs-lisp/edebug.el (defmacro): Fix debug spec. (Bug#16868)
10864 * faces.el (tty-setup-hook, tty-run-terminal-initialization):
10866 * startup.el (term-setup-hook): Doc fix. Make obsolete.
10867 * term/sun.el (sun-raw-prefix-hooks):
10868 Use tty-setup-hook instead of term-setup-hook.
10869 (terminal-init-sun): Construct message from bytecomp plist.
10870 * term/wyse50.el (enable-arrow-keys): Doc fix.
10872 2014-02-24 Juanma Barranquero <lekktu@gmail.com>
10874 * term/sun.el (kill-region-and-unmark, sun-raw-prefix-hooks):
10875 Fix docstring typos.
10877 2014-02-24 Michael Albinus <michael.albinus@gmx.de>
10879 * net/tramp-sh.el (tramp-sh-handle-file-truename): Improve last fix.
10881 2014-02-24 Nicolas Richard <theonewiththeevillook@yahoo.fr>
10883 * minibuffer.el (completion--try-word-completion):
10884 Fix error when completing M-x commands (bug#16808).
10886 2014-02-24 Leo Liu <sdl.web@gmail.com>
10888 * emacs-lisp/easy-mmode.el (define-minor-mode): Fix debug spec.
10890 2014-02-24 Juanma Barranquero <lekktu@gmail.com>
10892 * apropos.el (apropos-print): Avoid formatting error when
10893 apropos-do-all and apropos-compact-layout are both t.
10895 2014-02-23 Juanma Barranquero <lekktu@gmail.com>
10897 * apropos.el (apropos-property, apropos-all-words-regexp)
10898 (apropos-true-hit, apropos-variable, apropos-print):
10899 Fix docstring typos, and remove obsolete comment.
10901 2014-02-23 Michael Albinus <michael.albinus@gmx.de>
10903 * net/tramp-sh.el (tramp-sh-handle-file-truename):
10904 Preserve trailing "/". (Bug#16851)
10906 2014-02-23 Dmitry Gutov <dgutov@yandex.ru>
10908 * progmodes/ruby-mode.el (ruby-smie-rules): Don't indent specially
10909 after `=>' (bug#16811).
10910 (ruby-smie-rules): Handle the inconsistent second element of the
10911 list returned by `smie-indent--parent'.
10912 (ruby-font-lock-keywords): Disqualify any identifier before `=' as
10915 2014-02-23 Juanma Barranquero <lekktu@gmail.com>
10917 * elec-pair.el (electric-pair-text-syntax-table)
10918 (electric-pair-syntax-info, electric-pair--syntax-ppss)
10919 (electric-pair--balance-info, electric-pair-mode): Fix docstring typos.
10920 (electric-pair--looking-at-unterminated-string-p): Doc fix.
10921 (electric-pair--inside-string-p): Doc fix. Use `let', not `let*'.
10923 2014-02-22 Glenn Morris <rgm@gnu.org>
10925 * imenu.el (imenu--generic-function): Doc fix.
10927 * register.el (frame-configuration-to-register): Make obsolete.
10929 2014-02-22 Juanma Barranquero <lekktu@gmail.com>
10931 * desktop.el (desktop-save-buffer-p): Do not fail when
10932 desktop-files-not-to-save is nil. Return t for true result
10935 2014-02-22 Daniel Colascione <dancol@dancol.org>
10937 * net/secrets.el (secrets-create-item, secrets-search-items):
10938 Check that attribute values are strings, avoiding the construction
10939 of invalid dbus messages.
10941 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
10943 * emacs-lisp/gv.el: Avoid duplicating gv-expander and gv-setter in
10944 defun-declarations-alist.
10946 2014-02-21 Stefan Monnier <monnier@iro.umontreal.ca>
10948 * emacs-lisp/cl-macs.el (cl-define-compiler-macro): Add indent rule
10951 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
10953 * whitespace.el (whitespace-space, whitespace-hspace, whitespace-tab)
10954 (whitespace-newline, whitespace-trailing, whitespace-line)
10955 (whitespace-space-before-tab, whitespace-indentation, whitespace-empty)
10956 (whitespace-space-after-tab): Fix typo in docstrings.
10958 2014-02-21 Dmitry Gutov <dgutov@yandex.ru>
10960 * progmodes/ruby-mode.el (auto-mode-alist): Add missing "or".
10962 * electric.el (electric-indent-functions-without-reindent):
10963 Add `yaml-indent-line'.
10965 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
10967 * w32-vars.el (w32-enable-synthesized-fonts): Mark as obsolete.
10968 It has done nothing for years; should be removed after the release.
10970 * simple.el (choose-completion): Fix docstring typo.
10971 (read-quoted-char-radix): Remove unneeded * in docstring.
10972 (process-file, kill-whole-line, pop-to-mark-command, set-mark-command):
10973 Don't escape parentheses unnecessarily in docstrings.
10975 2014-02-21 Martin Rudalics <rudalics@gmx.at>
10977 Fix handling of window-min-height/-width (Bug#16738).
10978 * window.el (window--dump-window, window--dump-frame):
10980 (window--min-size-1): Account for window dividers.
10981 When window-resize-pixelwise is nil, delay rounding till after the
10982 sum of the window components has been calculated.
10983 (window--min-delta-1, window--max-delta-1): When PIXELWISE is
10984 nil make sure at least one text line and two text columns remain
10986 (window-resize): Signal an error when window-resize-apply fails.
10987 (window--resize-child-windows): Fix calculation of by how many
10988 pixels a window can still be shrunk via window-new-normal.
10989 (adjust-window-trailing-edge): Call window--resizable with
10990 correct TRAIL argument.
10992 (with-temp-buffer-window): Don't evaluate BODY within
10993 with-current-buffer (Bug#16816).
10995 2014-02-21 Michael Albinus <michael.albinus@gmx.de>
10997 * net/tramp.el (tramp-check-cached-permissions):
10998 Call `file-attributes' with `suffix' being a symbol but a string.
11000 2014-02-21 Daniel Colascione <dancol@dancol.org>
11002 * net/dbus.el (dbus-init-bus-1): Declare new subr.
11003 (dbus-init-bus): New function: call into dbus-init-bus-1
11004 and installs a handler for the disconnect signal.
11005 (dbus-call-method): Rewrite to look for result in cons.
11006 (dbus-call-method-handler): Store result in cons.
11007 (dbus-check-event): Recognize events with nil sender as valid.
11008 (dbus-handle-bus-disconnect): New function. React to bus
11009 disconnection signal by synthesizing dbus error for each
11010 pending synchronous or asynchronous call.
11011 (dbus-notice-synchronous-call-errors): New function.
11012 (dbus-handle-event): Raise errors directly only when `dbus-debug'
11013 is true, not all the time.
11015 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
11017 * w32-fns.el (w32-enable-italics, w32-charset-to-codepage-alist):
11018 Remove obsolescence declarations, these variables do not exist anymore.
11020 * savehist.el (savehist-save-minibuffer-history)
11021 (savehist-additional-variables, savehist-file, savehist-mode-hook)
11022 (savehist-save-hook, savehist-coding-system, savehist-loaded)
11023 (savehist-load, savehist-install, savehist-autosave): Fix typos;
11024 mostly, refer to "Savehist mode" when talking about the mode,
11025 and not the function.
11027 * saveplace.el (save-place): Remove redundant info in docstring.
11028 (save-place-forget-unreadable-files, toggle-save-place)
11029 (save-place-forget-unreadable-files, save-place-dired-hook):
11030 Fix typos and remove unneeded backslashes.
11032 2014-02-20 Michael Albinus <michael.albinus@gmx.de>
11034 * net/tramp.el (ls-lisp-use-insert-directory-program): Declare.
11035 (tramp-handle-insert-directory): New defun, taken from tramp-gvfs.el.
11037 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
11038 <insert-directory>: Use `tramp-handle-insert-directory'.
11039 (tramp-gvfs-handle-insert-directory): Remove function.
11041 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
11042 Call `tramp-handle-insert-directory'.
11044 2014-02-20 Juanma Barranquero <lekktu@gmail.com>
11046 * elec-pair.el (electric-pair-syntax-info): Do not check syntax
11047 before the start of buffer/region (bug#16799).
11049 2014-02-20 Glenn Morris <rgm@gnu.org>
11051 * isearch.el (search-invisible): Doc fix.
11053 2014-02-20 W. Trevor King <wking@tremily.us> (tiny change)
11055 * term/xterm.el (xterm--version-handler): Adapt to xterm-280's output
11058 2014-02-19 Juanma Barranquero <lekktu@gmail.com>
11060 * frameset.el (frameset-restore): Delay removing an old frame's
11061 duplicate id until the new frame has been correctly created.
11063 2014-02-19 Michael Albinus <michael.albinus@gmx.de>
11065 * net/tramp.el (tramp-handle-make-symbolic-link): New defun.
11066 (tramp-check-cached-permissions): Call `file-attributes' if the
11069 * net/tramp-adb.el (tramp-adb-file-name-handler-alist)
11070 <make-symbolic-link>: Use `tramp-handle-make-symbolic-link'.
11072 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
11073 <make-symbolic-link>: Use `tramp-handle-make-symbolic-link'.
11074 (tramp-gvfs-maybe-open-connection): Set always connection
11075 properties, even if target is mounted already.
11077 * net/tramp-sh.el (tramp-color-escape-sequence-regexp):
11078 Set tramp-autoload cookie.
11079 (tramp-get-remote-touch): New defun.
11080 (tramp-sh-handle-set-file-times): Use it.
11081 (tramp-sh-handle-directory-files-and-attributes):
11082 Use `tramp-handle-directory-files-and-attributes' if neither stat
11083 nor perl are available on the remote host.
11085 * net/tramp-smb.el (tramp-smb-handle-insert-directory): Mark trailing
11086 "/". Write long listing only when "l" belongs to the switches.
11088 * net/trampver.el: Update release number.
11090 2014-02-19 Juanma Barranquero <lekktu@gmail.com>
11092 * frameset.el (frameset--reuse-frame): Remove workaround for bug#16793.
11094 2014-02-19 Martin Rudalics <rudalics@gmx.at>
11096 * window.el (window-state-put): Allow WINDOW to refer to an
11097 internal window (Bug#16793).
11099 2014-02-19 Glenn Morris <rgm@gnu.org>
11101 * textmodes/remember.el: Move provide statement to end.
11102 (remember-mode-map, remember-notes-mode-map, remember-notes-mode)
11103 (remember-notes): Doc fixes.
11105 2014-02-18 Stefan Monnier <monnier@iro.umontreal.ca>
11107 * delsel.el (delete-char): Restore incorrectly erased property
11110 2014-02-18 Juanma Barranquero <lekktu@gmail.com>
11112 * frameset.el (frameset--restore-frame): When a frame is being reused
11113 and its root window is not alive, delete all the frame's windows before
11114 restoring the window state. This works around the issue in bug#16793.
11116 2014-02-18 Glenn Morris <rgm@gnu.org>
11118 * textmodes/remember.el (remember-data-directory)
11119 (remember-directory-file-name-format, remember-store-in-files)
11120 (remember-notes-initial-major-mode, remember-notes-bury-on-kill)
11121 (remember-notes-save-and-bury-buffer)
11122 (remember-notes--kill-buffer-query): Doc fixes.
11124 * desktop.el (desktop-save-mode, desktop-auto-save-timeout): Doc fixes.
11126 2014-02-17 Alan Mackenzie <acm@muc.de>
11128 Connect electric-indent-mode up with CC Mode. Bug #15478.
11129 * progmodes/cc-mode.el (c-initialize-cc-mode): Add CC Mode hooks
11130 to electric-indent-{,local-}-mode.
11131 (c-basic-common-init): Set electric-indent-inhibit.
11132 Initialise c-electric-flag from electric-indent-mode.
11133 (c-electric-indent-mode-hook, c-electric-indent-local-mode-hook):
11134 New hook functions which propagate electric-indent-mode to CC mode.
11136 * progmodes/cc-cmds.el (c-toggle-electric-state): When C-c C-l is
11137 hit, toggle electric-indent-local-mode.
11139 * electric.el (electric-indent-mode-has-been-called):
11142 2014-02-17 Juanma Barranquero <lekktu@gmail.com>
11144 * frameset.el (frameset-cfg-id): New function.
11145 (frameset--reuse-frame, frameset-restore): Use it.
11146 (frameset--jump-to-register): Try harder to reuse frames (bug#16748).
11148 2014-02-17 Stefan Monnier <monnier@iro.umontreal.ca>
11150 * ido.el (ido-file-internal): Remove unused var `d'.
11151 Use \` for to match BoS. Fit within 80n columns.
11153 2014-02-17 Daniel Colascione <dancol@dancol.org>
11155 * net/dbus.el (dbus-call-method): Work around bug#16775 by having
11156 dbus-call-method check for completion using a busy-wait loop with
11159 2014-02-16 Michael Albinus <michael.albinus@gmx.de>
11161 Sync with Tramp 2.2.9.
11163 * net/trampver.el: Update release number.
11165 2014-02-16 Dmitry Gutov <dgutov@yandex.ru>
11167 * ido.el (ido-file-internal): Don't add the name of an existing
11168 directory twice. (Bug#16747)
11170 2014-02-16 Glenn Morris <rgm@gnu.org>
11172 * vc/ediff-init.el (ediff-use-faces, ediff-highlight-all-diffs):
11173 Do not use ediff-defvar-local on pre-defined variables. (Bug#16744)
11175 2014-02-15 Michael R. Mauger <michael@mauger.com>
11177 * progmodes/sql.el: Version 3.4
11178 (sql-oracle-options): New default value ("-L").
11179 (sql-mode-oracle-font-lock-keywords): Add placeholder highlighting.
11180 (sql-placeholders-filter): Correct placeholder pattern.
11181 (sql-read-table-name): Bug fix. Detect absence of SQLi process.
11182 (sql-login-delay): New variable.
11183 (sql-product-interactive): Use it.
11185 2014-02-15 Juanma Barranquero <lekktu@gmail.com>
11187 * frameset.el (frameset--jump-to-register): Check that buffer is live
11190 2014-02-15 Glenn Morris <rgm@gnu.org>
11192 * info.el (info-initialize): Revert 2014-01-10 change.
11194 2014-02-14 Glenn Morris <rgm@gnu.org>
11196 * replace.el (map-query-replace-regexp)
11197 (read-regexp-defaults-function, read-regexp): Doc fixes.
11199 * dired.el (dired-read-regexp):
11200 * faces.el (list-faces-display):
11201 * misearch.el (multi-isearch-read-matching-buffers)
11202 (multi-isearch-read-matching-files):
11203 * play/cookie1.el (cookie-apropos):
11204 * progmodes/grep.el (grep-read-regexp): Doc fixes.
11206 * textmodes/remember.el (remember): Use frameset-to-register
11207 rather than frame-configuration-to-register.
11209 2014-02-14 Jay Belanger <jay.p.belanger@gmail.com>
11211 * calc/calc-menu.el (calc-vectors-menu): Remove menu item for
11212 incorrect keybinding.
11214 2014-02-13 Daniel Colascione <dancol@dancol.org>
11216 * progmodes/flymake.el (flymake-post-syntax-check): Widen buffer
11217 when adding overlays so that line numbers from compiler match line
11220 2014-02-13 Glenn Morris <rgm@gnu.org>
11222 * mail/rmail.el (rmail-probe): Be less strict. (Bug#16743)
11224 * jit-lock.el (jit-lock-mode): Doc fix.
11226 2014-02-13 Juanma Barranquero <lekktu@gmail.com>
11228 * apropos.el (apropos-read-pattern): When the user passes an empty
11229 string, give a more helpful error message than "Wrong type
11230 argument: stringp, nil".
11232 2014-02-13 Stefan Monnier <monnier@iro.umontreal.ca>
11234 * jit-lock.el (jit-lock-mode): Keep it disabled in indirect buffers.
11236 2014-02-13 Glenn Morris <rgm@gnu.org>
11238 * finder.el (finder-known-keywords, finder-mode-map): Doc fixes.
11240 2014-02-12 Stefan Monnier <monnier@iro.umontreal.ca>
11242 * emulation/cua-base.el (cua-scroll-up, cua-scroll-down): Mark them as
11243 shift-select commands.
11245 2014-02-12 Dmitry Gutov <dgutov@yandex.ru>
11247 * progmodes/js.el (js-indent-line): Don't widen.
11248 http://lists.gnu.org/archive/html/emacs-devel/2012-06/msg00276.html
11250 2014-02-12 Glenn Morris <rgm@gnu.org>
11252 * icomplete.el (icomplete): Add info-link to defgroup.
11253 (icomplete-with-completion-tables, icomplete-minibuffer-setup-hook)
11254 (icomplete-minibuffer-map, icomplete-mode)
11255 (icomplete-simple-completing-p, icomplete-completions): Doc fixes.
11257 * emacs-lisp/package.el (package-menu-mode-map): Tweak menu.
11258 (package-menu-filter): Rename from package-menu-filter-interactive.
11261 2014-02-11 Juanma Barranquero <lekktu@gmail.com>
11263 * frameset.el (frameset--jump-to-register): Select the required
11264 window and buffer before restoring position (bug#16696).
11266 2014-02-11 Lars Ingebrigtsen <larsi@gnus.org>
11268 * dired.el (dired-get-marked-files): Clarify doc (bug#11534).
11270 2014-02-10 Glenn Morris <rgm@gnu.org>
11272 * jit-lock.el (jit-lock-force-redisplay): Doc fix. (Bug#14394)
11274 2014-02-10 Eli Zaretskii <eliz@gnu.org>
11276 * w32-common-fns.el (x-get-selection): Doc fix.
11277 * select.el (x-get-selection): Doc fix. (Bug#15109)
11279 * face-remap.el (face-remap-add-relative)
11280 (face-remap-remove-relative, face-remap-reset-base)
11281 (face-remap-set-base): Call force-mode-line-update to redisplay
11282 the current buffer due to potential change in faces. (Bug#16709)
11284 2014-02-10 Michael Albinus <michael.albinus@gmx.de>
11286 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Apply heredoc
11287 script more robustly.
11289 2014-02-10 Lars Ingebrigtsen <larsi@gnus.org>
11291 * dired.el (dired-get-marked-files): Doc fix (bug#11534).
11293 * simple.el (choose-completion): Doc fix (bug#14160).
11295 * subr.el (event-start): Say what a nil EVENT value means.
11297 * kmacro.el (kmacro-bind-to-key): Say that the parameter is unused
11300 * progmodes/grep.el (find-program): Doc fix (bug#14289).
11302 * files.el (confirm-kill-emacs): Clarify doc (bug#15455).
11304 * emacs-lisp/lisp.el (up-list): Doc fix (bug#15832).
11306 * files.el (confirm-kill-emacs): Allow specifying an arbitrary
11307 predicate function (bug#15455).
11309 2014-02-10 Dmitry Gutov <dgutov@yandex.ru>
11311 * ielm.el (inferior-emacs-lisp-mode): Instead of
11312 `comment-use-global-state', set `comment-use-syntax'.
11314 2014-02-10 Glenn Morris <rgm@gnu.org>
11316 * emacs-lisp/gulp.el (gulp-discard): Add emacs-devel.
11318 2014-02-09 Alan Mackenzie <acm@muc.de>
11320 Fix c-invalidate-state-cache on narrowed buffers.
11321 * progmodes/cc-defs.el (c-with-all-but-one-cpps-commented-out):
11322 Widen when setting and clearing the CPP delimiter properties.
11324 2014-02-09 Lars Ingebrigtsen <larsi@gnus.org>
11326 * help.el (describe-bindings): Doc fix (bug#9888).
11328 * files.el (save-buffer): Use ARG as the parameter name for
11329 consistency (bug#10346).
11330 (save-buffer): Clarify the 0 argument (bug#10346).
11332 * cus-edit.el (customize-apropos): Fix error string.
11333 (custom-buffer-create): Doc fix (bug#11122).
11334 (custom-sort-items): Doc fix (bug#11121).
11336 * repeat.el (repeat-message-function): Reword doc slightly (bug#11619).
11338 * icomplete.el (icomplete-with-completion-tables): Doc fix (bug#11654).
11339 (icomplete-simple-completing-p): Mention the previous variable.
11341 * font-lock.el (font-lock-value-in-major-mode): Clarify the
11342 meaning of the parameter (bug#12282).
11344 * files.el (find-file-noselect): Clarify prompt when changing
11345 readedness (bug#13261).
11346 (locate-file): Suffixes aren't returned, so don't say that they
11348 (backup-inhibited): Doc clarification (bug#12525).
11350 * dired.el (dired-internal-do-deletions): Don't say "Deleting..."
11351 before we actually start to delete things (bug#16331).
11353 * subr.el (event-start): Doc fix (bug#14228).
11354 (event-end): Ditto.
11356 2014-02-09 Glenn Morris <rgm@gnu.org>
11358 * emacs-lisp/warnings.el (lwarn):
11359 Empower help-enable-auto-load. (Bug#15940)
11361 2014-02-08 Andreas Schwab <schwab@linux-m68k.org>
11363 * vc/log-edit.el (log-edit-comment-to-change-log): Doc fix.
11366 2014-02-08 Michael Albinus <michael.albinus@gmx.de>
11368 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
11369 Insert output at end of buffer. (Bug#16120)
11371 2014-02-08 Lars Ingebrigtsen <larsi@gnus.org>
11373 * simple.el (choose-completion-string-functions): Document new
11374 calling convention (bug#14153).
11375 (execute-extended-command): Clarify doc string (bug#13373).
11377 * kmacro.el (kmacro-exec-ring-item): Doc fix (bug#14198).
11379 * find-dired.el (find-name-dired): Doc fix (bug#14290).
11380 (find-grep-dired): Doc fix (bug#14288).
11382 2014-02-08 Juri Linkov <juri@jurta.org>
11384 * isearch.el (isearch-quote-char): Check character validity
11385 like in `quoted-insert' (bug#16677).
11387 2014-02-08 Lars Ingebrigtsen <larsi@gnus.org>
11389 * files.el (find-file-visit-truename): Doc clarification (bug#14697).
11391 * isearch.el (isearch-hide-immediately): Doc clarification
11394 * simple.el (line-move): Document utility function used many
11395 places in the Emacs sources (bug#14843).
11397 * dired.el (dired-mode-map): Make :help text more accurate (bug#14893).
11398 (dired-prev-marked-file): Doc fix (bug#14855).
11399 (dired-up-directory): Doc fix (bug#14848).
11401 * minibuffer.el (read-file-name): Doc clarification (bug#15096).
11403 * files.el (file-relative-name): Doc fix (bug#15159).
11405 * fringe.el (fringe-styles): Doc fix (bug#15239).
11407 * isearch.el (isearch-filter-predicate): Documentation typo fix
11410 * info-look.el (info-lookup-symbol): Document MODE (bug#15498).
11412 * isearch.el (isearch-cmds): Doc clarification (bug#15547).
11414 * replace.el (replace-match-maybe-edit): Doc clarification
11417 * subr.el (add-to-list): Refill the paragraphs (bug#15791).
11419 * macros.el (insert-kbd-macro): Doc fix (bug#16025).
11421 2014-02-08 Glenn Morris <rgm@gnu.org>
11423 * help-fns.el (describe-variable):
11424 Check {file,dir}-local-variables-alist, and buffer-file-name,
11425 in the correct buffer.
11427 2014-02-08 Ingo Lohmar <i.lohmar@gmail.com>
11429 * help-fns.el (describe-variable): Fix the case where
11430 a value is directory-local with no dir-locals file. (Bug#16635)
11432 2014-02-08 Glenn Morris <rgm@gnu.org>
11434 * abbrev.el (edit-abbrevs-mode):
11435 Derive from fundamental-mode. (Bug#16682)
11437 2014-02-07 Juanma Barranquero <lekktu@gmail.com>
11439 * simple.el (quoted-insert): Check character validity (bug#16677).
11441 2014-02-07 Juri Linkov <juri@jurta.org>
11443 * desktop.el (desktop-read): Claim the lock when the owner is not
11444 the current process. (Bug#16157)
11446 2014-02-07 Juri Linkov <juri@jurta.org>
11448 * desktop.el (desktop-buffers-not-to-save): Change default from nil
11449 to "\\` ". (Bug#16651)
11451 2014-02-07 Juri Linkov <juri@jurta.org>
11453 * desktop.el (desktop-save-mode): Call `desktop-auto-save-set-timer'
11454 when enabling, and `desktop-auto-save-cancel-timer' when disabling.
11455 (desktop-auto-save-cancel-timer): New function with some code from
11456 `desktop-auto-save-set-timer'.
11457 (after-init-hook): Don't call `desktop-auto-save-set-timer'.
11458 Instead of setting `desktop-save-mode' to nil, call
11459 `desktop-save-mode' with arg 0. (Bug#16630)
11461 2014-02-07 Glenn Morris <rgm@gnu.org>
11463 * hi-lock.el (hi-lock-auto-select-face, hi-lock-line-face-buffer)
11464 (hi-lock-face-buffer, hi-lock-face-phrase-buffer)
11465 (hi-lock-face-symbol-at-point, hi-lock-read-face-name): Doc tweaks.
11467 * obsolete/iswitchb.el: Move to obsolete/.
11468 * simple.el (iswitchb-mode): Add manual autoloads to ease transition,
11469 since obsolete/ is not scanned for autoloads.
11470 * emacs-lisp/authors.el (authors-valid-file-names):
11473 * obsolete/meese.el: Restore as obsolete (deleted 2014-01-11).
11474 Disable now non-functional find-file-hook.
11476 2014-02-06 Michael Albinus <michael.albinus@gmx.de>
11478 * net/tramp-sh.el (tramp-sh-handle-start-file-process): Use "&&"
11479 instead of ";" in order to avoid additional prompts. Let heredoc
11480 scripts read from tty. (Bug#16582)
11481 (tramp-send-command): No special handling of heredocs, it isn't
11484 2014-02-06 Stefan Monnier <monnier@iro.umontreal.ca>
11486 * emacs-lisp/lisp.el (lisp-completion-at-point): Symbols don't start
11487 with a space (bug#16664). Limit the symbols considered to the ones
11488 that are bound or fbound (bug#16646).
11490 2014-02-06 Glenn Morris <rgm@gnu.org>
11492 * epa.el (epa-mail-aliases): Doc fix.
11494 2014-02-06 Dmitry Gutov <dgutov@yandex.ru>
11496 * emacs-lisp/lisp.el (lisp-completion-at-point):
11497 Use `completion-table-merge' instead of `completion-table-in-turn'
11500 * minibuffer.el (completion-table-merge): New function.
11502 2014-02-05 Michael Albinus <michael.albinus@gmx.de>
11504 * net/tramp-sh.el (tramp-end-of-heredoc): New defconst.
11505 (tramp-sh-handle-set-file-acl)
11506 (tramp-sh-handle-start-file-process)
11507 (tramp-sh-handle-write-region, tramp-sh-handle-vc-registered)
11508 (tramp-find-executable, tramp-send-command): Use it.
11510 2014-02-05 Glenn Morris <rgm@gnu.org>
11512 * epa.el (epa-mail-aliases): Fix custom type. Doc tweak.
11514 2014-02-04 Fabián Ezequiel Gallina <fgallina@gnu.org>
11516 * progmodes/python.el (python-shell-send-string)
11517 (python-shell-send-string-no-output): Fix docstring (Bug#16547).
11519 2014-02-04 Anders Lindgren <andlind@gmail.com>
11521 * emacs-lisp/ert.el (ert--activate-font-lock-keywords): Allow dashes in
11522 the names (bug#16620).
11524 2014-02-03 Martin Rudalics <rudalics@gmx.at>
11526 * faces.el (window-divider): New default value. Rewrite doc-string.
11527 (window-divider-first-pixel, window-divider-last-pixel): New faces.
11529 2014-02-03 Dmitry Gutov <dgutov@yandex.ru>
11531 * progmodes/ruby-mode.el (ruby-font-lock-keywords): `private',
11532 `protected' and `public' can also be called without arguments.
11534 2014-02-03 Glenn Morris <rgm@gnu.org>
11536 * register.el (window-configuration-to-register)
11537 (frame-configuration-to-register): Unadvertise unused argument.
11538 * frameset.el (frameset-to-register): Remove unused argument.
11540 * frameset.el (frameset-to-register):
11541 * kmacro.el (kmacro-to-register):
11542 * register.el (increment-register):
11543 * calc/calc-yank.el (calc-copy-to-register, calc-insert-register)
11544 (calc-append-to-register, calc-prepend-to-register):
11545 * play/gametree.el (gametree-layout-to-register)
11546 (gametree-apply-register-layout):
11547 * textmodes/picture.el (picture-clear-rectangle-to-register)
11548 (picture-yank-rectangle-from-register):
11549 * vc/emerge.el (emerge-combine-versions-register):
11550 Use register-read-with-preview to read registers.
11552 2014-02-03 João Távora <joaotavora@gmail.com>
11554 * elec-pair.el (electric-pair-backward-delete-char): Don't error
11555 when at beginning of (possibly narrowed) buffer.
11557 2014-02-02 Daniel Colascione <dancol@dancol.org>
11559 * help-at-pt.el (help-at-pt-string, help-at-pt-maybe-display):
11560 Also try to display local help from just before point.
11562 2014-02-02 Alan Mackenzie <acm@muc.de>
11564 c-parse-state. Don't "append-lower-brace-pair" in certain
11565 circumstances. Also fix an obscure bug where "\\s!" shouldn't be
11566 recognised as a comment.
11568 * progmodes/cc-engine.el (c-state-pp-to-literal): Check for "\\s!"
11569 as well as normal comment starter.
11570 (c-parse-state-get-strategy): Extra return possibility
11572 (c-remove-stale-state-cache): Extra element CONS-SEPARATED in
11573 return value list to indicate replacement of a brace-pair cons
11575 (c-parse-state-1): With 'back-and-forward, only call
11576 c-append-lower-brace-pair-to state-cache when cons-separated.
11578 2014-02-02 Jan Djärv <jan.h.d@swipnet.se>
11580 * term/ns-win.el (ns-suspend-error): New function.
11581 (ns-initialize-window-system): Add ns-suspend-error to
11582 suspend-hook (Bug#16612).
11584 2014-02-02 Daniel Colascione <dancol@dancol.org>
11586 * progmodes/cc-defs.el (c-find-assignment-for-mode):
11587 Make loading cc-mode silent.
11589 2014-02-02 Daniel Colascione <dancol@dancol.org>
11591 * comint.el (comint-prompt-read-only): Change doc to suggest
11594 2014-02-02 Glenn Morris <rgm@gnu.org>
11596 * register.el (register-read-with-preview, point-to-register)
11597 (window-configuration-to-register, frame-configuration-to-register)
11598 (jump-to-register, number-to-register, view-register, insert-register)
11599 (copy-to-register, append-to-register, prepend-to-register)
11600 (copy-rectangle-to-register): Doc fixes.
11602 2014-02-02 Stefan Monnier <monnier@iro.umontreal.ca>
11604 * help-fns.el (help-C-file-name): Handle advised functions (bug#16478).
11605 * emacs-lisp/find-func.el (find-function-C-source): Idem.
11606 * emacs-lisp/nadvice.el (advice--cd*r): New function.
11607 * help-fns.el (describe-function-1): Use it.
11609 2014-02-02 Glenn Morris <rgm@gnu.org>
11611 * register.el (register-preview-default): New function,
11612 split from register-preview.
11613 (register-preview-function): Rename from register-preview-functions,
11614 make it not a hook.
11615 (register-preview): Use register-preview-function.
11616 (register-read-with-preview): Error on non-character event. (Bug#16595)
11618 2014-02-01 Dmitry Gutov <dgutov@yandex.ru>
11620 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Check for
11621 `:' before binary operators (bug#16609). Don't check for `:'
11622 before `[' and `(', or their syntax status. A percent literal
11623 can't end with either.
11624 (ruby-font-lock-keywords): For built-ins that require arguments,
11625 check that they're followed by something that looks like argument
11628 2014-02-01 Lars Ingebrigtsen <larsi@gnus.org>
11630 * subr.el (butlast): Document what an omitted N means (bug#13437).
11633 2014-01-31 Lars Ingebrigtsen <larsi@gnus.org>
11635 * net/shr.el (shr-generic): Make into a defsubst to make the stack
11636 depth shallower (bug#16587).
11637 (shr-tag-svg): Respect `shr-inhibit-images'.
11638 (shr-dom-to-xml): Respect `shr-blocked-images' (bug#15882).
11640 2014-01-31 Dmitry Gutov <dgutov@yandex.ru>
11642 * progmodes/ruby-mode.el (ruby-align-chained-calls): New option.
11643 (ruby-smie-grammar): Make "." right-associative. Make its priority
11644 lower than the ternary and all binary operators.
11645 (ruby-smie-rules): Indent "(" relative to the first non-"."
11646 parent, or the first "." parent at indentation.
11647 Use `ruby-align-chained-calls' for indentation of "." tokens.
11650 2014-01-31 Juri Linkov <juri@jurta.org>
11652 * sort.el (delete-duplicate-lines): Remove `:weakness 'key'
11653 from `make-hash-table'.
11655 * textmodes/ispell.el (ispell-init-process): Change message format
11656 to be consistent with other messages.
11658 2014-01-31 Glenn Morris <rgm@gnu.org>
11660 * delsel.el (delete-selection-mode): Doc fix.
11662 * emacs-lisp/trace.el (trace--read-args, trace-function-foreground)
11663 (trace-function-background): Doc fixes.
11665 * ido.el (ido-use-virtual-buffers): Doc fix.
11666 Reset :version, since the default value has not changed.
11668 * register.el (register-preview-delay, register-read-with-preview):
11671 * mail/reporter.el (reporter-dump-variable): In case of void-variable,
11672 do not mess with mail-buffer position (fixes 2009-11-03 change).
11673 * progmodes/cc-mode.el (c-submit-bug-report):
11674 Check auto-fill-mode is bound. (Bug#16592)
11676 2014-01-31 Darren Hoo <darren.hoo@gmail.com>
11678 * startup.el (fancy-splash-image-file): New function,
11679 split from fancy-splash-head.
11680 (fancy-splash-head, use-fancy-splash-screens-p): Use it,
11681 so that we are both using the same image. (Bug#16574)
11683 2014-01-30 Glenn Morris <rgm@gnu.org>
11685 * simple.el (eval-expression): Doc fix.
11687 * hexl.el (hexl-mode-hook):
11688 * ielm.el (ielm-mode-hook):
11689 * emacs-lisp/lisp-mode.el (emacs-lisp-mode-hook)
11690 (lisp-interaction-mode-hook):
11691 * progmodes/cfengine.el (cfengine3-documentation-function):
11692 Replace obsolete alias `turn-on-eldoc-mode' with `eldoc-mode'.
11694 2014-01-30 Stefan Monnier <monnier@iro.umontreal.ca>
11696 * emacs-lisp/eieio-opt.el (eieio-help-generic): Don't assume `generic'
11697 is a symbol (bug#16584).
11699 2014-01-30 Glenn Morris <rgm@gnu.org>
11701 * help.el (help-for-help-internal): Add "P" to text.
11703 2014-01-29 Glenn Morris <rgm@gnu.org>
11705 * simple.el (just-one-space, cycle-spacing): Doc fixes.
11707 2014-01-28 Martin Rudalics <rudalics@gmx.at>
11709 * window.el (fit-frame-to-buffer): Fix calculations for margins and
11710 height constraints.
11712 2014-01-28 Luke Lee <luke.yx.lee@gmail.com>
11714 * progmodes/hideif.el: Extend to full CPP expression syntax.
11715 (hif-token-alist): Add missing tokens.
11716 (hif-token-regexp): Add support for float/octal/hex immediates.
11717 (hif-string-literal-regexp): New const.
11718 (hif-tokenize): Recognize strings and float/octal/hex immediates.
11719 (hif-exprlist): New function.
11720 (hif-parse-if-exp): Use it.
11721 (hif-logior-expr, hif-logxor-expr, hif-logand-expr, hif-comp-expr)
11722 (hif-logshift-expr, hif-muldiv-expr, hif-lognot, hif-shiftleft)
11723 (hif-shiftright, hif-multiply, hif-divide, hif-modulo, hif-equal)
11724 (hif-logxor, hif-comma): New functions.
11726 2014-01-28 Glenn Morris <rgm@gnu.org>
11728 * textmodes/fill.el (fill-single-char-nobreak-p): Doc tweak.
11730 * indent.el (tab-stop-list): Doc fix. Add :version.
11732 * vc/pcvs.el (vc-editable-p, vc-checkout): Remove unused declarations.
11733 (cvs-append-to-ignore): Add compatibility alias.
11735 2014-01-27 Glenn Morris <rgm@gnu.org>
11737 * dired.el (dired-hide-details-mode): Don't autoload it,
11738 since it cannot be used outside Dired buffers anyway.
11740 * emulation/cua-base.el (cua-mode): Doc fix.
11742 * dired.el (dired-hide-details-hide-symlink-targets)
11743 (dired-hide-details-hide-information-lines)
11744 (dired-hide-details-mode): Doc fixes.
11746 * shadowfile.el (shadow-info-file, shadow-todo-file): Doc fix.
11747 * strokes.el (strokes-file): Doc fix. Bump :version.
11748 (strokes-help): Doc fix.
11749 * emulation/viper-init.el (viper-vi-style-in-minibuffer): Doc fix.
11750 * emulation/viper.el (viper): Doc fix for custom group.
11751 (top-level): Remove oh-so-no-longer-relevant text about vip.
11752 * obsolete/otodo-mode.el (todo-prefix): Doc fix.
11754 * ido.el (ido-save-directory-list-file):
11755 * saveplace.el (save-place-file):
11756 * calendar/timeclock.el (timeclock-file):
11757 * net/quickurl.el (quickurl-url-file):
11758 * obsolete/otodo-mode.el (todo-file-do, todo-file-done, todo-file-top):
11759 * progmodes/idlwave.el (idlwave-config-directory):
11760 * textmodes/remember.el (remember-data-file):
11763 2014-01-26 Glenn Morris <rgm@gnu.org>
11765 * progmodes/opascal.el (opascal-tab-always-indents, opascal-tab):
11766 Doc fix. Make obsolete.
11767 (opascal-mode): No longer mention opascal-tab-always-indents in doc.
11769 * sort.el (delete-duplicate-lines): Doc fix.
11771 2014-01-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
11773 * progmodes/ada-mode.el (ada):
11774 * woman.el (woman): Link to info manual and Commentary section.
11776 * progmodes/flymake.el (flymake):
11777 * nxml/nxml-mode.el (nxml):
11778 * net/eww.el (eww):
11779 * speedbar.el (speedbar, speedbar-faces, speedbar-vc):
11780 * htmlfontify.el (htmlfontify):
11783 * ido.el (ido): Link to info manual.
11785 2014-01-25 Leo Liu <sdl.web@gmail.com>
11787 * progmodes/flymake.el (flymake-make-overlay): No rear advance.
11789 2014-01-25 Adam Sjøgren <asjo@koldfront.dk>
11791 * net/shr.el (shr-tag-img): Prefer the title over the alt text
11794 2014-01-24 Juanma Barranquero <lekktu@gmail.com>
11796 * net/eww.el (eww-download-callback):
11797 Fix reference to eww-download-directory.
11799 * emacs-lisp/bytecomp.el (byte-compile-file):
11800 Remove unused local variable `file-name'.
11802 2014-01-24 Glenn Morris <rgm@gnu.org>
11804 * woman.el (woman-default-faces, woman-monochrome-faces):
11805 Fix obsolescence specification.
11807 * subr.el (with-demoted-errors): Doc fix.
11809 2014-01-23 Stefan Monnier <monnier@iro.umontreal.ca>
11811 * emacs-lisp/cl-macs.el: Improve type->predicate mapping (bug#16520).
11812 (cl--macroexp-fboundp): New function.
11813 (cl--make-type-test): Use it.
11815 2014-01-23 Glenn Morris <rgm@gnu.org>
11817 * emacs-lisp/lisp-mode.el (eval-print-last-sexp, eval-last-sexp):
11818 * simple.el (eval-expression): Doc fixes.
11820 2014-01-22 Glenn Morris <rgm@gnu.org>
11822 * emacs-lisp/authors.el (authors-fixed-entries): Addition.
11824 2014-01-22 Stefan Monnier <monnier@iro.umontreal.ca>
11826 * emacs-lisp/package.el: Write files silently.
11827 (package-autoload-ensure-default-file, package--write-file-no-coding)
11828 (package-generate-description-file, package--download-one-archive)
11829 (package-install-from-archive): Tell `write-region' to stay quiet.
11830 (package-menu-mode, package-menu--print-info): Omit the Archive column
11831 if there's only one archive.
11832 (package-all-keywords, package--has-keyword-p): Remove dead code.
11834 2014-01-22 Glenn Morris <rgm@gnu.org>
11836 * version.el (emacs-bzr-version-bzr): Fix typo.
11838 * version.el (emacs-repository-get-version):
11839 Check either .bzr or .git, but not both.
11840 Make the git case actually use the DIR argument, and return nil
11841 rather than the empty string.
11842 Avoid error if .git exists but the git executable is not found.
11844 2014-01-22 Martin Rudalics <rudalics@gmx.at>
11846 Fixes in window size functions around Bug#16430 and Bug#16470.
11847 * window.el (window-total-size, window-size): New argument ROUND.
11848 (window--min-delta-1, window-min-delta, window--max-delta-1):
11849 Be more conservative when calculating the numbers of lines or
11850 columns a window can shrink (Bug#16430).
11851 (fit-window-to-buffer): Simplify code.
11852 * term.el (term-window-width): Call window-body-width again.
11854 2014-01-22 Glenn Morris <rgm@gnu.org>
11856 * image.el (image-format-suffixes): Doc fix.
11858 * international/quail.el (quail-define-package): Doc fix.
11860 * emacs-lisp/authors.el (authors-valid-file-names)
11861 (authors-renamed-files-alist): Additions.
11863 * vc/vc-git.el (vc-git-print-log): Remove --follow;
11864 reverts 2014-01-09 change. (Bug#16422)
11866 * calc/calc-embed.el (thing-at-point-looking-at):
11867 * emacs-lisp/map-ynp.el (x-popup-dialog):
11868 * obsolete/lmenu.el (x-popup-dialog):
11869 * emacs-lisp/package.el (url-recreate-url):
11870 * mail/mailclient.el (clipboard-kill-ring-save):
11871 * subr.el (x-popup-dialog): Update declaration.
11872 * mail/rmail.el (rmail-mime-message-p):
11873 * window.el (tool-bar-lines-needed): Remove unnecessary declaration.
11875 2014-01-21 Daniel Colascione <dancol@dancol.org>
11877 * progmodes/sh-script.el (sh--inside-noncommand-expression):
11878 Correctly detect when we're inside an arithmetic expansion form
11879 containing nested parenthesis.
11880 (sh--maybe-here-document): Use `sh--inside-noncommand-expression'
11881 to detect cases where we shouldn't expand "<<" to a heredoc
11884 2014-01-21 Stefan Monnier <monnier@iro.umontreal.ca>
11886 * emacs-lisp/eldoc.el: Properly remove message in minibuffer case.
11887 (eldoc--message-command-p): New function.
11888 (eldoc-display-message-p): Use it.
11889 (eldoc-pre-command-refresh-echo-area): In the minibuffer case, the
11890 message is not automatically erased for us.
11891 (eldoc-print-current-symbol-info): Erase previous message, if any.
11893 2014-01-21 Tassilo Horn <tsdh@gnu.org>
11895 * textmodes/reftex.el (reftex-create-bibtex-file): Fix autoload to
11896 specify it's an interactive function.
11898 * textmodes/reftex-cite.el (reftex-all-used-citation-keys):
11899 Fix regex used for scanning for citation keys which failed for
11900 citations with optional arguments.
11902 2014-01-21 Leo Liu <sdl.web@gmail.com>
11904 * simple.el (read--expression): Don't enable eldoc-mode.
11906 2014-01-20 Stefan Monnier <monnier@iro.umontreal.ca>
11908 * simple.el (move-beginning-of-line): Make sure we don't move forward
11911 2014-01-20 Juri Linkov <juri@jurta.org>
11913 * saveplace.el (toggle-save-place, save-place-to-alist)
11914 (save-places-to-alist, save-place-dired-hook): Add (derived-mode-p
11915 'dired-mode) before checking for dired-directory. (Bug#16477)
11917 2014-01-20 Juri Linkov <juri@jurta.org>
11919 * indent.el (indent-line-to): Use backward-to-indentation
11920 instead of back-to-indentation. (Bug#16461)
11922 2014-01-20 Paul Eggert <eggert@cs.ucla.edu>
11924 Revert some of the CANNOT_DUMP fix (Bug#16494).
11925 Because of this, "make bootstrap" won't work if CANNOT_DUMP=yes,
11926 but fixing this can wait until after the next release.
11927 * Makefile.in (emacs): Keep EMACSLOADPATH empty.
11929 2014-01-19 Michael Albinus <michael.albinus@gmx.de>
11931 * eshell/esh-mode.el (eshell-password-prompt-regexp):
11932 Use `password-word-equivalents'.
11933 (eshell-watch-for-password-prompt): Let-bind `case-fold-search'
11934 to t. (Bug#5664, Bug#13124)
11936 2014-01-19 Alan Mackenzie <acm@muc.de>
11938 Bind open-paren-in-column-0-is-defun-start to nil at some entry
11940 * progmodes/cc-engine.el (c-invalidate-state-cache-1)
11941 (c-parse-state-1, c-guess-basic-syntax): Bind it here.
11942 * progmodes/cc-mode.el (c-before-change, c-after-change)
11943 (c-font-lock-fontify-region): Bind it here.
11945 2014-01-19 Martin Rudalics <rudalics@gmx.at>
11947 * term.el (term-window-width): Call window-text-width instead of
11948 window-width (Bug#16470).
11950 2014-01-18 Paul Eggert <eggert@cs.ucla.edu>
11952 * simple.el (password-word-equivalents): Remove duplicates.
11953 Sort, to make this easier next time.
11954 Downcase. Omit ": " after "jelszó".
11956 2014-01-18 Jan Djärv <jan.h.d@swipnet.se>
11958 * term/common-win.el (saved-region-selection): Defvar it.
11959 (x-select-text): Set saved-region-selection (Bug#16382).
11961 2014-01-18 Glenn Morris <rgm@gnu.org>
11963 * emacs-lisp/authors.el (authors-aliases)
11964 (authors-renamed-files-alist): Add some entries.
11966 2014-01-17 Michael Albinus <michael.albinus@gmx.de>
11968 * net/tramp.el (tramp-password-prompt-regexp):
11969 Use `password-word-equivalents' if available.
11970 (tramp-action-password, tramp-process-one-action)
11971 (tramp-read-passwd): Let-bind `case-fold-search' to t. (Bug#13124)
11973 2014-01-17 Chong Yidong <cyd@gnu.org>
11975 * simple.el (password-word-equivalents): New defcustom.
11976 * comint.el (comint-password-prompt-regexp): Use it. Bump version
11978 (comint-watch-for-password-prompt): Let-bind `case-fold-search'
11981 2014-01-17 Dmitry Gutov <dgutov@yandex.ru>
11983 * progmodes/ruby-mode.el (ruby-alignable-keywords): New constant.
11984 (ruby-align-to-stmt-keywords): Change the default value.
11985 Use `ruby-alignable-keywords' to generate the possible customization
11987 (ruby-smie-rules): Instead of using a hardcoded list of alignable
11988 keywords, check against the value of `ruby-alignable-keywords'
11989 (http://lists.gnu.org/archive/html/emacs-devel/2014-01/msg01439.html).
11991 2014-01-17 Glenn Morris <rgm@gnu.org>
11993 * emacs-lisp/authors.el (authors-aliases): Remove unnecessary entries.
11995 Make M-x authors return zero *Authors Errors* from current logs.
11996 * emacs-lisp/authors.el (authors-obsolete-files-regexps)
11997 (authors-ignored-files): Add some entries, remove others.
11998 (authors-ambiguous-files, authors-valid-file-names):
12000 (authors-renamed-files-alist): Add, remove, and adjust entries.
12001 (authors-renamed-files-regexps): Add some entries.
12002 Remove some very broad ones. Make some entries `lax'.
12003 (authors-lax-changelogs): New constant.
12004 (authors-disambiguate-file-name): Treat top-level specially.
12005 (authors-lax-changelog-p): New function.
12006 (authors-canonical-file-name): Check file as written against
12007 authors-valid-file-names. Do not special-case etc/.
12008 Handle `lax' logs and authors-renamed-files-regexps elements.
12010 2014-01-16 Dmitry Gutov <dgutov@yandex.ru>
12012 * emacs-lisp/package.el (package-desc--keywords): Use `cdr' with
12013 `assoc'. Use `nth' instead of `cdr'. Make private. Update all
12016 2014-01-16 Stefan Monnier <monnier@iro.umontreal.ca>
12018 * follow.el (follow-adjust-window): Remove `dest' argument (bug#16426).
12019 Assume we're already in the proper buffer.
12020 Inspired by Anders Lindgren <andlind@gmail.com>.
12021 (follow-post-command-hook): Call it from the right buffer.
12022 (follow-comint-scroll-to-bottom): Adjust call.
12023 (follow-all-followers): Use get-buffer-window-list.
12025 2014-01-15 Daniel Colascione <dancol@dancol.org>
12027 * emacs-lisp/bytecomp.el (byte-compile-file): Use whole
12028 `buffer-file-name' in interactive-form so that we don't leave
12029 pathless file names in `file-name-history'.
12031 2014-01-15 Juri Linkov <juri@jurta.org>
12033 * indent.el (indent-rigidly): Set deactivate-mark to nil
12034 in transient indentation mode. (Bug#16438)
12036 2014-01-15 Dmitry Gutov <dgutov@yandex.ru>
12038 * emacs-lisp/package.el (package-desc-keywords): New function
12040 (describe-package-1, package-all-keywords)
12041 (package--has-keyword-p): Use it.
12043 2014-01-14 Nicolas Richard <theonewiththeevillook@yahoo.fr>
12045 * simple.el (define-alternatives): When creating the
12046 COMMAND-alternatives variable, assign COMMAND as its definition
12047 name so that `describe-variable' can relocate it.
12049 2014-01-14 Matthew Leach <matthew@mattleach.net>
12051 * font-lock.el (font-lock-keywords): Fix typo in docstring
12054 2014-01-14 Agustín Martín Domingo <agustin.martin@hispalinux.es>
12056 * textmodes/ispell.el (ispell-region): Reset `in-comment' for new
12057 line instead of wrongly reset `add-coment' (bug#13577).
12059 2014-01-14 Daiki Ueno <ueno@gnu.org>
12061 * epa-file.el (epa-file-write-region): Encode the region according
12062 to `buffer-file-format'. Problem reported at:
12063 <http://sourceforge.jp/ticket/browse.php?group_id=2267&tid=32917>.
12065 2014-01-14 Stefan Monnier <monnier@iro.umontreal.ca>
12067 * emacs-lisp/edebug.el (edebug--display): Move protective let-binding
12068 so it applies in the right buffer (bug#16410).
12070 2014-01-13 Daniel Colascione <dancol@dancol.org>
12072 * textmodes/rst.el (rst-define-key): Provide deprecated
12073 keybindings through named functions instead of anonymous ones so
12074 that "??" doesn't appear in describe-mode output.
12076 2014-01-13 Bastien Guerry <bzg@gnu.org>
12078 * simple.el (define-alternatives): Call the selected command
12079 interactively. When setting `COMMAND--implementation' for the
12080 first time, tell the user how to chose another implementation.
12081 Enhance the docstring.
12083 2014-01-13 Stefan Monnier <monnier@iro.umontreal.ca>
12085 * vc/log-edit.el: Fix highlighting of summary when it's the first line.
12086 (log-edit--match-first-line): New function.
12087 (log-edit-font-lock-keywords): Use it.
12088 (log-edit-mode): Make jit-lock-defer-multiline work.
12090 2014-01-13 Bastien Guerry <bzg@gnu.org>
12092 * rect.el (rectangle-mark-mode): When the region is not active,
12093 display a message saying that the mark as been set and that
12094 rectangle mode is in use.
12095 (rectangle--highlight-for-redisplay): Only put an overlay with a
12096 visible vertical bar when (display-graphic-p) is non-nil.
12097 This partially fixes Bug#16403.
12099 2014-01-13 Juri Linkov <juri@jurta.org>
12101 * info.el (Info-find-file): Go to DIR before displaying the error
12102 about a nonexistent file if no previous Info file is visited.
12103 Use `user-error' instead of `error' for "Info file %s does not exist".
12104 (Info-find-node-2): In case of a nonexistent node in unwind forms
12105 go to the Top node if there is no previous node to revert to.
12108 2014-01-13 Martin Rudalics <rudalics@gmx.at>
12110 fit-frame/window-to-buffer code fixes including one for Bug#14096.
12111 * window.el (fit-frame-to-buffer): Fix doc-string.
12112 Respect window-min-height/-width. Fit pixelwise when
12113 frame-resize-pixelwise is non-nil. Adjust right/bottom edge
12114 when avoiding that frame goes partially off-screen.
12115 (fit-window-to-buffer): Respect window-min-height/-width
12118 2014-01-13 Stefan Monnier <monnier@iro.umontreal.ca>
12120 * indent.el (indent-according-to-mode): Flush to column 0 in text-mode
12121 after an empty line.
12123 2014-01-12 Stefan Monnier <monnier@iro.umontreal.ca>
12125 * net/shr.el (shr-render-region): Autoload.
12127 2014-01-12 Xue Fuqiao <xfq.free@gmail.com>
12129 * net/eww.el (eww-download-directory): Rename from
12130 `eww-download-path' (Bug#16419).
12132 2014-01-12 Leo Liu <sdl.web@gmail.com>
12134 * dired-x.el (dired-mode-map): Fix last change.
12136 * emacs-lisp/eldoc.el (eldoc-mode): Add hook locally.
12138 2014-01-12 Paul Eggert <eggert@cs.ucla.edu>
12141 * emacs-lisp/generic.el (generic--normalize-comments):
12142 Rename from generic--normalise-comments. All uses changed.
12143 * play/bubbles.el (bubbles--neighborhood-score)
12144 (bubbles--mark-direct-neighbors, bubbles--mark-neighborhood)
12145 (bubbles--neighborhood-available)
12146 (bubbles--update-neighborhood-score):
12147 Rename from names with 'neighbourhood'. All uses changed.
12149 2014-01-12 Leo Liu <sdl.web@gmail.com>
12151 Re-implement the feature of showing eldoc info after editing.
12152 * emacs-lisp/eldoc.el (eldoc-post-insert-mode): Remove.
12153 (eldoc-edit-message-commands): New function.
12154 (eldoc-print-after-edit): New variable.
12155 (eldoc-pre-command-refresh-echo-area): Emit message only by
12156 eldoc-message-commands.
12157 (eldoc-mode): Restrict eldoc-message-commands to editing commands
12158 if eldoc-print-after-edit is set. (Bug#16346)
12159 * simple.el (read--expression): Enable eldoc-mode.
12160 * progmodes/octave.el (octave-mode-menu): Adapt to change in eldoc.
12162 2014-01-11 Dani Moncayo <dmoncayo@gmail.com>
12163 Eric S. Raymond <esr@thyrsus.com>
12165 * version.el (emacs-repository-get-version): Enhance so the
12166 function works correctly in either a Bazaar or Git repo.
12168 2014-01-11 Eric S. Raymond <esr@thyrsus.com>
12170 * play/meese.el: It's 2014 and Ed Meese is justly forgotten.
12171 Goes with removal of the joke manpages from /etc.
12173 2014-01-10 Kenichi Handa <handa@gnu.org>
12175 * mail/rmail.el (rmail-get-coding-system):
12176 Check rmail-get-coding-function before "funcall"ing it.
12178 2014-01-10 Glenn Morris <rgm@gnu.org>
12180 * emacs-lisp/authors.el (authors-fixed-entries):
12181 Update for files that no longer exist.
12183 2014-01-10 Eric S. Raymond <esr@thyrsus.com>
12185 * version.el (emacs-bzr-get-version): Restore compatibilty with
12188 2014-01-10 Bozhidar Batsov <bozhidar@batsov.com>
12190 * progmodes/ruby-mode.el (auto-mode-alist): Add .podspec
12193 2014-01-10 Eli Zaretskii <eliz@gnu.org>
12195 * emacs-lisp/authors.el (authors-fixed-entries): Update my entry.
12197 2014-01-10 Chong Yidong <cyd@gnu.org>
12199 * progmodes/octave.el (octave-mode-menu): Don't assume eldoc is loaded.
12201 2014-01-10 Anders Lindgren <andlind@gmail.com>
12203 * follow.el (follow-cache-command-list): Include right-char and
12206 2014-01-10 Paul Eggert <eggert@cs.ucla.edu>
12209 * mail/unrmail.el (unrmail-mbox-format): Choice is mboxo, not mboxro.
12210 * woman.el (woman-mark-horizontal-position):
12211 Rename from woman-mark-horizonal-position. Use changed.
12213 2014-01-10 Glenn Morris <rgm@gnu.org>
12215 * info.el (info-initialize): If running uninstalled, ensure our
12216 own info files are always found first, even if INFOPATH is set.
12218 * help.el (view-order-manuals): Open emacs.info rather than ORDERS.
12220 2014-01-09 David Engster <deng@randomsample.de>
12222 * emacs-lisp/eieio-custom.el:
12223 * emacs-lisp/eieio-opt.el: Set generated autoload file to
12224 'eieio.el'. This was accidentally removed in 2012-10-01T18:10:29Z!cyd@gnu.org.
12225 * emacs-lisp/eieio.el: Regenerate autoloads.
12227 2014-01-09 Eric S. Raymond <esr@thyrsus.com>
12229 * vc/vc-git.el (vc-git-print-log): Add --follow option to command,
12230 following renames. (Bug#8756)
12232 2014-01-09 Stefan Monnier <monnier@iro.umontreal.ca>
12234 * simple.el (deactivate-mark, activate-mark): Force-mode-line-update
12236 (activate-mark): Add `no-tmm' argument.
12237 (set-mark, push-mark-command): Use it instead of running
12238 activate-mark-hook by hand.
12240 2014-01-08 Eric S. Raymond <esr@thyrsus.com>
12242 In preparation for the move to git, sanitize out some
12243 Bazaar-specific names.
12245 * emacs-lisp/authors.el: INSTALL.BZR renamed to INSTALL.REPO.
12247 * version.el (emacs-bzr-version): Name changed to
12248 emacs-repository-version. Obsolete-variable alias made.
12249 * loadup.el: Follow through on this name change.
12250 * mail/emacsbug.el (report-emacs-bug): Factor out any
12251 assumption about the version control system in use.
12253 2014-01-08 David Engster <deng@randomsample.de>
12255 * help-fns.el (help-fns-describe-function-functions):
12256 New variable to call functions for augmenting help buffers.
12257 (describe-function-1): Remove explicit calls to
12258 `help-fns--compiler-macro', `help-fns--parent-mode' and
12259 `help-fns--obsolete'. Put them in above new variable instead, and
12260 call them through `run-hook-with-args'.
12261 * emacs-lisp/eieio-opt.el (eieio-help-class): Rename from
12262 `eieio-describe-class'. Not meant for interactive use anymore,
12263 but to augment existing help buffers. Remove optional second
12264 argument. Create proper button for file location.
12265 Rewrite function to use `insert' instead of `princ' and `prin1' where
12267 (eieio-help-class-slots): Rename from `eieio-describe-class-slots'.
12268 (eieio-method-def, eieio-class-def): Move further up.
12269 (describe-method, describe-generic, eieio-describe-method):
12271 (eieio-help-constructor, eieio-help-generic): Rename from
12272 `eieio-describe-constructor' and `eieio-describe-generic', resp.
12273 Rewrite to use `insert' in the current buffer and use proper help
12275 (eieio-help-find-method-definition)
12276 (eieio-help-find-class-definition): Also accept symbols as
12278 (eieio-help-mode-augmentation-maybee): Remove.
12279 (eieio-describe-class-sb): Use `describe-function'.
12280 * emacs-lisp/eieio.el (help-fns-describe-function-functions):
12281 Add `eieio-help-generic' and `eieio-help-constructor'.
12283 2014-01-08 Paul Eggert <eggert@cs.ucla.edu>
12286 * language/china-util.el (hz-ascii-designation):
12287 Rename from hz-ascii-designnation.
12288 (hz-ascii-designation): Rename from hz-ascii-designnation.
12291 2014-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
12293 * emacs-lisp/package.el (package-delete): Only remove pkg-desc from
12296 2014-01-08 Bastien Guerry <bzg@gnu.org>
12298 * emacs-lisp/package.el (package-delete):
12299 Correctly delete the package from package-alist.
12301 2014-01-08 Daiki Ueno <ueno@gnu.org>
12303 * emacs-lisp/package.el (url-recreate-url): Declare.
12304 (url-http-target-url): Declare.
12305 (package-handle-response): Include requested URL in the error message.
12306 (package--check-signature): Don't re-signal errors from
12307 package--with-work-buffer. Suggested by Stefan Monnier.
12309 2014-01-07 Bastien Guerry <bzg@gnu.org>
12311 * minibuffer.el (completion--try-word-completion): When both a
12312 hyphen and a space are possible candidates for the character
12313 following a word, display both candidates. (Bug#15980)
12315 2014-01-07 Martin Rudalics <rudalics@gmx.at>
12317 * window.el (balance-windows-2): While rounding don't give a
12318 window more than the remainder. Bug#16351, bug#16383.
12320 2014-01-07 Glenn Morris <rgm@gnu.org>
12322 * menu-bar.el (menu-bar-help-extra-packages): Remove.
12323 (menu-bar-help-menu): Use view-external-packages instead.
12325 2014-01-07 Bastien Guerry <bzg@gnu.org>
12327 * emacs-lisp/package.el (package-delete): Also delete the package
12328 name from `package-alist', not its description only.
12330 2014-01-07 Glenn Morris <rgm@gnu.org>
12332 * help.el (view-external-packages):
12333 * menu-bar.el (menu-bar-help-extra-packages):
12334 Visit efaq.info rather than etc/MORE.STUFF.
12336 2014-01-07 Juri Linkov <juri@jurta.org>
12338 * isearch.el (isearch-mode-map): Bind [return] and [backspace] to
12339 isearch-exit and isearch-delete-char resp. (Bug#16342, bug#16035)
12341 * progmodes/ps-mode.el (ps-mode-map): Remove [return] key binding
12342 that shadows RET. (Bug#16342)
12344 2014-01-07 Chong Yidong <cyd@gnu.org>
12346 * isearch.el (isearch-yank-char, isearch-yank-word)
12347 (isearch-yank-line): Doc fix.
12349 2014-01-06 Stefan Monnier <monnier@iro.umontreal.ca>
12351 * abbrev.el (define-abbrev): Beware new meaning of fboundp.
12352 * emacs-lisp/elint.el (elint-find-builtins):
12353 * emacs-lisp/eldoc.el (eldoc-symbol-function):
12354 * emacs-lisp/bytecomp.el (byte-compile-callargs-warn)
12355 (byte-compile-file-form-defmumble, byte-compile, byte-compile-form):
12356 * emacs-lisp/byte-opt.el (byte-compile-inline-expand):
12357 * apropos.el (apropos-safe-documentation):
12358 * subr.el (symbol-file): Remove redundant fboundp.
12359 * progmodes/idlw-shell.el (idlwave-shell-comint-filter): Use defalias.
12361 2014-01-06 Bastien Guerry <bzg@gnu.org>
12363 * hl-line.el (global-hl-line-overlay): Make a local variable.
12364 (global-hl-line-overlays): New variable to store all overlays.
12365 (global-hl-line-mode): Don't delete overlays from the current
12366 buffer when `global-hl-line-sticky-flag' is non-nil.
12367 (global-hl-line-highlight): Add new overlays to
12368 `global-hl-line-overlays'.
12369 (global-hl-line-unhighlight-all): New function to delete all
12370 overlays when turning off `global-hl-line-mode'.
12371 This fixes Bug#16183.
12373 2014-01-06 Stefan Monnier <monnier@iro.umontreal.ca>
12375 * subr.el (set-transient-map): Fix nested case and docstring.
12377 2014-01-06 Tassilo Horn <tsdh@gnu.org>
12379 * textmodes/reftex-vars.el (reftex-label-alist-builtin): Add a
12382 2014-01-06 Daniel Colascione <dancol@dancol.org>
12384 Fix defun navigation in vc log view.
12386 * vc/log-view.el (log-view-beginning-of-defun): Rewrite to behave
12387 like `beginning-of-defun'.
12388 (log-view-end-of-defun, log-view-end-of-defun-1): Rename old
12389 log-view-end-of-defun to log-view-end-of-defun-1. Replace
12390 log-view-end-of-defun with wrapper that behaves like `end-of-defun'.
12391 (log-view-extract-comment): Call `log-view-current-entry' directly
12392 instead of relying on broken `log-view-beginning-of-defun' behavior.
12394 2014-01-06 Paul Eggert <eggert@cs.ucla.edu>
12397 * calc/calc-yank.el (calc-edit-mode, calc-edit-cancel):
12398 * emacs-lisp/debug.el (cancel-debug-on-entry):
12399 * epg.el (epg-error-to-string):
12400 * files.el (recover-file):
12401 * lpr.el (lpr-buffer, print-buffer, lpr-region, print-region):
12402 * mail/emacsbug.el (report-emacs-bug-hook):
12403 * mail/sendmail.el (mail-recover):
12404 * ses.el (ses-yank-resize):
12405 * term/ns-win.el (ns-print-buffer):
12406 Spelling fixes in diagnostics, mostly for "canceled" with one L.
12407 * epg.el (epg-key-capability-alist): Rename from misspelled version.
12409 * obsolete/xesam.el (xesam-all-fields): Fix misspelled field name.
12411 2014-01-06 Leo Liu <sdl.web@gmail.com>
12413 * dired-x.el (dired-mode-map): Rebind dired-omit-mode to C-x M-o
12414 to avoid shadowing global key. (Bug#16354)
12416 2014-01-06 Daniel Colascione <dancol@dancol.org>
12418 * textmodes/rst.el (rst-mode): Set electric-indent-inhibit for
12421 2014-01-05 Martin Rudalics <rudalics@gmx.at>
12423 * window.el (balance-windows): Add mising t to fix Bug#16351.
12425 2014-01-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
12427 * net/shr.el (shr-descend): Don't bug out if the anchor is empty
12429 (shr-insert): If we have a word that's longer than `shr-width',
12430 break after it anyway. Otherwise we'll do no breaking once we get
12433 2014-01-05 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
12435 * net/eww.el (eww): Support single/double quote for search.
12436 * net/eww.el (eww-list-histories, eww-history-browse): Fixup.
12437 (eww-history-quit): Delete and use quit-window.
12438 (eww-history-kill): Delete, because it doesn't work well and
12440 (eww-history-mode-map): Delete some keys and add easy-menu.
12442 2014-01-05 Paul Eggert <eggert@cs.ucla.edu>
12444 Fix misspelling of 'chinese' in rx (Bug#16237).
12445 * emacs-lisp/rx.el (rx-categories): Correct spelling of
12448 Change subword regexps back to vars (Bug#16296).
12449 * progmodes/subword.el (subword-forward-regexp)
12450 (subword-backward-regexp): Change these back to variables.
12452 2014-01-03 Stefan Monnier <monnier@iro.umontreal.ca>
12454 * emacs-lisp/lisp-mode.el (lisp-mode-variables): Don't bother with
12455 syntax-begin-function (bug#16247).
12457 2014-01-03 Chong Yidong <cyd@gnu.org>
12459 * emacs-lisp/nadvice.el (advice--make-docstring): Change args.
12460 (advice--docstring): Delete variable.
12461 (advice--make-1): Leave the docstring empty.
12462 (advice-add): Use function-documentation for advised docstring.
12464 * emacs-lisp/advice.el (ad--make-advised-docstring): Change args.
12465 Ignore function-documentation property when getting documentation.
12466 (ad-activate-advised-definition): Use function-documentation
12467 generate the docstring.
12468 (ad-make-advised-definition): Don't call
12469 ad-make-advised-definition-docstring.
12470 (ad-make-advised-definition-docstring, ad-advised-definition-p):
12473 * progmodes/sql.el (sql-help): Use function-documentation instead
12474 of dynamic-docstring-function property. No need to autoload now.
12475 (sql--help-docstring): New variable.
12476 (sql--make-help-docstring): Use it.
12478 2014-01-03 Stefan Monnier <monnier@iro.umontreal.ca>
12480 * ielm.el (ielm-tab): Retarget.
12481 (ielm-map): Use ielm-tab for tab.
12482 (ielm-complete-filename): Use comint-filename-completion.
12483 (ielm-complete-symbol): Remove.
12484 (inferior-emacs-lisp-mode): Use lisp-completion-at-point instead and
12485 remove ielm-tab from completion-at-point-functions (bug#16224).
12487 * emacs-lisp/pcase.el (pcase--split-equal, pcase--split-member):
12488 Beware signals raised by predicates (bug#16201).
12490 2014-01-02 Richard Stallman <rms@gnu.org>
12492 * dired-aux.el (dired-do-print): Handle printer-name.
12494 * mail/rmailmm.el (rmail-mime-message-p): Move to rmail.el.
12495 * mail/rmail.el (rmail-mime-message-p): Move from rmailmm.el.
12496 (rmail-epa-decrypt): Turn off mime processing.
12498 * mail/rmail.el (rmail-make-in-reply-to-field):
12499 Add parens in message-id.
12501 * mail/rmail.el (rmail-get-coding-function): Variable.
12502 (rmail-get-coding-system): Use it.
12504 2013-12-31 Eli Zaretskii <eliz@gnu.org>
12506 * international/mule-conf.el: Unify the charset indian-is13194.
12507 (indian-is13194): Specify unify-map.
12509 2013-12-31 Leo Liu <sdl.web@gmail.com>
12511 * subr.el (set-temporary-overlay-map): Obsolete alias. (Bug#16305)
12513 2013-12-30 Daniel Colascione <dancol@dancol.org>
12515 * term/x-win.el ([XF86WakeUp]): Ignore the XF86WakeUp key instead
12516 of printing a useless when we resume from sleep.
12518 * progmodes/sh-script.el
12519 (sh-smie-sh-forward-token, sh-smie-rc-forward-token): Fix infloop
12520 in indentation code. (Bug#16233)
12522 2013-12-28 João Távora <joaotavora@gmail.com>
12524 * elec-pair.el (electric-pair-post-self-insert-function):
12525 Don't open extra newlines at beginning of buffer. (Bug#16272)
12527 2013-12-28 Eli Zaretskii <eliz@gnu.org>
12529 * frame.el (window-system-for-display): Don't allow to create a
12530 GUI frame from a -nw session on MS-Windows. (Bug#14739)
12532 2013-12-28 Glenn Morris <rgm@gnu.org>
12534 * mail/hashcash.el (hashcash-program): Rename from hashcash-path.
12537 * apropos.el (apropos-match-face):
12538 * calculator.el (calculator-displayer):
12539 * dabbrev.el (dabbrev-search-these-buffers-only):
12540 * face-remap.el (buffer-face-mode-face):
12541 * simple.el (yank-handled-properties):
12542 * emacs-lisp/testcover.el (testcover-potentially-1value-functions):
12543 * mail/footnote.el (footnote-mode-line-string, footnote-prefix):
12544 * mail/hashcash.el (hashcash-accept-resources, hashcash-program)
12545 (hashcash-double-spend-database):
12546 * progmodes/ruby-mode.el (ruby-deep-indent-paren)
12547 (ruby-deep-indent-paren-style):
12548 * textmodes/flyspell.el (flyspell-auto-correct-binding):
12549 * textmodes/rst.el (rst-toc-indent, rst-toc-insert-style)
12550 (rst-toc-insert-number-separator, rst-toc-insert-max-level):
12551 * vc/pcvs-defs.el (cvs-minor-mode-prefix):
12552 Specify custom types.
12554 * emacs-lisp/smie.el (smie-config): Add type, version, initialize.
12555 * bookmark.el (bookmark-bmenu-use-header-line):
12556 * doc-view.el (doc-view-scale-internally):
12557 * pcmpl-x.el (pcmpl-x-tlmgr-program, pcmpl-x-ack-program):
12558 * register.el (register-preview-delay):
12559 * net/shr.el (shr-bullet):
12560 * progmodes/cfengine.el (cfengine-cf-promises)
12561 (cfengine-parameters-indent):
12562 * progmodes/octave.el (inferior-octave-error-regexp-alist):
12563 * textmodes/reftex-vars.el (reftex-label-regexps):
12564 * vc/log-edit.el (log-edit-setup-add-author): Add version.
12566 * net/tls.el (tls-certtool-program): Fix default value.
12568 * desktop.el (desktop-restore-in-current-display):
12569 * newcomment.el (comment-empty-lines):
12570 * progmodes/idlwave.el (idlwave-scan-all-buffers-for-routine-info)
12571 (idlwave-pad-keyword):
12572 * progmodes/tcl.el (tcl-tab-always-indent):
12573 * textmodes/reftex-vars.el (reftex-index-default-tag):
12574 * elec-pair.el (electric-pair-skip-whitespace):
12575 * progmodes/cfengine.el (cfengine-cf-promises): Fix custom types.
12577 * emacs-lisp/authors.el (authors-ignored-files)
12578 (authors-valid-file-names, authors-renamed-files-alist): Additions.
12580 2013-12-27 Jarek Czekalski <jarekczek@poczta.onet.pl>
12582 * shell.el (shell-dynamic-complete-command): Doc fix.
12583 (shell--command-completion-data): Shell completion now matches
12584 executable filenames from the current buffer's directory, on
12585 systems in which this behavior is the default (windows-nt, ms-dos).
12587 2013-12-27 Lars Ingebrigtsen <larsi@gnus.org>
12589 * net/shr.el (shr-insert): Don't infloop if the width is zero.
12591 2013-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
12593 * icomplete.el (icomplete-show-matches-on-no-input): Default to nil
12596 * electric.el: Move all electric-pair-* to elec-pair.el.
12597 * elec-pair.el: New file, split from electric.el.
12599 2013-12-27 Lars Ingebrigtsen <larsi@gnus.org>
12601 * net/shr.el (shr-find-fill-point): Don't try to fill if the
12602 indentation level is larger than the width, because that will
12604 (shr-insert): Fill repeatedly long texts, so that Japanese is
12605 formatted correctly (bug#16263).
12606 (shr-find-fill-point): Off by one error in comparison with the
12609 2013-12-26 João Távora <joaotavora@gmail.com>
12611 * electric.el (electric-pair-mode): More flexible engine for skip-
12612 and inhibit predicates, new options for pairing-related functionality.
12613 (electric-pair-preserve-balance): Pair/skip parentheses and quotes
12614 if that keeps or improves their balance in buffers.
12615 (electric-pair-delete-adjacent-pairs): Delete the pair when
12616 backspacing over adjacent matched delimiters.
12617 (electric-pair-open-extra-newline): Open extra newline when
12618 inserting newlines between adjacent matched delimiters.
12619 (electric--sort-post-self-insertion-hook):
12620 Sort post-self-insert-hook according to priority values when
12621 minor-modes are activated.
12622 * simple.el (newline-and-indent): Call newline with interactive
12624 (blink-paren-post-self-insert-function): Set priority to 100.
12625 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
12626 Use electric-pair-text-pairs to pair backtick-and-quote in strings and
12627 comments. Locally set electric-pair-skip-whitespace to 'chomp and
12628 electric-pair-open-newline-between-pairs to nil.
12630 2013-12-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
12632 * progmodes/python.el: Use lexical-binding.
12633 (python-nav-beginning-of-defun): Stop searching ASAP.
12635 2013-12-25 Xue Fuqiao <xfq.free@gmail.com>
12637 * vc/vc.el (vc-ignore): Use `vc-responsible-backend'.
12638 Fix interactive spec. Doc fix. (Bug#15754)
12640 2013-12-25 Katsumi Yamaoka <yamaoka@jpl.org>
12642 * emacs-lisp/byte-run.el (eval-when-compile):
12643 * progmodes/cc-defs.el (cc-eval-when-compile):
12644 Fix edebug spec (bug#16184).
12646 2013-12-25 Lars Ingebrigtsen <larsi@gnus.org>
12648 * net/shr.el (shr-visit-file): Remove debugging function.
12649 (shr-insert): Don't infloop if we can't find a good place to break
12650 the line (bug#16256).
12652 2013-12-25 Fabián Ezequiel Gallina <fgallina@gnu.org>
12654 * progmodes/python.el (python-nav--lisp-forward-sexp): New function.
12655 (python-nav--lisp-forward-sexp-safe): Use it. Rename from
12656 python-nav-lisp-forward-sexp-safe.
12657 (python-nav--forward-sexp): New argument SAFE allows switching
12658 forward sexp movement behavior for parens.
12659 (python-nav-forward-sexp): Throw errors on unterminated parens
12661 (python-nav-backward-sexp, python-nav-forward-sexp-safe)
12662 (python-nav-backward-sexp-safe): New functions.
12663 (python-shell-buffer-substring):
12664 Use `python-nav-forward-sexp-safe'.
12666 2013-12-25 Lars Ingebrigtsen <larsi@gnus.org>
12668 * net/shr.el (shr-find-fill-point): Don't break lines before a
12670 (shr-char-kinsoku-bol-p): The quotation mark isn't a kinsoky BOL char.
12671 (shr-find-fill-point): Remove the special checks for the quotation
12672 mark, since `shr-char-kinsoku-bol-p' should now return the right thing.
12674 2013-12-25 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
12676 * net/eww.el (eww-form-textarea): Use a different face for
12677 textareas than text input since they have different keymaps
12680 2013-12-24 Fabián Ezequiel Gallina <fgallina@gnu.org>
12682 * progmodes/python.el (python-nav-beginning-of-statement):
12683 Speed up (Bug#15295).
12685 2013-12-24 Lars Ingebrigtsen <larsi@gnus.org>
12687 * net/eww.el (eww-bookmark-browse): Use `quit-window' to restore
12688 the window configuration.
12690 2013-12-24 Eli Zaretskii <eliz@gnu.org>
12692 * net/eww.el (eww-open-file): Ensure 3 slashes after "file:" when
12693 we run on MS-Windows or MS-DOS.
12695 2013-12-24 Martin Rudalics <rudalics@gmx.at>
12697 * window.el (balance-windows-area): Call window-size instead of
12698 window-height and window-width. Bug#16241.
12700 2013-12-24 Lars Ingebrigtsen <larsi@gnus.org>
12702 * net/eww.el (eww-bookmark-quit): Remove.
12703 (eww-bookmark-browse): Restore the window configuration when you
12704 choose a bookmark (bug#16144).
12706 2013-12-24 Daniel Colascione <dancol@dancol.org>
12708 * icomplete.el: Remove redundant :group arguments to `defcustom'
12710 (icomplete-show-matches-on-no-input): New customizable variable.
12711 (icomplete-minibuffer-setup): Call `icomplete-exhibit' on setup if
12712 we have something to show.
12713 (icomplete-exhibit): Compute completions even if we have no user input.
12715 2013-12-23 Daniel Colascione <dancol@dancol.org>
12717 * icomplete.el: Move `provide' to end of file.
12719 2013-12-23 Teodor Zlatanov <tzz@lifelogs.com>
12721 * net/gnutls.el (gnutls-verify-error): Add version tag.
12723 2013-12-23 Chong Yidong <cyd@gnu.org>
12725 * subr.el (set-transient-map): Rename from
12726 set-temporary-overlay-map. Doc fix.
12728 * face-remap.el (text-scale-adjust):
12729 * indent.el (indent-rigidly):
12730 * kmacro.el (kmacro-call-macro):
12731 * minibuffer.el (minibuffer-force-complete):
12732 * repeat.el (repeat):
12733 * simple.el (universal-argument--mode):
12734 * calendar/todo-mode.el (todo-insert-item--next-param):
12735 * progmodes/f90.el (f90-abbrev-start): Callers changed.
12737 * indent.el (indent-rigidly): Use substitute-command-keys.
12739 2013-12-22 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
12741 * net/eww.el (eww-tag-select): Add text-property to jump to next
12743 (eww): Add non-supported ftp error.
12745 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
12747 * progmodes/ruby-mode.el (ruby--electric-indent-p): Improve the
12748 comments. Handle electric indent after typing `?' and `!'.
12750 2013-12-22 Chong Yidong <cyd@gnu.org>
12752 * faces.el (face-spec-recalc): If the theme specs are not
12753 applicable to a frame, fall back on the defface spec.
12754 This prevents themes from obliterating faces on low-color terminals.
12756 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
12758 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Return t
12759 after `{'. We need it after block openers, and it doesn't seem
12760 to hurt after hash openers.
12762 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
12764 * progmodes/ruby-mode.el (ruby--at-indentation-p): New function,
12765 extracted from `ruby-smie-rules'.
12766 (ruby--electric-indent-chars): New variable.
12767 (ruby--electric-indent-p): New function.
12768 (ruby-mode): Use `electric-indent-functions' instead of
12769 `electric-indent-chars'.
12771 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
12773 * progmodes/ruby-mode.el (ruby-align-to-stmt-keywords): Tweak the
12775 (ruby-smie-rules): Indent plus one level after `=>'.
12777 2013-12-21 Richard Stallman <rms@gnu.org>
12779 * simple.el (newline): Doc fix.
12781 2013-12-21 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
12783 * net/eww.el (eww-list-histories, eww-list-histories)
12784 (eww-history-browse, eww-history-quit, eww-history-kill)
12785 (eww-history-mode-map, eww-history-mode): New command and
12786 functions to list browser histories.
12787 (eww-form-text): Support text form with disabled
12788 and readonly attributes.
12789 (eww-checkbox-map): Fix wrong key bind to `eww-toggle-checkbox'.
12791 2013-12-21 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
12793 * net/eww.el (eww-back-url, eww-forward-url, eww-next-url)
12794 (eww-previous-url, eww-up-url, eww-top-url, eww-add-bookmark)
12795 (eww-bookmark-prepare, eww-bookmark-kill, eww-bookmark-yank)
12796 (eww-bookmark-browse, eww-next-bookmark, eww-previous-bookmark):
12798 (eww-bookmark-mode-map): Add menu.
12799 (eww-render, eww-mode): Use `setq-local'.
12800 (eww-tool-bar-map): New variable.
12801 (eww-mode): Set `tool-bar-map'.
12802 (eww-view-source): Check for `html-mode' with `fboundp'.
12804 2013-12-21 Lars Magne Ingebrigtsen <larsi@gnus.org>
12806 * net/shr.el (shr--extract-best-source): Don't bug out on audio
12807 elements with text inside. Also remove debugging.
12809 2013-12-21 Jan Djärv <jan.h.d@swipnet.se>
12811 * cus-start.el (all): Add ns-use-srgb-colorspace.
12813 2013-12-21 Chong Yidong <cyd@gnu.org>
12815 * custom.el (custom-theme-recalc-face): Do nothing if the face is
12816 undefined. Thus, theme settings for undefined faces do not take
12817 effect until the faces are defined with defface, the same as with
12820 * faces.el (face-spec-set): Use face-spec-recalc in all cases.
12821 (face-spec-reset-face): Don't assign extra properties in temacs.
12822 (face-spec-recalc): Apply X resources too.
12824 2013-12-21 Chong Yidong <cyd@gnu.org>
12826 * faces.el (face-spec-set):
12827 * cus-face.el (custom-theme-set-faces, custom-set-faces):
12828 * custom.el (defface): Doc fixes (Bug#16203).
12830 * indent.el (indent-rigidly-map): Add docstring, and move commands
12831 into named functions.
12832 (indent-rigidly-left, indent-rigidly-right)
12833 (indent-rigidly-left-to-tab-stop)
12834 (indent-rigidly-right-to-tab-stop): New functions. Decide on
12835 indentation direction based on bidi direction, and accumulate
12836 sequential commands in a single undo boundary.
12837 (indent-rigidly--pop-undo): New utility function.
12839 2013-12-20 Juanma Barranquero <lekktu@gmail.com>
12841 * faces.el (read-face-name): Require crm.el when using crm-separator.
12843 2013-12-20 Daniel Colascione <dancol@dancol.org>
12845 * progmodes/sh-script.el (sh-mode): Tweak paragraph-separate
12846 so that we don't reflow comments into the shebang line.
12848 2013-12-20 Juri Linkov <juri@jurta.org>
12850 * saveplace.el (save-place-to-alist): Add `dired-filename' as
12851 a position when `dired-directory' is non-nil. Check integer
12852 positions with `integerp'.
12853 (toggle-save-place, save-places-to-alist): Add check for
12855 (save-place-find-file-hook): Check integer positions with
12857 (save-place-dired-hook): Use `dired-goto-file' when
12858 `dired-filename' is found in the assoc list. Check integer
12859 positions with `integerp'.
12860 (dired-initial-position-hook): Rename from `dired-initial-point-hook'.
12862 * dired.el (dired-initial-position-hook): Rename back from
12863 `dired-initial-point-hook'.
12864 (dired-initial-position): Rename `dired-initial-point-hook' to
12865 `dired-initial-position-hook'.
12866 (dired-file-name-at-point): Doc fix. (Bug#15329)
12868 2013-12-20 Juri Linkov <juri@jurta.org>
12870 * replace.el (read-regexp-defaults-function): New defcustom (bug#14405).
12871 (read-regexp-suggestions): New function.
12872 (read-regexp): Use `read-regexp-defaults-function' to get default values.
12873 Use `read-regexp-suggestions'. Add non-empty default to history
12875 (occur-read-regexp-defaults-function): Remove function.
12876 (occur-read-primary-args): Use `regexp-history-last' instead of
12877 `occur-read-regexp-defaults-function'.
12879 * hi-lock.el (hi-lock-read-regexp-defaults-function): Remove function.
12880 (hi-lock-line-face-buffer, hi-lock-face-buffer)
12881 (hi-lock-face-phrase-buffer): Use `regexp-history-last' instead of
12882 `hi-lock-read-regexp-defaults-function'. Doc fix.
12883 (hi-lock-face-symbol-at-point): Replace `find-tag-default-as-regexp'
12884 with `find-tag-default-as-symbol-regexp'. Doc fix.
12885 (hi-lock-read-regexp-defaults): Remove function.
12886 (hi-lock-regexp-okay): Add check for null.
12888 * progmodes/grep.el (grep-read-regexp): Use `grep-tag-default' for
12889 the arg DEFAULTS. Move formatting of the prompt to `read-regexp'.
12891 * subr.el (find-tag-default-as-symbol-regexp): New function.
12892 (find-tag-default-as-regexp): Move symbol regexp formatting to
12893 `find-tag-default-as-symbol-regexp'.
12895 2013-12-20 E Sabof <esabof@gmail.com> (tiny change)
12897 * hi-lock.el (hi-lock-set-pattern): Check for `font-lock-specified-p'.
12900 2013-12-20 Stephen Berman <stephen.berman@gmx.net>
12902 * calendar/todo-mode.el: New implementation of item insertion
12903 commands and key bindings.
12904 (todo-key-prompt): New face.
12905 (todo-insert-item): New command.
12906 (todo-insert-item--parameters): New defconst, replacing defvar
12907 todo-insertion-commands-args-genlist.
12908 (todo-insert-item--param-key-alist): New defconst, replacing
12909 defvar todo-insertion-commands-arg-key-list.
12910 (todo-insert-item--keyof, todo-insert-item--this-key): New defsubsts.
12911 (todo-insert-item--argsleft, todo-insert-item--apply-args)
12912 (todo-insert-item--next-param): New functions.
12913 (todo-insert-item--args, todo-insert-item--argleft)
12914 (todo-insert-item--argsleft, todo-insert-item--newargsleft):
12916 (todo-key-bindings-t): Change binding of "i" from
12917 todo-insertion-map to todo-insert-item.
12918 (todo-powerset, todo-gen-arglists, todo-insertion-commands-args)
12919 (todo-insertion-command-name, todo-insertion-commands-names)
12920 (todo-define-insertion-command, todo-insertion-commands)
12921 (todo-insertion-key-bindings, todo-insertion-map): Remove.
12923 2013-12-20 Stephen Berman <stephen.berman@gmx.net>
12925 * calendar/todo-mode.el: Bug fixes and new features (bug#15225).
12926 (todo-toggle-item-highlighting): Use eval-and-compile instead of
12928 (todo-move-category): Allow choosing a non-existing todo file to
12929 move the category to, and create that file.
12930 (todo-default-priority): New user option.
12931 (todo-set-item-priority): Use it.
12932 (todo-desktop-save-buffer, todo-restore-desktop-buffer): New functions.
12933 (desktop-restore-file-buffer): Declare.
12934 (desktop-buffer-mode-handlers): Add todo-restore-desktop-buffer.
12935 (todo-modes-set-2): Locally set desktop-save-buffer to
12936 todo-desktop-save-buffer.
12937 (todo-mode, todo-archive-mode, todo-filtered-items-mode)
12938 (auto-mode-alist): Add autoload cookie.
12940 2013-12-20 Bozhidar Batsov <bozhidar@batsov.com>
12942 * emacs-lisp/subr-x.el: Renamed from helpers.el.
12943 helpers.el was a poor choice of name.
12944 (string-remove-prefix): New function.
12945 (string-remove-suffix): New function.
12947 2013-12-20 Martin Rudalics <rudalics@gmx.at>
12949 Fix assignment for new window total sizes.
12950 * window.el (window--pixel-to-size): Remove function.
12951 (window--pixel-to-total-1, window--pixel-to-total):
12952 Fix calculation of new total sizes.
12954 2013-12-20 Vitalie Spinu <spinuvit@gmail.com>
12956 * comint.el (comint-output-filter): Fix rear-nonsticky property
12957 placement (Bug#16010).
12959 2013-12-20 Chong Yidong <cyd@gnu.org>
12961 * faces.el (read-color): Minor fix for completion function.
12963 2013-12-20 Dmitry Gutov <dgutov@yandex.ru>
12965 * progmodes/ruby-mode.el (ruby-align-to-stmt-keywords):
12966 New option. (Bug#16182)
12967 (ruby-smie--indent-to-stmt-p): Use it.
12968 (ruby-smie-rules): Revert the logic in the handling of `when'.
12969 Expand the begin clause to handle `ruby-align-to-stmt-keywords'.
12970 (ruby-deep-arglist, ruby-deep-indent-paren)
12971 (ruby-deep-indent-paren-style): Update docstrings to note that the
12972 vars don't have any effect with SMIE.
12974 2013-12-20 Jay Belanger <jay.p.belanger@gmail.com>
12976 * calc/calc.el (calc-enter, calc-pop): Use the variable
12977 `calc-context-sensitive-enter'.
12979 2013-12-20 Lars Magne Ingebrigtsen <larsi@gnus.org>
12981 * net/shr.el (shr-insert): Protect against infloops in degenerate
12984 2013-12-20 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
12986 * progmodes/octave.el (octave): Add link to manual and octave
12988 (octave-mode-menu): Link to octave-mode manual.
12990 2013-12-20 Leo Liu <sdl.web@gmail.com>
12992 * skeleton.el (skeleton-pair-insert-maybe): Disable newline
12993 insertion using skeleton-end-newline. (Bug#16138)
12995 2013-12-20 Juri Linkov <juri@jurta.org>
12997 * replace.el (occur-engine): Use `add-face-text-property'
12998 to add the face property to matches and titles. (Bug#14645)
13000 * hi-lock.el (hi-green): Use lighter color "light green" closer to
13001 the palette of other hi-lock colors.
13002 (hi-lock-set-pattern): Prepend hi-lock face to the existing face.
13004 2013-12-19 Juri Linkov <juri@jurta.org>
13006 * isearch.el (isearch-mode-map): Bind `M-s e' to `isearch-edit-string'.
13007 Put :advertised-binding on `M-s c', `M-s r', `M-s e'. (Bug#16035)
13008 (minibuffer-history-symbol): Move variable declaration closer to
13011 * isearchb.el (isearchb): Add `event-basic-type' on `last-command-event'.
13014 2013-12-19 Juri Linkov <juri@jurta.org>
13016 * vc/log-edit.el (log-edit-insert-filenames-without-changelog):
13018 (log-edit-hook): Add it to :options. (Bug#16170)
13020 2013-12-19 Juri Linkov <juri@jurta.org>
13022 * simple.el (eval-expression-print-format): Don't check for
13023 command names and the last command. Always display additional
13024 formats of the integer result in the echo area, and insert them
13025 to the current buffer only with a zero prefix arg.
13026 Display character when char-displayable-p is non-nil.
13027 (eval-expression): With a zero prefix arg, set `print-length' and
13028 `print-level' to nil, and insert the integer values from
13029 `eval-expression-print-format' at the end. Doc fix. (Bug#12985)
13031 * emacs-lisp/lisp-mode.el (eval-print-last-sexp): Add arg
13032 `eval-last-sexp-arg-internal'. Doc fix.
13033 (eval-last-sexp-1): Pass arg `eval-last-sexp-arg-internal' to
13034 `eval-last-sexp-print-value'. Doc fix.
13035 (eval-last-sexp-print-value): Add arg `eval-last-sexp-arg-internal'.
13036 Set `print-length' and `print-level' to nil when arg is zero.
13037 (eval-last-sexp): Doc fix.
13038 (eval-defun-2): Print the integer values from
13039 `eval-expression-print-format' at the end.
13041 * emacs-lisp/edebug.el (edebug-eval-defun): Print the integer
13042 values from `eval-expression-print-format' at the end.
13044 * ielm.el (ielm-eval-input): Print the integer
13045 values from `eval-expression-print-format' at the end.
13047 2013-12-19 Teodor Zlatanov <tzz@lifelogs.com>
13049 * net/eww.el (eww-exit, eww-close, eww-mode-map): Revert change of
13050 2013-12-11T19:01:44Z!tzz@lifelogs.com.
13052 2013-12-19 Stefan Monnier <monnier@iro.umontreal.ca>
13054 * hl-line.el (hl-line-make-overlay): New fun. Set priority (bug#16192).
13055 (hl-line-highlight, global-hl-line-highlight): Use it.
13056 (hl-line-overlay): Use defvar-local.
13058 2013-12-19 Jan Djärv <jan.h.d@swipnet.se>
13060 * term/ns-win.el: Require dnd.
13061 (global-map): Remove drag items.
13062 (ns-insert-text, ns-set-foreground-at-mouse)
13063 (ns-set-background-at-mouse):
13064 Remove (ns-drag-n-drop, ns-drag-n-drop-other-frame)
13065 (ns-drag-n-drop-as-text, ns-drag-n-drop-as-text-other-frame):
13068 2013-12-19 Glenn Morris <rgm@gnu.org>
13070 * emacs-lisp/ert.el (ert-select-tests):
13071 Fix string/symbol mixup. (Bug#16121)
13073 2013-12-19 Dmitry Gutov <dgutov@yandex.ru>
13075 * progmodes/ruby-mode.el (ruby-smie-rules): Indent middle-of-block
13076 keywords to their parent.
13078 2013-12-19 Dmitry Gutov <dgutov@yandex.ru>
13080 * progmodes/ruby-mode.el (ruby-smie--args-separator-p): Allow the
13081 first arg to be a string (fixed dead code), or an operator symbol.
13082 (ruby-smie--forward-token): Tokenize ` @ ' before strings and
13084 (ruby-smie-rules): Remove parent token check in the `.' clause, it
13085 did nothing. Don't respond to `(:after ".")', it will be called
13086 with :before anyway. Remove the ` @ ' rule, it didn't seem to
13087 change anything. Only return indentation for binary operators
13088 when they are hanging. De-dent opening paren when its parent is
13089 `.', otherwise it looks bad when the dot is not at bol or eol
13092 2013-12-19 Juri Linkov <juri@jurta.org>
13094 * replace.el (query-replace-read-args): Split a non-negative arg
13095 and a negative arg into separate elements.
13096 (query-replace, query-replace-regexp, replace-string)
13097 (replace-regexp): Add arg `backward'. Doc fix.
13098 (replace-match-maybe-edit): When new arg `backward' is non-nil,
13099 move point to the beginning of the match.
13100 (replace-search, replace-highlight): Use new arg `backward'
13101 to set the value of `isearch-forward'.
13102 (perform-replace): Add arg `backward' and use it to perform
13103 replacement backward. (Bug#14979)
13105 * isearch.el (isearch-query-replace): Use a negative prefix arg
13106 to call `perform-replace' with a non-nil arg `backward'.
13108 2013-12-18 Juri Linkov <juri@jurta.org>
13110 * vc/log-edit.el (log-edit-hook): Add `log-edit-insert-message-template'
13111 to the default list. Move `log-edit-show-files' to the end.
13112 Add more available functions to options.
13113 (log-edit): Move default specific settings to
13114 `log-edit-insert-message-template'. Don't move point.
13115 (log-edit-insert-message-template): New function.
13116 (log-edit-insert-changelog): Add `save-excursion' and don't move point.
13119 2013-12-18 Juri Linkov <juri@jurta.org>
13121 * help-mode.el (help-mode-map): Bind "l" to help-go-back,
13122 and "r" to help-go-forward for compatibity with Info. (Bug#16178)
13124 2013-12-18 Leo Liu <sdl.web@gmail.com>
13126 * eshell/em-prompt.el (eshell-emit-prompt): Fix last change.
13129 2013-12-18 Eli Zaretskii <eliz@gnu.org>
13131 * ls-lisp.el (ls-lisp-insert-directory): Don't modify %d and %f
13132 formats for displaying file sizes when the -s switch is given.
13133 Instead, compute a separate format for displaying the size in
13134 blocks, which is displayed in addition to the "regular" size.
13135 When -h is given in addition to -s, produce size in blocks in
13136 human-readable form as well. (Bug#16179)
13138 2013-12-18 Tassilo Horn <tsdh@gnu.org>
13140 * textmodes/reftex-vars.el (reftex-label-alist-builtin):
13141 Reference tables with ~\ref{...} instead of only \ref{...}.
13143 2013-12-18 Chong Yidong <cyd@gnu.org>
13145 * cus-edit.el (custom-magic-alist): Fix "themed" description
13148 * custom.el (custom-push-theme): If custom--inhibit-theme-enable
13149 is non-nil, do not create a new entry in the symbol's theme-value
13150 or theme-face property; update theme-settings only (Bug#14664).
13151 (custom-available-themes): Doc fix.
13153 * cus-theme.el (custom-new-theme-mode-map): Add bindings
13156 * replace.el (occur-engine): Avoid infloop (Bug#7593).
13158 2013-12-18 Kazuhiro Ito <kzhr@d1.dion.ne.jp> (tiny change)
13160 * progmodes/make-mode.el (makefile-fill-paragraph): Fix infloop
13163 2013-12-18 Shigeru Fukaya <shigeru.fukaya@gmail.com>
13165 * apropos.el (apropos-words-to-regexp): Fix algorithm (Bug#13946).
13167 2013-12-18 Glenn Morris <rgm@gnu.org>
13169 * Makefile.in (BYTE_COMPILE_FLAGS): Set load-prefer-newer to t.
13170 * cus-start.el (load-prefer-newer): New option.
13172 2013-12-18 Le Wang <l26wang@gmail.com>
13174 * comint.el (comint-previous-matching-input-from-input):
13175 Retain point (Bug#13404).
13177 2013-12-18 Chong Yidong <cyd@gnu.org>
13179 * simple.el (append-next-kill): Doc fix (Bug#15995, Bug#16016).
13181 2013-12-18 Glenn Morris <rgm@gnu.org>
13183 * mail/emacsbug.el (report-emacs-bug):
13184 Only mention enable-multibyte-characters if non-standard.
13186 2013-12-17 Juri Linkov <juri@jurta.org>
13188 * arc-mode.el (archive-extract-by-file): Check if directory exists
13189 before deletion to not show irrelevant errors if it doesn't exist.
13191 2013-12-17 Juri Linkov <juri@jurta.org>
13193 * menu-bar.el (menu-bar-tools-menu): Add `browse-web'.
13196 * net/eww.el (browse-web): Add alias to `eww'.
13197 (eww-mode-map): Bind "r" to `eww-forward-url' like in Info.
13198 Bind "S-SPC" to `scroll-down-command'. (Bug#16178)
13200 * net/browse-url.el (browse-url-browser-function): Move `eww'
13201 closer to similar functions.
13203 * startup.el (fancy-startup-screen, fancy-about-screen):
13204 Set browse-url-browser-function to eww-browse-url locally.
13207 2013-12-17 Stefan Monnier <monnier@iro.umontreal.ca>
13209 * window.el (window--pixel-to-total): Remove unused `mini' var.
13210 (maximize-window, minimize-window): Remove unused `pixelwise' arg.
13211 (split-window): Remove unused `new' var.
13212 (window--display-buffer): Remove unused `frame' and `delta' vars.
13213 (fit-window-to-buffer): Remove unused vars `frame', `display-height',
13214 and display-width'.
13216 2013-12-17 Martin Rudalics <rudalics@gmx.at>
13218 * dired.el (dired-mark-pop-up):
13219 * register.el (register-preview): Don't bind
13220 split-height-threshold here since it's now done in
13221 display-buffer-below-selected.
13223 2013-12-17 oblique <psyberbits@gmail.com> (tiny change)
13225 * term/rxvt.el (rxvt-rgb-convert-to-16bit): Standardize with
13226 xterm-rgb-convert-to-16bit.
13227 (rxvt-register-default-colors): Standardize with
13228 xterm-register-default-colors (Bug#14078).
13230 2013-12-17 Dima Kogan <dima@secretsauce.net> (tiny change)
13232 * simple.el (kill-region): Pass mark first, then point, so that
13233 kill-append works right (Bug#12819).
13234 (copy-region-as-kill, kill-ring-save): Likewise.
13236 2013-12-17 Leo Liu <sdl.web@gmail.com>
13238 * net/rcirc.el (rcirc-add-face):
13239 * eshell/em-prompt.el (eshell-emit-prompt):
13240 * eshell/em-ls.el (eshell-ls-decorated-name): Use font-lock-face.
13243 2013-12-17 Chong Yidong <cyd@gnu.org>
13245 * files.el (break-hardlink-on-save): Doc fix (Bug#13801).
13246 Suggested by Xue Fuqiao.
13248 2013-12-17 Dmitry Gutov <dgutov@yandex.ru>
13250 * progmodes/ruby-mode.el (ruby-smie-rules): Indent ternary if.
13252 2013-12-17 Stefan Monnier <monnier@iro.umontreal.ca>
13254 * net/shr.el (shr-insert-document): Remove unused var
13255 `shr-preliminary-table-render'.
13256 (shr-rescale-image): Remove unused arg `force'.
13257 (shr-put-image): Update calls accordingly.
13258 (shr-tag-a): Use `cont' rather than dyn-bound `dom'.
13260 2013-12-17 Dmitry Gutov <dgutov@yandex.ru>
13262 * emacs-lisp/smie.el (smie-indent--rule): Extract `smie-indent--rule-1'.
13263 (smie-indent-close): Call `smie-indent--rule-1' with METHOD
13264 :close-all, to see which indentation method to use (Bug#16116).
13265 (smie-rules-function): Document the method :close-all.
13267 2013-12-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
13269 * net/shr.el (shr-tag-a): Support zero-length <a name="foo"> elements.
13271 * net/eww.el (eww-display-html): If we can't find the anchor we're
13272 looking for, then go to point-min.
13274 2013-12-16 Paul Eggert <eggert@cs.ucla.edu>
13276 Fix problems with CANNOT_DUMP and EMACSLOADPATH.
13277 * Makefile.in (emacs): Add lisp src to EMACSLOADPATH.
13278 * loadup.el: Check for src/bootstrap-emacs only when Emacs can dump.
13279 Expand dir too, in case it's relative.
13281 2013-12-16 Juri Linkov <juri@jurta.org>
13283 * desktop.el (desktop-auto-save-timeout): Change default to
13284 `auto-save-timeout'. Doc fix.
13285 (desktop-save): Skip the timestamp in desktop-saved-frameset
13286 when checking for auto-save changes.
13287 (desktop-auto-save): Don't call desktop-auto-save-set-timer since
13288 `desktop-auto-save' is called repeatedly by the idle timer.
13289 (desktop-auto-save-set-timer): Replace `run-with-timer' with
13290 `run-with-idle-timer' and a non-nil arg REPEAT. Doc fix.
13293 2013-12-16 Juri Linkov <juri@jurta.org>
13295 * isearch.el (isearch-mode-map): Remove [escape] key bindinds.
13297 (isearch-pre-command-hook): Check `this-command' for symbolp.
13299 2013-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
13301 * emacs-lisp/gv.el (gv-ref): Mention lexbind restriction (bug#16153).
13303 2013-12-16 Teodor Zlatanov <tzz@lifelogs.com>
13305 * progmodes/cfengine.el (cfengine3--current-word): Remove.
13306 (cfengine3--current-function): Bring in the current-function
13307 functionality from `cfengine3--current-word'.
13308 (cfengine3-completion-function): Bring in the
13309 bounds-of-current-word functionality from
13310 `cfengine3--current-word'.
13312 2013-12-16 Martin Rudalics <rudalics@gmx.at>
13314 * window.el (display-buffer-below-selected):
13315 Bind split-height-threshold to 0 as suggested by Juri Linkov.
13317 2013-12-16 Leo Liu <sdl.web@gmail.com>
13319 * progmodes/compile.el (compile-goto-error): Do not push-mark.
13320 Remove NOMSG arg and all uses changed.
13322 2013-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
13324 * emulation/cua-rect.el (cua-rectangle-mark-mode): New minor mode.
13325 (cua--deactivate-rectangle): Don't deactivate the mark.
13326 (cua-set-rectangle-mark): Don't set mark-active since
13327 cua--activate-rectangle already does it for us.
13328 (cua--rectangle-highlight-for-redisplay): Unhighlight a previous
13329 non-rectangular region.
13331 * emulation/cua-base.el (cua-repeat-replace-region):
13332 Use with-current-buffer.
13334 * net/gnutls.el: Use cl-lib.
13335 (gnutls-negotiate): `mapcan' -> cl-mapcan.
13337 2013-12-14 Teodor Zlatanov <tzz@lifelogs.com>
13339 * emacs-lisp/package.el (package-built-in-p): Support both
13340 built-in and the package.el converted package descriptions.
13341 (package-show-package-list): Allow keywords.
13342 (package-keyword-button-action): Use it instead of
13343 `finder-list-matches'.
13344 (package-menu-filter-interactive): Interactive filtering (by
13346 (package-menu--generate): Support keywords and change keymappings
13347 and headers when they are given.
13348 (package--has-keyword-p): Helper function.
13349 (package-menu--refresh): Use it.
13350 (package--mapc): Helper function.
13351 (package-all-keywords): Use it.
13352 (package-menu-mode-map): Set up menu items and keybindings to
13353 provide a filtering UI.
13355 2013-12-14 Teodor Zlatanov <tzz@lifelogs.com>
13357 * net/gnutls.el (gnutls-verify-error): New defcustom to control
13358 the behavior when a certificate fails validation. Defaults to
13359 old behavior: never abort, just warn.
13360 (gnutls-negotiate): Use it.
13362 2013-12-14 Martin Rudalics <rudalics@gmx.at>
13364 * window.el (display-buffer-below-selected): Never split window
13365 horizontally. Suggested by Juri Linkov <juri@jurta.org>.
13367 2013-12-14 Tom Willemse <tom@ryuslash.org> (tiny change)
13369 * emacs-lisp/package.el (package--prepare-dependencies): New function.
13370 (package-buffer-info): Use it (bug#15108).
13372 2013-12-14 Stefan Monnier <monnier@iro.umontreal.ca>
13374 * icomplete.el (icomplete-completions): Make sure the prefix is already
13375 displayed elsewhere before hiding it (bug#16219).
13377 2013-12-14 Dmitry Gutov <dgutov@yandex.ru>
13379 * progmodes/ruby-mode.el (ruby-smie-rules): Return nil before
13380 open-paren tokens when preceded by a open-paren, too.
13381 (ruby-smie-rules): Handle virtual indentation after open-paren
13382 tokens specially. If there is code between it and eol, return the
13383 column where is starts (Bug#16118).
13385 2013-12-13 Teodor Zlatanov <tzz@lifelogs.com>
13387 * progmodes/cfengine.el: Fix `add-hook' doc.
13388 (cfengine-mode-syntax-functions-regex): Initialize sensibly.
13389 (cfengine3--current-word): Fix parameters.
13390 (cfengine3-make-syntax-cache): Simplify further.
13391 (cfengine3-completion-function, cfengine3--current-function):
13392 Use `assq' for symbols.
13393 (cfengine3--current-function): Fix `cfengine3--current-word' call.
13395 2013-12-13 Glenn Morris <rgm@gnu.org>
13397 * loadup.el (load-path): Warn if site-load or site-init changes it.
13398 No more need to reset it when bootstrapping.
13400 2013-12-13 Teodor Zlatanov <tzz@lifelogs.com>
13402 * progmodes/cfengine.el (cfengine-cf-promises): Add more default
13403 locations for cf-promises.
13404 (cfengine-mode-syntax-functions-regex): New caching variable.
13405 (cfengine3-fallback-syntax): Fallback syntax for cases where
13406 cf-promises doesn't run.
13407 (cfengine3--current-word): Reimplement using
13408 `cfengine-mode-syntax-functions-regex'.
13409 (cfengine3-completion-function, cfengine3--current-function):
13410 Use `cfengine3-make-syntax-cache' directly.
13411 (cfengine3-clear-syntax-cache): New function.
13412 (cfengine3-make-syntax-cache): Simplify and create
13413 `cfengine-mode-syntax-functions-regex' on demand.
13414 (cfengine3-format-function-docstring): Don't call
13415 `cfengine3-make-syntax-cache' explicitly.
13417 2013-12-13 Martin Rudalics <rudalics@gmx.at>
13419 Fix windmove-find-other-window broken after pixelwise resizing
13421 * windmove.el (windmove-other-window-loc): Revert change from
13423 (windmove-find-other-window): Call window-in-direction.
13424 * window.el (window-in-direction): New arguments SIGN, WRAP and
13425 MINI to emulate original windmove-find-other-window behavior.
13427 2013-12-13 Dmitry Gutov <dgutov@yandex.ru>
13429 * simple.el (blink-matching--overlay): New variable.
13430 (blink-matching-open): Instead of moving point, highlight the
13431 matching paren with an overlay
13432 (http://lists.gnu.org/archive/html/emacs-devel/2013-12/msg00333.html).
13434 * faces.el (paren-showing-faces, show-paren-match)
13435 (show-paren-mismatch): Move from paren.el.
13437 2013-12-13 Leo Liu <sdl.web@gmail.com>
13439 * indent.el (indent-region): Disable progress reporter in
13440 minibuffer. (Bug#16108)
13442 * bindings.el (visual-order-cursor-movement): Fix version.
13444 2013-12-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
13446 * progmodes/python.el (python-pdbtrack-stacktrace-info-regexp):
13447 Also match after beginning of line.
13448 (python-pdbtrack-set-tracked-buffer): Fix logic for remote
13449 files. Thanks to Russell Sim. (Bug#15378)
13451 2013-12-13 Juri Linkov <juri@jurta.org>
13453 * simple.el <Keypad support>: Remove key bindings duplicated
13454 with bindings.el. (Bug#14397)
13456 2013-12-13 Juri Linkov <juri@jurta.org>
13458 * comint.el (comint-mode-map): Replace `delete-char' with
13459 `delete-forward-char'. (Bug#16109)
13461 2013-12-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
13463 * progmodes/python.el (python-indent-calculate-indentation):
13464 Fix de-denters cornercase. (Bug#15731)
13466 2013-12-12 Stefan Monnier <monnier@iro.umontreal.ca>
13468 * emacs-lisp/nadvice.el: Add `depth' property to manage ordering.
13469 (advice--make): Pay attention to `depth'.
13470 (advice--make-1): Don't autoload commands eagerly.
13471 * emacs-lisp/elp.el (elp-instrument-function):
13472 * emacs-lisp/trace.el (trace-function-internal):
13473 * emacs-lisp/debug.el (debug-on-entry): Keep them "first".
13475 * iswitchb.el (iswitchb-mode): Don't belittle ido.
13477 2013-12-12 Eli Zaretskii <eliz@gnu.org>
13479 * term/w32-win.el (w32-handle-dropped-file):
13480 * startup.el (normal-top-level):
13481 * net/browse-url.el (browse-url-file-url):
13482 * dnd.el (dnd-get-local-file-name): On MS-Windows, encode and
13483 decode file names using 'utf-8' rather than
13484 file-name-coding-system.
13486 2013-12-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
13488 * progmodes/python.el (python-indent-context)
13489 (python-indent-calculate-indentation): Fix auto-identation
13490 behavior for comment blocks. (Bug#15916)
13492 2013-12-12 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
13494 * progmodes/python.el (python-indent-calculate-indentation):
13495 When determining indentation, don't treat "return", "pass", etc., as
13496 operators when they are just string constituents. (Bug#15812)
13498 2013-12-12 Juri Linkov <juri@jurta.org>
13500 * uniquify.el (uniquify-buffer-name-style): Change default to
13501 `post-forward-angle-brackets'.
13503 * menu-bar.el (menu-bar-options-menu): Don't require preloaded
13504 `uniquify'. Change default to `post-forward-angle-brackets'.
13506 2013-12-11 Glenn Morris <rgm@gnu.org>
13508 * emacs-lisp/package.el (finder-list-matches):
13509 Autoload rather than falsely declaring.
13511 2013-12-11 Teodor Zlatanov <tzz@lifelogs.com>
13513 * net/eww.el (eww-exit, eww-close): Add UI convenience wrappers.
13514 (eww-mode-map): Use them.
13516 2013-12-11 Martin Rudalics <rudalics@gmx.at>
13518 * window.el (display-buffer-in-side-window): Fix doc-string
13521 2013-12-11 Juanma Barranquero <lekktu@gmail.com>
13523 * vc/vc-git.el: Silence byte-compiler warnings.
13524 (vc-git-dir-extra-headers): Rename arg _dir which is no longer ignored.
13525 (log-edit-set-header): Declare.
13527 2013-12-11 Eli Zaretskii <eliz@gnu.org>
13529 * Makefile.in (custom-deps, finder-data): Run output file names
13530 through unmsys--file-name. (Bug#16099)
13532 2013-12-11 Stefan Monnier <monnier@iro.umontreal.ca>
13534 * emacs-lisp/smie.el (smie-indent--hanging-p): Don't bother matching
13535 comment-start-skip, which fails when that uses submatch 1 (bug#16041).
13537 * emulation/cua-base.el (cua-paste): Add `delete-selection' property
13538 instead of deleting the selection "by hand" (bug#16098).
13539 Rely on insert-for-yank to yank rectangles.
13540 (cua-highlight-region-shift-only): Mark obsolete.
13541 (cua-mode): Don't enable/disable transient-mark-mode,
13542 shift-select-mode (cua-mode works both with and without them), and
13543 pc-selection-mode (obsolete).
13544 * emulation/cua-rect.el (cua--activate-rectangle): Activate the mark.
13545 (cua--deactivate-rectangle): Deactivate it.
13547 * delsel.el (delete-selection-mode): Don't enable transient-mark-mode.
13548 (delete-selection-helper): Make sure yank starts at the top of the
13550 (minibuffer-keyboard-quit): Use region-active-p.
13552 * emacs-lisp/trace.el (trace-make-advice): Don't deactivate the mark.
13554 * simple.el (normal-erase-is-backspace-mode): Map kp-delete identically
13555 to `delete' (bug#16109).
13557 2013-12-11 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
13559 * progmodes/octave.el (octave-mode, inferior-octave-mode): Link to
13560 info manual and show keybindings and set `:group' keyword.
13562 2013-12-11 Juri Linkov <juri@jurta.org>
13564 * delsel.el (delete-active-region): Let-bind `this-command'
13565 to prevent `kill-region' from changing its original value.
13566 (delete-selection-helper): Handle `overwrite-mode' for the type
13567 `kill' exactly the same way as for the type `t'.
13568 (insert-char, quoted-insert, reindent-then-newline-and-indent):
13569 Support more commands. (Bug#13312)
13571 2013-12-11 Juri Linkov <juri@jurta.org>
13573 * bindings.el: Map kp keys to non-kp keys systematically
13574 with basic modifiers control, meta and shift. (Bug#14397)
13576 2013-12-11 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
13578 * net/eww.el (eww-mode-map): Instead of "Quit" show "Exit" and
13579 "Close browser" menu items. Fix wrong function of "List
13582 2013-12-11 Juri Linkov <juri@jurta.org>
13584 * misearch.el (multi-isearch-buffers): Set the value of
13585 `multi-isearch-buffer-list' globally. Set NO-RECURSIVE-EDIT
13586 arg of isearch-forward to t.
13587 (multi-isearch-buffers-regexp): Set the value of
13588 `multi-isearch-buffer-list' globally. Set NO-RECURSIVE-EDIT
13589 arg of isearch-forward-regexp to t.
13590 (multi-isearch-files): Set the value of
13591 `multi-isearch-file-list' globally. Set NO-RECURSIVE-EDIT
13592 arg of isearch-forward to t.
13593 (multi-isearch-files-regexp): Set the value of
13594 `multi-isearch-file-list' globally. Set NO-RECURSIVE-EDIT
13595 arg of isearch-forward-regexp to t. (Bug#16035)
13597 * dired-aux.el (dired-isearch-filenames): Set NO-RECURSIVE-EDIT
13598 arg of isearch-forward to t.
13599 (dired-isearch-filenames-regexp): Set NO-RECURSIVE-EDIT
13600 arg of isearch-forward-regexp to t.
13601 (dired-isearch-filter-filenames): Remove unnecessary check for
13602 `dired-isearch-filenames'.
13604 * comint.el (comint-history-isearch-backward):
13605 Set NO-RECURSIVE-EDIT arg of isearch-backward to t.
13606 (comint-history-isearch-backward-regexp):
13607 Set NO-RECURSIVE-EDIT arg of isearch-backward-regexp to t.
13609 2013-12-10 Eli Zaretskii <eliz@gnu.org>
13611 * Makefile.in (autoloads): Run $(srcdir)/loaddefs.el through
13612 unmsys--file-name. (Bug#16099)
13614 2013-12-10 Teodor Zlatanov <tzz@lifelogs.com>
13616 * emacs-lisp/package.el (package-keyword-button-action):
13617 Remove finder.el require dependency.
13619 2013-12-09 Teodor Zlatanov <tzz@lifelogs.com>
13621 * emacs-lisp/package.el: Require finder.el.
13622 (describe-package-1): Add keyword buttons.
13623 (package-make-button): New convenience function.
13624 (package-keyword-button-action): Keyword button action using
13625 `finder-list-matches'.
13627 2013-12-09 Eli Zaretskii <eliz@gnu.org>
13629 * autorevert.el (auto-revert-notify-add-watch): Fix a thinko in
13632 2013-12-09 Michael Albinus <michael.albinus@gmx.de>
13634 * autorevert.el (auto-revert-notify-add-watch): Do not handle
13637 2013-12-09 Dmitry Gutov <dgutov@yandex.ru>
13639 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Return t
13640 after the end of a percent literal.
13642 2013-12-09 Cameron Desautels <camdez@gmail.com> (tiny change)
13644 * progmodes/ruby-mode.el (ruby-forward-string): Document.
13645 Handle caret-delimited strings (Bug#16079).
13647 2013-12-09 Dmitry Gutov <dgutov@yandex.ru>
13649 * progmodes/ruby-mode.el (ruby-accurate-end-of-block):
13650 When `ruby-use-smie' is t, use `smie-forward-sexp' instead of
13651 `ruby-parse-partial' (Bug#16078).
13653 2013-12-09 Leo Liu <sdl.web@gmail.com>
13655 * subr.el (read-passwd): Disable show-paren-mode. (Bug#16091)
13657 2013-12-08 Dmitry Gutov <dgutov@yandex.ru>
13659 * progmodes/js.el (js-auto-indent-flag): Remove, was unused.
13660 (js-switch-indent-offset): New option.
13661 (js--proper-indentation): Use it. And handle the case when
13662 "default" is actually a key in an object literal.
13663 (js--same-line): New function.
13664 (js--multi-line-declaration-indentation): Use it.
13665 (js--indent-in-array-comp, js--array-comp-indentation):
13667 (js--proper-indentation): Use them, to handle array comprehension
13670 2013-12-08 Leo Liu <sdl.web@gmail.com>
13672 * progmodes/flymake.el (flymake-highlight-line): Re-write.
13673 (flymake-make-overlay): Remove arg MOUSE-FACE.
13674 (flymake-save-string-to-file, flymake-read-file-to-string): Remove.
13676 2013-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
13678 * emulation/cua-rect.el (cua--rectangle-highlight-for-redisplay):
13680 (redisplay-highlight-region-function): Use it.
13682 * emulation/cua-base.el (cua--explicit-region-start)
13683 (cua--last-region-shifted): Remove.
13684 (cua--deactivate): Use deactivate-mark.
13685 (cua--pre-command-handler-1): Don't handle shift-selection.
13686 (cua--post-command-handler-1): Don't change transient-mark-mode.
13687 (cua--select-keymaps): Use region-active-p rather than
13688 cua--explicit-region-start or cua--last-region-shifted.
13689 (cua-mode): Enable shift-select-mode.
13691 2013-12-08 Leo Liu <sdl.web@gmail.com>
13693 * progmodes/flymake.el (flymake-popup-current-error-menu):
13694 Rename from flymake-display-err-menu-for-current-line. Reimplement.
13695 (flymake-posn-at-point-as-event, flymake-popup-menu)
13696 (flymake-make-emacs-menu): Remove. (Bug#16077)
13698 2013-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
13700 * rect.el (rectangle-mark-mode): Activate mark even if
13701 transient-mark-mode is off (bug#16066).
13702 (rectangle--highlight-for-redisplay): Fix boundary condition when point
13703 is > mark and at bolp.
13705 * emulation/cua-rect.el (cua--rectangle-region-extract): New function.
13706 (region-extract-function): Use it.
13707 (cua-mouse-save-then-kill-rectangle): Use cua-copy-region.
13708 (cua-copy-rectangle, cua-cut-rectangle, cua-delete-rectangle):
13710 (cua--init-rectangles): Don't re-remap copy-region-as-kill,
13711 kill-ring-save, kill-region, delete-char, delete-forward-char.
13712 Ignore self-insert-iso.
13714 * emulation/cua-gmrk.el (cua--init-global-mark):
13715 Ignore `self-insert-iso'.
13717 * emulation/cua-base.el (cua--prefix-copy-handler)
13718 (cua--prefix-cut-handler): Rely on region-extract-function rather than
13719 checking cua--rectangle.
13720 (cua-delete-region): Use region-extract-function.
13721 (cua-replace-region): Delete function.
13722 (cua-copy-region, cua-cut-region): Obey region-extract-function.
13723 (cua--pre-command-handler-1): Don't do the delete-selection thing.
13724 (cua--self-insert-char-p): Ignore `self-insert-iso'.
13725 (cua--init-keymaps): Don't remap delete-selection commands.
13726 (cua-mode): Use delete-selection-mode instead of rolling our own
13729 * menu-bar.el (clipboard-kill-ring-save, clipboard-kill-region):
13730 Obey region-extract-function.
13732 Make registers and delete-selection-mode work on rectangles.
13733 * register.el (describe-register-1): Don't modify the register's value.
13734 (copy-to-register): Obey region-extract-function.
13735 * delsel.el (delete-active-region): Obey region-extract-function.
13737 2013-12-08 Leo Liu <sdl.web@gmail.com>
13739 * progmodes/flymake.el (flymake, flymake-error-bitmap)
13740 (flymake-warning-bitmap, flymake-fringe-indicator-position)
13741 (flymake-compilation-prevents-syntax-check)
13742 (flymake-start-syntax-check-on-newline)
13743 (flymake-no-changes-timeout, flymake-gui-warnings-enabled)
13744 (flymake-start-syntax-check-on-find-file, flymake-log-level)
13745 (flymake-xml-program, flymake-master-file-dirs)
13746 (flymake-master-file-count-limit)
13747 (flymake-allowed-file-name-masks): Relocate.
13748 (flymake-makehash, flymake-float-time)
13749 (flymake-replace-regexp-in-string, flymake-split-string)
13750 (flymake-get-temp-dir): Remove.
13751 (flymake-popup-menu, flymake-nop, flymake-make-xemacs-menu)
13752 (flymake-current-row, flymake-selected-frame)
13753 (flymake-get-point-pixel-pos): Remove xemacs compatibity and
13754 related functions. (Bug#16077)
13756 2013-12-07 Bozhidar Batsov <bozhidar@batsov.com>
13758 * emacs-lisp/helpers.el (string-blank-p): Use `string-match-p'.
13760 2013-12-07 Tassilo Horn <tsdh@gnu.org>
13762 * help-fns.el (describe-function-1): Use new advice-* functions
13763 rather than old ad-* functions. Fix function type description and
13764 source links for advised functions and subrs.
13766 2013-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
13768 * net/shr.el (shr-tag-img): Don't bug out on <img src=""> data.
13770 2013-12-06 Michael Albinus <michael.albinus@gmx.de>
13772 * progmodes/compile.el (compilation-start):
13773 * progmodes/grep.el (rgrep): Revert change 2012-12-20T11:15:38Z!michael.albinus@gmx.de.
13775 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
13776 Handle long command lines, lasting from "sh -c ...". (Bug#16045)
13778 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
13780 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
13781 Touch up the last change.
13783 2013-12-06 Leo Liu <sdl.web@gmail.com>
13785 * progmodes/octave.el (inferior-octave-prompt): Use shy groups.
13786 (inferior-octave-startup): Always use "octave> " for prompt.
13787 (octave-goto-function-definition)
13788 (octave-sync-function-file-names)
13789 (octave-find-definition-default-filename): Remove redundant backquotes.
13791 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
13793 * progmodes/ruby-mode.el (ruby-mode-syntax-table): Don't modify
13795 (ruby-expr-beg): Expect that `!' will have syntax class "symbol"
13796 where appropriate already.
13797 (ruby-syntax-propertize-function): Propertize `?' and `!' at the
13798 end of method names (Bug#15874).
13800 2013-12-06 Juri Linkov <juri@jurta.org>
13802 * isearch.el (isearch--saved-overriding-local-map):
13803 New internal variable.
13804 (isearch-mode): Set it to the initial value of
13805 `overriding-terminal-local-map'.
13806 (isearch-pre-command-hook): Compare `overriding-terminal-local-map'
13807 with `isearch--saved-overriding-local-map'. (Bug#16035)
13809 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
13811 * progmodes/octave.el (inferior-octave-completion-table):
13812 Turn back into function, use `completion-table-with-cache'
13813 (Bug#11906). Update all references.
13815 * minibuffer.el (completion-table-with-cache): New function.
13817 2013-12-05 Cameron Desautels <camdez@gmail.com> (tiny change)
13819 * emacs-lisp/regexp-opt.el (regexp-opt-charset): Fix ^ (bug#16046).
13821 2013-12-05 Teodor Zlatanov <tzz@lifelogs.com>
13823 * net/eww.el (eww-current-source): New variable to store page
13825 (eww-display-html, eww-mode, eww-save-history)
13826 (eww-restore-history): Use it.
13827 (eww-view-source): New command to view page source.
13828 Opportunistically uses `html-mode' to highlight the buffer.
13829 (eww-mode-map): Install it.
13831 2013-12-05 Michael Albinus <michael.albinus@gmx.de>
13833 * net/dbus.el (dbus-unregister-service)
13834 (dbus-escape-as-identifier, dbus-unescape-from-identifier):
13836 (dbus-unregister-service): Skip :serial entries in
13837 `dbus-registered-objects-table'.
13838 (dbus-byte-array-to-string): New optional arg MULTIBYTE.
13840 2013-12-04 Teodor Zlatanov <tzz@lifelogs.com>
13842 * emacs-lisp/lisp-mnt.el (lm-keywords-list): Trim whitespace
13843 around keywords with extra `split-string' argument.
13845 2013-12-04 Martin Rudalics <rudalics@gmx.at>
13847 * windmove.el (windmove-other-window-loc): Handle navigation
13848 between windows (excluding the minibuffer window - Bug#16017).
13850 2013-12-04 Michael Albinus <michael.albinus@gmx.de>
13852 * net/dbus.el (dbus-byte-array-to-string): Accept also byte arrays
13853 in D-Bus type syntax.
13854 (dbus-unescape-from-identifier): Use `byte-to-string' in order to
13855 preserve unibyte strings. (Bug#16048)
13857 2013-12-04 Stefan Monnier <monnier@iro.umontreal.ca>
13859 * emacs-lisp/eldoc.el (eldoc-minibuffer-message):
13860 Call force-mode-line-update is the proper buffer (bug#16042).
13862 2013-12-04 Dmitry Gutov <dgutov@yandex.ru>
13864 * vc/log-edit.el (log-edit-add-new-comment): Rename to
13865 `log-edit-remember-comment', make argument optional. Adjust all
13867 (log-edit-mode): Add `log-edit-remember-comment' to
13868 `kill-buffer-hook' locally.
13869 (log-edit-kill-buffer): Don't remember comment explicitly since
13870 the buffer is killed anyway.
13872 2013-12-04 Juri Linkov <juri@jurta.org>
13874 * isearch.el (isearch-mode, isearch-done): Don't set arg LOCAL in
13875 add-hook and remove-hook for multi-buffer search. (Bug#16035)
13877 2013-12-03 Tom Regner <tom@goochesa.de> (tiny change)
13879 * notifications.el (notifications-close-notification): Call the
13880 D-Bus method with ID being a `:uint32'. (Bug#16030)
13882 2013-12-03 Katsumi Yamaoka <yamaoka@jpl.org>
13884 * net/eww.el (eww-render): Don't pass arg to eww-display-image.
13886 2013-12-03 Juri Linkov <juri@jurta.org>
13888 * progmodes/compile.el (compilation-start): Rename window alist
13889 entry `no-display-ok' to `allow-no-window'.
13891 * simple.el (shell-command): Add window alist entry
13892 `allow-no-window' to `display-buffer'.
13893 (async-shell-command): Doc fix.
13895 * window.el (display-buffer-no-window): New action function.
13896 (display-buffer-alist, display-buffer): Doc fix. (Bug#13594)
13898 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
13900 * vc/log-edit.el (log-edit-set-header): Extract from
13901 `log-edit-toggle-header'.
13902 (log-edit-extract-headers): Separate the summary, when extracted
13903 from header, from the rest of the message with an empty line.
13905 * vc/vc-git.el (vc-git-log-edit-toggle-amend): Move the summary
13906 line, if present, to the Summary header.
13908 2013-12-02 Stefan Monnier <monnier@iro.umontreal.ca>
13910 * epa-file.el (epa-file-insert-file-contents): Ensure we insert text
13911 in current-buffer (bug#16029).
13913 2013-12-02 Helmut Eller <eller.helmut@gmail.com>
13915 * emacs-lisp/debug.el (debugger-toggle-locals): New command.
13916 (debugger-mode-map): Bind it.
13917 (debugger--backtrace-base): New function.
13918 (debugger-eval-expression): Use it.
13919 (debugger-frame-number): Skip local vars when present.
13920 (debugger--locals-visible-p, debugger--insert-locals)
13921 (debugger--show-locals, debugger--hide-locals): New functions.
13923 2013-12-02 Michael Albinus <michael.albinus@gmx.de>
13925 * net/tramp-sh.el (tramp-remote-process-environment): Do not set
13927 (tramp-get-remote-locale): New defun.
13928 (tramp-open-connection-setup-interactive-shell): Use it.
13930 2013-12-02 Leo Liu <sdl.web@gmail.com>
13932 * subr.el (process-live-p): Return nil for non-process. (Bug#16023)
13934 * progmodes/sh-script.el (sh-shell-process):
13935 * progmodes/octave.el (inferior-octave-process-live-p):
13936 * progmodes/gdb-mi.el (gdb-delchar-or-quit)
13937 (gdb-inferior-io-sentinel):
13938 * emacs-lock.el (emacs-lock-live-process-p): All uses changed.
13940 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
13942 * vc/log-edit.el (log-edit-kill-buffer): Move the use of
13943 `save-selected-window' to `log-edit-hide-buf'. This makes
13944 `log-edit-show-files' idempotent.
13945 (log-edit-show-files): Mark the new window as dedicated.
13947 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
13949 * vc/log-edit.el (log-edit-mode-map): Add binding for
13950 `log-edit-kill-biffer'.
13951 (log-edit-hide-buf): Add a FIXME comment.
13952 (log-edit-add-new-comment): New function, extracted from
13954 (log-edit-done, log-edit-add-to-changelog): Use it.
13955 (log-edit-kill-buffer): New command.
13957 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
13959 * net/eww.el (eww-mode-map): Have `q' do a normal `quit-window'
13960 instead of killing the buffer.
13962 2013-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
13964 * simple.el (newline): Mention `electric-indent-mode' (bug#16015).
13966 2013-12-01 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
13968 * net/eww.el (eww-form-checkbox-selected-symbol)
13969 (eww-form-checkbox-symbol): New customizable variable.
13970 (eww-form-checkbox, eww-toggle-checkbox):
13971 Use `eww-form-checkbox-selected-symbol' and `eww-form-checkbox-symbol'.
13973 * net/shr.el (shr-prefer-media-type-alist): New customizable variable.
13974 (shr--get-media-pref, shr--extract-best-source): New function.
13975 (shr-tag-video, shr-tag-audio): Use `shr--extract-best-source' when
13976 no :src tag was specified.
13978 * net/eww.el (eww-use-external-browser-for-content-type): New variable.
13979 (eww-render): Handle `eww-use-external-browser-for-content-type'.
13980 Use \\` to match beginning of string instead of ^.
13981 (eww-browse-with-external-browser): Provide optional URL parameter.
13982 (eww-render): Set `eww-current-title' back to "".
13984 * net/shr.el (shr-tag-video): Display content for video if no
13985 poster is available.
13986 (shr-tag-audio): Add support for <audio> tag.
13988 * net/eww.el (eww-text-input-types): New const.
13989 (eww-process-text-input): Treat input types in
13990 `eww-text-input-types' as text.
13992 * net/shr.el (shr-tag-table): Fix comment typo.
13994 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
13996 * net/eww.el (eww-follow-link): New command to avoid reloading
13997 pages when we follow #target links (bug#15243).
13998 (eww-quit): Special mode buffers shouldn't query before exiting.
14000 2013-12-01 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
14002 * net/eww.el (eww-tag-select): Support <optgroup> tags in <select>
14005 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
14007 * net/eww.el (eww-restore-history): Update the window title after
14008 moving in the history.
14009 (eww-current-dom): New variable used to save the current DOM.
14011 2013-12-01 Dmitry Gutov <dgutov@yandex.ru>
14013 * vc/log-edit.el (log-edit-mode-map): Add binding for
14014 `log-edit-beginning-of-line'.
14015 (log-edit-setup-add-author): New user option.
14016 (log-edit-beginning-of-line): New command.
14017 (log-edit): Move major mode call above the contents setup so that
14018 the local variable values are already applied.
14019 (log-edit): Only insert "Author: " when
14020 `log-edit-setup-add-author' is non-nil.
14021 (log-edit): When SETUP is non-nil, position point after ": "
14022 instead of point-min.
14024 2013-12-01 Glenn Morris <rgm@gnu.org>
14026 * startup.el (command-line): Warn if ~/emacs.d is in load-path.
14028 2013-11-30 Eli Zaretskii <eliz@gnu.org>
14030 * startup.el (fancy-splash-frame): On MS-Windows, trigger
14031 redisplay to make sure the initial frame gets a chance to become
14032 visible. (Bug#16014)
14034 2013-11-30 Martin Rudalics <rudalics@gmx.at>
14036 Support resizing frames and windows pixelwise.
14037 * cus-start.el (frame-resize-pixelwise)
14038 (window-resize-pixelwise): New entries.
14039 * emacs-lisp/debug.el (debug): Use window-total-height instead
14040 of window-total-size.
14041 * frame.el (tool-bar-lines-needed): Defalias to tool-bar-height.
14042 * help.el (describe-bindings-internal): Use help-buffer as
14043 argument for with-help-window.
14044 (temp-buffer-max-width): New option.
14045 (resize-temp-buffer-window, help-window-setup)
14046 (with-help-window): Rewrite.
14047 * mouse.el (mouse-drag-line): Rewrite. Add key bindings for
14049 * window.el (frame-char-size, window-min-pixel-height)
14050 (window-safe-min-pixel-height, window-safe-min-pixel-width)
14051 (window-min-pixel-width, window-safe-min-pixel-size)
14052 (window-combination-p, window-safe-min-size)
14053 (window-resizable-p, window--size-to-pixel)
14054 (window--pixel-to-size, window--resize-apply-p): New functions.
14055 (window-safe-min-height): Fix doc-string.
14056 (window-size, window-min-size, window--min-size-1)
14057 (window-sizable, window-sizable-p, window--min-delta-1)
14058 (window-min-delta, window--max-delta-1, window-max-delta)
14059 (window--resizable, window--resizable-p, window-resizable)
14060 (window-full-height-p, window-full-width-p, window-at-side-p)
14061 (window--in-direction-2, window-in-direction)
14062 (window--resize-reset-1, window--resize-mini-window)
14063 (window-resize, window-resize-no-error)
14064 (window--resize-child-windows-normal)
14065 (window--resize-child-windows, window--resize-siblings)
14066 (window--resize-this-window, window--resize-root-window)
14067 (window--resize-root-window-vertically)
14068 (adjust-window-trailing-edge, enlarge-window, shrink-window)
14069 (maximize-window, minimize-window, delete-window)
14070 (quit-restore-window, window-split-min-size, split-window)
14071 (balance-windows-2, balance-windows)
14072 (balance-windows-area-adjust, balance-windows-area)
14073 (window--state-get-1, window-state-get, window--state-put-1)
14074 (window--state-put-2, window-state-put)
14075 (display-buffer-record-window, window--display-buffer):
14076 Make functions handle pixelwise sizing of windows.
14077 (display-buffer--action-function-custom-type)
14078 (display-buffer-fallback-action):
14079 Add display-buffer-in-previous-window.
14080 (display-buffer-use-some-window): Resize window to height it had
14082 (fit-window-to-buffer-horizontally): New option.
14083 (fit-frame-to-buffer): Describe new values.
14084 (fit-frame-to-buffer-bottom-margin): Replace with
14085 fit-frame-to-buffer-margins.
14086 (window--sanitize-margin): New function.
14087 (fit-frame-to-buffer, fit-window-to-buffer): Rewrite completely
14088 using window-text-pixel-size.
14090 2013-11-30 Glenn Morris <rgm@gnu.org>
14092 * emacs-lisp/bytecomp.el (byte-compile-form):
14093 Make the `interactive-only' warning like the `obsolete' one.
14094 * comint.el (comint-run):
14095 * files.el (insert-file-literally, insert-file):
14096 * replace.el (replace-string, replace-regexp):
14097 * simple.el (beginning-of-buffer, end-of-buffer, delete-backward-char)
14098 (goto-line, insert-buffer, next-line, previous-line):
14099 Tweak `interactive-only' spec.
14101 Stop keeping (most) generated cedet grammar files in the repository.
14102 * Makefile.in (semantic): New.
14103 (compile-main): Depend on semantic.
14105 2013-11-29 Stefan Monnier <monnier@iro.umontreal.ca>
14107 * net/newst-reader.el (newsticker-html-renderer): Default to SHR if
14108 available. Suggested by Clément B. <barthele1u@etu.univ-lorraine.fr>.
14110 * uniquify.el (uniquify-buffer-name-style): Change default.
14112 * loadup.el: Preload "uniquify".
14114 * time.el (display-time-update): Update all mode lines (bug#15999).
14116 * electric.el (electric-indent-mode): Enable by default.
14117 * loadup.el: Preload "electric".
14119 2013-11-29 Bozhidar Batsov <bozhidar@batsov.com>
14121 * emacs-lisp/helpers.el (string-empty-p): New function.
14122 (string-blank-p): New function.
14124 2013-11-29 Andreas Politz <politza@hochschule-trier.de>
14126 * imenu.el (imenu--index-alist): Add missing dot to the docstring
14129 2013-11-29 Andreas Politz <politza@fh-trier.de>
14130 * imenu.el (imenu--subalist-p): Don't error on non-conses and
14131 allow non-lambda lists as functions.
14132 (imenu--in-alist): Don't recurse into non-subalists.
14133 (imenu): Don't pass function itself as an argument (Bug#14029).
14135 2013-11-29 Stefan Monnier <monnier@iro.umontreal.ca>
14137 * progmodes/python.el (python-mode-map): Remove binding for ":".
14138 (python-indent-electric-colon): Remove command.
14139 (python-indent-post-self-insert-function): Integrate the previous code
14140 of python-indent-electric-colon. Make it conditional on
14141 electric-indent-mode.
14142 (python-mode): Add ?: to electric-indent-chars.
14143 Move python-indent-post-self-insert-function to the end of
14144 post-self-insert-hook.
14146 2013-11-28 Stefan Monnier <monnier@iro.umontreal.ca>
14148 * doc-view.el (doc-view-goto-page): Update mode-line.
14150 * vc/vc-dispatcher.el (vc-log-edit): Setup the Summary&Author headers.
14152 2013-11-27 Glenn Morris <rgm@gnu.org>
14154 * international/charprop.el, international/uni-bidi.el:
14155 * international/uni-category.el, international/uni-combining.el:
14156 * international/uni-comment.el, international/uni-decimal.el:
14157 * international/uni-decomposition.el, international/uni-digit.el:
14158 * international/uni-lowercase.el, international/uni-mirrored.el:
14159 * international/uni-name.el, international/uni-numeric.el:
14160 * international/uni-old-name.el, international/uni-titlecase.el:
14161 * international/uni-uppercase.el:
14162 Remove generated files from VCS repository.
14164 2013-11-27 Eli Zaretskii <eliz@gnu.org>
14166 * filenotify.el (file-notify-add-watch): Don't special-case
14167 w32notify when computing the directory to watch.
14169 2013-11-27 Glenn Morris <rgm@gnu.org>
14171 Make bootstrap without generated uni-*.el files possible again.
14172 * loadup.el: Update command-line-args checking for unidata-gen.
14173 Add vc to load-path to allow loading vc-bzr when writing uni-*.el.
14174 * composite.el, international/characters.el:
14175 Handle unicode tables being undefined.
14177 Move ja-dic, quail, leim-list.el from ../leim to a leim subdirectory.
14178 * Makefile.in (setwins_for_subdirs): Skip leim/ directory.
14179 (compile-main): Depend on leim rule.
14181 * loadup.el: Move leim-list.el to leim/ subdirectory.
14182 * startup.el (normal-top-level): No more leim directory.
14183 * international/ja-dic-cnv.el (skkdic-convert):
14184 Disable version-control and autoloads in output files.
14185 * international/titdic-cnv.el (titdic-convert, miscdic-convert):
14186 Disable version-control and autoloads in output files.
14187 * leim/quail: Move here from ../leim.
14188 * leim/quail/hangul.el (hangul-input-method-activate):
14189 Add autoload cookie.
14190 (generated-autoload-load-name): Set file-local value.
14191 * leim/quail/uni-input.el (ucs-input-activate): Add autoload cookie.
14192 (generated-autoload-load-name): Set file-local value.
14194 2013-11-26 Kenjiro NAKAYAMA <knakayam@redhat.com>
14196 * net/eww.el (eww-bookmark-browse): Use 'eww-browse-url'.
14197 (eww-add-bookmark): Ask confirmation when add to bookmarks.
14198 (eww-quit): Ask confirmation before quitting eww.
14200 2013-11-26 Eli Zaretskii <eliz@gnu.org>
14202 * vc/vc.el (vc-diff-internal): Use *-dos coding-system when
14203 reading output from Diff on MS-Windows and MS-DOS.
14205 2013-11-26 Bozhidar Batsov <bozhidar@batsov.com>
14207 * emacs-lisp/helpers.el (string-reverse): New function.
14209 2013-11-26 Michael Albinus <michael.albinus@gmx.de>
14211 * net/tramp.el (tramp-file-name-regexp-unified): Support IPv6 host
14212 names on MS Windows, like "/[::1]:".
14214 * net/tramp-sh.el (tramp-sh-handle-insert-directory): Accept nil
14217 2013-11-26 Glenn Morris <rgm@gnu.org>
14219 * progmodes/python.el (python-indent-guess-indent-offset):
14220 Avoid corner-case error. (Bug#15975)
14222 Preload leim-list.el. (Bug#4789)
14223 * loadup.el: Load leim-list.el when found.
14224 * startup.el (normal-top-level): Skip re-loading leim/leim-list.el.
14226 2013-11-25 Bozhidar Batsov <bozhidar@batsov.com>
14228 * emacs-lisp/bytecomp.el (byte-compile-form): Fix a typo.
14230 * emacs-lisp/helpers.el (string-join): New function.
14232 2013-11-25 Sebastian Wiesner <lunaryorn@gmail.com> (tiny change)
14234 * emacs-lisp/bytecomp.el (byte-compile-interactive-only-functions):
14235 Mark as obsolete and replace it with a symbol property.
14236 (byte-compile-form): Use new 'interactive-only property.
14237 * comint.el, files.el, replace.el, simple.el:
14238 Apply new 'interactive-only properly.
14240 2013-11-25 Martin Rudalics <rudalics@gmx.at>
14242 * window.el (display-buffer-at-bottom): Make sure that
14243 split-window-sensibly creates the new window on bottom
14246 2013-11-23 David Kastrup <dak@gnu.org>
14248 * vc/smerge-mode.el (smerge-ediff): Choose default buffer names based
14249 on the conflict markers when available.
14250 (smerge--get-marker): New function.
14251 (smerge-end-re, smerge-base-re): Add subgroup.
14253 2013-11-25 Stefan Monnier <monnier@iro.umontreal.ca>
14255 * frame.el (handle-focus-in, handle-focus-out): Add missing
14258 2013-11-25 Michael Albinus <michael.albinus@gmx.de>
14260 * net/tramp-cmds.el (tramp-cleanup-connection): Clean up
14261 `tramp-current-connection' only when KEEP-PASSWORD is non-nil.
14263 2013-11-25 Stefan Monnier <monnier@iro.umontreal.ca>
14265 * play/gomoku.el: Don't use intangible property. Use lexical-binding.
14266 (gomoku--last-pos): New var.
14267 (gomoku--intangible-chars): New const.
14268 (gomoku--intangible): New function.
14269 (gomoku-mode): Use it. Derive from special-mode.
14270 (gomoku-move-up): Adjust line count.
14271 (gomoku-click, gomoku-point-y, gomoku-point-square, gomoku-goto-xy)
14272 (gomoku-plot-square, gomoku-init-display, gomoku-cross-qtuple):
14273 Simplify accordingly.
14275 * frame.el (handle-focus-in, handle-focus-out): Move from frame.c.
14276 Remove blink-cursor code.
14277 (blink-cursor-timer-function, blink-cursor-suspend):
14278 Don't special-case GUIs.
14279 (blink-cursor-mode): Use focus-in/out-hook.
14281 2013-11-25 Dmitry Gutov <dgutov@yandex.ru>
14283 * vc/vc-git.el (vc-git-annotate-extract-revision-at-line): Make it
14284 work when annotation is invisible (Bug#13886).
14286 2013-11-24 Simon Schubert <2@0x2c.org> (tiny change)
14288 * json.el (json-alist-p): Only return non-nil if the alist has
14289 simple keys (Bug#13518).
14291 2013-11-24 Mihir Rege <mihirrege@gmail.com> (tiny change)
14293 * progmodes/js.el (js--ctrl-statement-indentation): Fix indent
14294 when control-statement is the first statement in a buffer (Bug#15956).
14296 2013-11-24 Dmitry Gutov <dgutov@yandex.ru>
14298 * imenu.el (imenu-generic-skip-comments-and-strings):
14299 New option (Bug#15560).
14300 (imenu--generic-function): Use it.
14302 2013-11-24 Jorgen Schaefer <contact@jorgenschaefer.de>
14304 * minibuffer.el (completion--in-region-1): Scroll the correct window.
14307 2013-11-24 Bozhidar Batsov <bozhidar@batsov.com>
14309 * emacs-lisp/helpers.el: Add some string helpers.
14310 (string-trim-left): Removes leading whitespace.
14311 (string-trim-right): Removes trailing whitespace.
14312 (string-trim): Removes leading and trailing whitespace.
14314 * subr.el (string-suffix-p): New function.
14316 2013-11-23 Glenn Morris <rgm@gnu.org>
14318 * progmodes/python.el (python-shell-send-file):
14319 Add option to delete file when done. (Bug#15647)
14320 (python-shell-send-string, python-shell-send-region): Use it.
14322 2013-11-23 Ivan Shmakov <ivan@siamics.net>
14324 * vc/diff-mode.el (diff-mode): Only allow diff-default-read-only
14325 to set buffer-read-only to t, never to nil. (Bug#15938)
14327 * textmodes/tex-mode.el (latex-noindent-environments):
14328 Add safe-local-variable property. (Bug#15936)
14330 2013-11-23 Glenn Morris <rgm@gnu.org>
14332 * textmodes/enriched.el (enriched-mode): Doc fix.
14333 * emacs-lisp/authors.el (authors-renamed-files-alist):
14334 Add enriched.doc -> enriched.txt.
14336 * Makefile.in (emacs): Empty EMACSLOADPATH rather than unsetting.
14338 2013-11-22 Leo Liu <sdl.web@gmail.com>
14340 * progmodes/octave.el (inferior-octave-startup): Spit out error
14343 2013-11-22 Bozhidar Batsov <bozhidar@batsov.com>
14345 * progmodes/ruby-mode.el (ruby-custom-encoding-magic-comment-template):
14348 (ruby-encoding-magic-comment-style): Add :version.
14350 2013-11-22 Leo Liu <sdl.web@gmail.com>
14352 * progmodes/octave.el (octave-operator-regexp): Exclude newline.
14354 (octave-help-mode): Adapt to change to help-mode-finish to use
14355 derived-mode-p on 2013-09-17.
14356 (inferior-octave-prompt): Also match octave-gui.
14357 (octave-kill-process): Don't ask twice. (Bug#10564)
14359 2013-11-22 Leo Liu <sdl.web@gmail.com>
14361 * progmodes/octave.el (inferior-octave-process-live-p): New helper.
14362 (inferior-octave-startup, inferior-octave-check-process)
14363 (inferior-octave-track-window-width-change)
14364 (octave-completion-at-point, octave-eldoc-function): Use it.
14365 (octave-kill-process): Provide confirmation. (Bug#10564)
14367 2013-11-21 Leo Liu <sdl.web@gmail.com>
14369 * progmodes/octave.el (octave-mode, inferior-octave-mode):
14370 Fix obsolete variable comment-use-global-state.
14372 2013-11-21 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
14374 * progmodes/octave.el (octave-mode-map, octave-mode-menu):
14375 Add `octave-source-file'.
14376 (octave-source-file): New function. (Bug#15935)
14378 2013-11-21 Kenjiro Nakayama <nakayamakenjiro@gmail.com>
14380 * net/eww.el (eww-local-regex): New variable.
14381 (eww): Use it to detect localhost and similar.
14383 2013-11-21 Leo Liu <sdl.web@gmail.com>
14385 Add completion for command `ag'.
14386 * pcmpl-x.el (pcmpl-x-ag-options): New variable.
14387 (pcomplete/ag): New function.
14388 (pcmpl-x-ag-options): New function. Handle `[no]' in long options.
14390 2013-11-21 Stefan Monnier <monnier@iro.umontreal.ca>
14392 * emacs-lisp/byte-run.el (eval-when-compile): Fix edebug spec
14394 (make-obsolete): Remove interactive spec.
14396 2013-11-21 Glenn Morris <rgm@gnu.org>
14398 * startup.el (command-line-1): Use path-separator with -L.
14400 2013-11-20 Teodor Zlatanov <tzz@lifelogs.com>
14402 * emacs-lisp/package.el (describe-package-1): Add package archive
14405 2013-11-20 Bozhidar Batsov <bozhidar@batsov.com>
14407 * progmodes/ruby-mode.el (ruby-custom-encoding-magic-comment-template):
14408 Change default to "# encoding: %s" to differentiate it from the
14409 default Ruby encoding comment template.
14411 2013-11-20 Era Eriksson <era+emacsbugs@iki.fi>
14413 * ses.el (ses-mode): Doc fix. (Bug#14748)
14415 2013-11-20 Leo Liu <sdl.web@gmail.com>
14417 * window.el (display-buffer-alist): Doc fix. (Bug#13594)
14419 2013-11-19 Dan Nicolaescu <dann@gnu.org>
14421 * vc/vc-git.el (vc-git-dir-extra-headers): Add headers
14422 when rebase or bisect are in progress.
14424 2013-11-19 Xue Fuqiao <xfq.free@gmail.com>
14426 * filenotify.el (file-notify-add-watch): Doc fix.
14428 2013-11-19 Leo Liu <sdl.web@gmail.com>
14430 * obsolete/rcompile.el: Mark obsolete.
14432 * progmodes/compile.el (compilation-start)
14433 (compilation-goto-locus, compilation-find-file):
14434 Pass no-display-ok and handle nil value from display-buffer.
14437 * window.el (display-buffer-alist, display-buffer): Document the
14438 new parameter no-display-ok. Return either a window or nil
14439 but never a non-window value.
14441 2013-11-18 Stefan Monnier <monnier@iro.umontreal.ca>
14443 * electric.el (electric-indent-mode-map): Remove.
14444 (electric-indent-mode): Change the global-map instead (bug#15915).
14446 * textmodes/text-mode.el (paragraph-indent-minor-mode):
14449 2013-11-17 Stefan Monnier <monnier@iro.umontreal.ca>
14451 * emacs-lisp/nadvice.el (remove-function): Align with
14452 add-function's behavior.
14454 * progmodes/gdb-mi.el: Avoid backtracking in regexp matcher.
14455 (gdb--string-regexp): New constant.
14456 (gdb-tooltip-print, gdb-var-evaluate-expression-handler)
14457 (gdbmi-bnf-stream-record, gdb-jsonify-buffer): Use it.
14458 (gdb-source-file-regexp, gdb-prompt-name-regexp): Use it and change
14460 (gdb-get-source-file-list, gdb-get-prompt, gdb-get-source-file):
14461 Adjust use accordingly.
14462 (gdb-breakpoints-list-handler-custom): Pre-build the y/n string.
14464 2013-11-17 Adam Sokolnicki <adam.sokolnicki@gmail.com> (tiny change)
14466 * progmodes/ruby-mode.el (ruby-toggle-block): Don't stop at
14467 interpolation curlies (Bug#15914).
14469 2013-11-17 Jay Belanger <jay.p.belanger@gmail.com>
14471 * calc/calc.el (calc-context-sensitive-enter): New variable.
14472 (calc-enter): Use `calc-context-sensitive-enter'.
14474 2013-11-16 Teodor Zlatanov <tzz@lifelogs.com>
14476 * progmodes/cfengine.el: Version bump.
14477 (cfengine-cf-promises): New defcustom to locate cf-promises.
14478 (cfengine3-vartypes): Add new "data" type.
14479 (cfengine3--current-word): New function to get current name-like
14480 word or its bounds.
14481 (cfengine3--current-function): New function to look up a CFEngine
14482 function's definition.
14483 (cfengine3-format-function-docstring): New function.
14484 (cfengine3-make-syntax-cache): New function.
14485 (cfengine3-documentation-function): New function: ElDoc glue.
14486 (cfengine3-completion-function): New function: completion glue.
14487 (cfengine3-mode): Set `compile-command',
14488 `eldoc-documentation-function', and add to
14489 `completion-at-point-functions'.
14491 2013-11-16 Michael Albinus <michael.albinus@gmx.de>
14493 * net/tramp-cmds.el (tramp-cleanup-connection): Clean up
14494 `tramp-current-connection'.
14496 2013-11-15 Dmitry Gutov <dgutov@yandex.ru>
14498 * progmodes/ruby-mode.el (ruby-font-lock-keywords): End regexp for
14499 nil/self/true/false with "end of symbol".
14501 2013-11-15 Bozhidar Batsov <bozhidar@batsov.com>
14503 * subr.el (version-regexp-alist): Fix a typo.
14505 2013-11-15 Michael Albinus <michael.albinus@gmx.de>
14507 * net/tramp-sh.el (tramp-remote-process-environment): Set "LC_ALL" to
14508 "en_US.utf8" and "LC_CTYPE" to "".
14509 (tramp-maybe-open-connection): Set "LC_ALL" to "en_US.utf8".
14510 (tramp-sh-handle-insert-directory): Don't set "LC_ALL" and "LC_CTYPE".
14512 2013-11-15 Leo Liu <sdl.web@gmail.com>
14514 * loadhist.el (read-feature): Get rid of fake feature nil. (Bug#15889)
14516 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
14518 * progmodes/gud.el (ctl-x-map):
14519 Remove C-x SPC binding. (Bug#12342)
14520 (gud-jdb-find-source-using-classpath): Remove ((lambda (..)..)..).
14522 2013-11-14 Bozhidar Batsov <bozhidar@batsov.com>
14524 * subr.el (version-regexp-alist):
14525 Recognize hg, svn and darcs versions as snapshot versions.
14527 * progmodes/ruby-mode.el (ruby--detect-encoding): Make aware of
14528 'always-utf8 value of `ruby-insert-encoding-magic-comment'.
14529 (ruby--encoding-comment-required-p): Extract from
14530 `ruby-mode-set-encoding'.
14531 (ruby-mode-set-encoding): Add the ability to always insert an
14532 utf-8 encoding comment. Fix and simplify coding comment update
14535 2013-11-14 Michael Albinus <michael.albinus@gmx.de>
14537 * net/tramp-gvfs.el (top): Run init code only when
14538 `tramp-gvfs-enabled' is not nil.
14539 (tramp-gvfs-enabled): Check also :system bus.
14541 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
14543 Sync with upstream verilog-mode revision 78e66ba.
14544 * progmodes/verilog-mode.el (verilog-end-of-defun)
14545 (verilog-type-completion, verilog-get-list): Remove unused funcs.
14546 (verilog-get-end-of-defun): Remove unused argument.
14547 (verilog-comment-depth): Remove unused local `e'.
14548 (verilog-read-decls, verilog-read-sub-decls, verilog-read-instants):
14549 Don't pass arg to verilog-get-end-of-defun.
14551 2013-11-14 Glenn Morris <rgm@gnu.org>
14553 * obsolete/assoc.el (aget): Prefix dynamic variable.
14555 * allout-widgets.el (allout-widgets): No need to autoload defgroup.
14557 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
14559 * widget.el, hfy-cmap.el: Remove bogus package version number.
14561 2013-11-13 Glenn Morris <rgm@gnu.org>
14563 * replace.el (replace-eval-replacement):
14564 Try to give more helpful error message. (Bug#15836)
14566 * arc-mode.el (archive-7z-extract, archive-7z-expunge)
14567 (archive-7z-update): Avoid custom type mismatches.
14569 * vc/vc.el (vc-diff-knows-L): Remove; unused since 2007-10-10.
14571 2013-11-13 Michael Albinus <michael.albinus@gmx.de>
14573 * net/tramp.el (tramp-remote-file-name-spec-regexp): An IPv6
14574 address can be empty.
14576 * net/tramp-gvfs.el (tramp-gvfs-handle-insert-directory):
14577 Accept nil SWITCHES.
14578 (tramp-gvfs-handle-write-region): Implement APPEND.
14580 2013-11-12 Dmitry Gutov <dgutov@yandex.ru>
14582 * progmodes/ruby-mode.el (ruby-smie-grammar): Disambiguate between
14583 binary "|" operator and closing block args delimiter.
14584 Remove FIXME comment referring to Ruby 1.8-only syntax.
14585 (ruby-smie--implicit-semi-p): Not after "|" operator.
14586 (ruby-smie--closing-pipe-p): New function.
14587 (ruby-smie--forward-token, ruby-smie--backward-token): Use it.
14588 (ruby-smie-rules): Indent after "|".
14590 2013-11-12 Glenn Morris <rgm@gnu.org>
14592 * ps-print.el (ps-face-attribute-list):
14593 Handle anonymous faces. (Bug#15827)
14595 2013-11-12 Martin Rudalics <rudalics@gmx.at>
14597 * window.el (display-buffer-other-frame): Fix doc-string.
14600 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
14602 * subr.el (force-mode-line-update): Delete, move to buffer.c.
14604 2013-11-11 Michael Albinus <michael.albinus@gmx.de>
14606 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer)
14607 (tramp-sh-handle-file-local-copy): Don't write a message when
14608 saving temporary files.
14610 * net/tramp-smb.el (tramp-smb-handle-copy-directory): Fix bug when
14611 both directories are remote.
14612 (tramp-smb-handle-directory-files): Do not return double entries.
14613 Do not expand full file names.
14614 (tramp-smb-handle-insert-directory): Accept nil SWITCHES.
14615 (tramp-smb-handle-write-region): Implement APPEND.
14616 (tramp-smb-get-stat-capability): Fix a stupid bug.
14618 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
14620 * bindings.el (ctl-x-map): Bind C-x SPC to rectangle-mark-mode.
14622 2013-11-11 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
14624 * emacs-lisp/cconv.el (cconv-convert): Print warning instead of
14625 throwing error over malformed let/let* (bug#15814).
14627 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
14629 * iswitchb.el (iswitchb-mode): Mark obsolete.
14631 2013-11-11 Glenn Morris <rgm@gnu.org>
14633 * international/uni-bidi.el, international/uni-category.el:
14634 * international/uni-name.el, international/uni-numeric.el:
14635 Regenerate for Unicode 6.3.0.
14637 2013-11-10 Michael Albinus <michael.albinus@gmx.de>
14639 * net/tramp.el (tramp-methods):
14640 * net/tramp-sh.el (tramp-compute-multi-hops): Revert change of
14641 2013-10-29 (2013-10-29T02:50:24Z!dancol@dancol.org).
14643 2013-11-09 Andreas Schwab <schwab@linux-m68k.org>
14645 * progmodes/sh-script.el (sh-font-lock-keywords-var):
14646 Force highlighting text after Summary keyword in doc face for rpm.
14648 2013-11-09 Dmitry Gutov <dgutov@yandex.ru>
14650 * textmodes/ispell.el (ispell-lookup-words): When `look' is not
14651 available and the word has no wildcards, append one to the grep pattern.
14652 http://lists.gnu.org/archive/html/emacs-devel/2013-11/msg00258.html
14653 (ispell-complete-word): Call `ispell-lookup-words' with the value
14654 independent of `ispell-look-p'.
14656 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
14658 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p):
14660 (ruby-smie-rules): Indent non-hanging "begin" blocks as part of
14663 2013-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
14665 * progmodes/ruby-mode.el: Don't require cl any more. Use pcase instead.
14666 (ruby-font-lock-keywords): Use backquote.
14668 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
14670 * progmodes/ruby-mode.el (ruby-smie--forward-token)
14671 (ruby-smie--backward-token): Only consider full-string matches.
14673 2013-11-08 Jan Djärv <jan.h.d@swipnet.se>
14675 * faces.el (describe-face): Add distant-foreground.
14677 2013-11-08 Bozhidar Batsov <bozhidar@batsov.com>
14679 * progmodes/ruby-mode.el: Improve encoding comment handling.
14680 (ruby-encoding-magic-comment-style): New option.
14681 (ruby-custom-encoding-magic-comment-template): New option.
14682 (ruby--insert-coding-comment, ruby--detect-encoding):
14683 New functions extracted from `ruby-mode-set-encoding'.
14684 (ruby-mode-set-encoding): Use `ruby-encoding-magic-comment-style'
14685 to control the style of the auto-inserted encoding comment.
14687 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
14689 * progmodes/ruby-mode.el (ruby-smie--indent-to-stmt):
14690 Use `smie-backward-sexp' with token argument.
14692 2013-11-08 Michael Albinus <michael.albinus@gmx.de>
14694 * net/tramp-sh.el (tramp-set-remote-path, tramp-get-ls-command):
14695 Remove instrumentation code.
14697 2013-11-08 Glenn Morris <rgm@gnu.org>
14699 * progmodes/autoconf.el (autoconf-mode):
14700 Tweak comment-start-skip. (Bug#15822)
14702 2013-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
14704 * progmodes/sh-script.el (sh-smie--sh-keyword-in-p): Don't inf-loop
14705 at bobp (bug#15826).
14706 (sh-smie--sh-keyword-in-p): Recognize keywords at bobp.
14708 2013-11-08 Darren Hoo <darren.hoo@gmail.com>
14710 * man.el (Man-start-calling): New macro, extracted from
14711 Man-getpage-in-background.
14712 (Man-getpage-in-background): Use it.
14713 (Man-update-manpage): New command.
14714 (Man-mode-map): Bind it.
14716 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
14718 * progmodes/ruby-mode.el (ruby-smie-grammar): Improve precedences
14719 of "and", "or", "&&" and "||".
14720 (ruby-smie--args-separator-p): Prohibit keyword "do" as the first
14721 argument. Prohibit opening curly brace because it could only be a
14722 block opener in that position.
14723 (ruby-smie--forward-token, ruby-smie--backward-token):
14724 Separate "|" from "&" or "*" going after it. That can happen in block
14726 (ruby-smie--indent-to-stmt): New function, seeks the end of
14727 previous statement or beginning of buffer.
14728 (ruby-smie-rules): Use it.
14729 (ruby-smie-rules): Check if there's a ":" before a curly block
14730 opener candidate; if there is, it's a hash.
14732 2013-11-07 Stefan Monnier <monnier@iro.umontreal.ca>
14734 * emacs-lisp/cl-macs.el (cl-symbol-macrolet): Use macroexp-progn.
14735 (cl--block-wrapper): Fix last accidental change.
14737 2013-11-07 Michael Albinus <michael.albinus@gmx.de>
14739 * net/tramp-sh.el (tramp-set-remote-path, tramp-get-ls-command):
14740 Instrument, in order to hunt failure on hydra.
14742 2013-11-05 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
14744 * emacs-lisp/cl-macs.el (cl-symbol-macrolet): Print warning for
14745 malformed bindings form (bug#15814).
14747 2013-11-07 Dmitry Gutov <dgutov@yandex.ru>
14749 * progmodes/ruby-mode.el (ruby-smie-grammar): Lower priority of
14750 "." compared to " @ ". This incidentally fixes some indentation
14751 examples with "do".
14752 (ruby-smie--implicit-semi-p): No implicit semi after "^", "and" or "or".
14753 (ruby-smie-grammar): New tokens: "and" and "or".
14754 (ruby-smie--args-separator-p): Fix the check for tokens at POS.
14755 Exclude "and" and "or". Remove "do" in order to work around token
14757 (ruby-smie-rules): Add all infix tokens. Handle the case of
14758 beginning-of-buffer.
14760 2013-11-06 Glenn Morris <rgm@gnu.org>
14762 * Makefile.in (setwins_almost, setwins_for_subdirs):
14763 Avoid accidental matches.
14765 2013-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
14767 * menu-bar.el (popup-menu): Use key-binding.
14769 2013-11-06 Eli Zaretskii <eliz@gnu.org>
14771 * menu-bar.el (popup-menu, menu-bar-open): When displaying TTY
14772 menus, support also the menus produced by minor modes.
14775 2013-11-06 Leo Liu <sdl.web@gmail.com>
14777 * thingatpt.el (thing-at-point-looking-at): Add optional arg
14778 DISTANCE to bound the search. All uses changed. (Bug#15808)
14780 2013-11-06 Glenn Morris <rgm@gnu.org>
14782 * Makefile.in (setwins, setwins_almost, setwins_for_subdirs): Simplify.
14783 (setwins_almost, setwins_for_subdirs): Don't assume called from srcdir.
14784 (custom-deps, finder-data, autoloads, update-subdirs): No need to cd.
14786 2013-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
14788 * electric.el (electric-indent-just-newline): New command.
14789 (electric-indent-mode-map): New keymap.
14790 (electric-indent-mode, electric-pair-mode, electric-layout-mode):
14791 Re-add :group which weren't redundant.
14793 * electric.el (electric-indent-local-mode): New minor mode.
14794 (electric-indent-functions-without-reindent): New var.
14795 (electric-indent-post-self-insert-function): Use it.
14796 * emacs-lisp/gv.el (buffer-local-value): Add setter.
14798 2013-11-05 Eli Zaretskii <eliz@gnu.org>
14800 * international/quail.el (quail-help): Be more explicit about the
14801 meaning of the labels shown on the keys. (Bug#15800)
14803 * startup.el (normal-top-level): Load the subdirs.el files before
14804 setting the locale environment. (Bug#15805)
14806 2013-11-05 Stefan Monnier <monnier@iro.umontreal.ca>
14808 * vc/vc-rcs.el (vc-rcs-parse): Make `gather' get e, b, and @-holes
14809 via arguments so as to get the right ones (bug#15418).
14811 * net/rcirc.el (rcirc-record-activity): Don't abuse add-to-list.
14813 2013-11-05 Michael Albinus <michael.albinus@gmx.de>
14815 Fix problems found while writing a test suite.
14817 * net/tramp-compat.el (tramp-compat-load): New defun.
14818 * net/tramp.el (tramp-handle-load): Use it.
14820 * net/tramp-sh.el (tramp-sh-handle-add-name-to-file): Handle the case
14821 "(numberp ok-if-already-exists)" correctly.
14823 2013-11-05 Xue Fuqiao <xfq.free@gmail.com>
14825 * international/characters.el (glyphless-char-display-control):
14828 2013-11-05 Bozhidar Batsov <bozhidar@batsov.com>
14830 * progmodes/python.el (python-mode):
14831 * progmodes/scheme.el (scheme-mode):
14832 * progmodes/prolog.el (prolog-mode):
14833 * progmodes/ruby-mode.el (ruby-mode):
14834 * emacs-lisp/lisp-mode.el (lisp-mode, lisp-interaction-mode)
14835 (emacs-lisp-mode): Remove incorrect and redundant text from docstring.
14837 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
14839 * rect.el (rectangle--highlight-for-redisplay):
14840 * emacs-lisp/smie.el (smie--next-indent-change):
14841 Use buffer-chars-modified-tick.
14843 * emacs-lisp/byte-run.el (defmacro, defun): Set their `indent' property.
14845 * electric.el (electric-indent-post-self-insert-function):
14846 Only delete trailing whitepsace if it is indeed trailing (bug#15767).
14848 2013-11-04 Helmut Eller <eller.helmut@gmail.com>
14850 * emacs-lisp/cl-indent.el (with-compilation-unit): Add rule (bug#15782).
14852 2013-11-04 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
14854 * emacs-lisp/cconv.el (cconv-convert): Check form of let binding
14857 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
14859 * emacs-lisp/helpers.el: Move from helpers.el. Use lexical-binding.
14861 * progmodes/python.el: Fix up last change.
14862 (python-shell--save-temp-file): New function.
14863 (python-shell-send-string): Use it. Remove `msg' arg. Don't assume
14864 `string' comes from the current buffer.
14865 (python-shell-send-string-no-output): Remove `msg' arg.
14866 (python--use-fake-loc): New var.
14867 (python-shell-buffer-substring): Obey it. Try to compensate for the
14868 extra coding line added by python-shell--save-temp-file.
14869 (python-shell-send-region): Use python-shell--save-temp-file and
14870 python-shell-send-file directly. Add `nomain' argument.
14871 (python-shell-send-buffer): Use python-shell-send-region.
14872 (python-electric-pair-string-delimiter): New function.
14873 (python-mode): Use it.
14875 2013-11-04 Eli Zaretskii <eliz@gnu.org>
14877 * startup.el (normal-top-level): Move setting eol-mnemonic-unix,
14878 eol-mnemonic-mac, eol-mnemonic-dos, and also setup of the locale
14879 environment and decoding all of the default-directory's to here
14881 (command-line): Decode also argv[0].
14883 * loadup.el: Error out if default-directory is a multibyte string
14884 when we are dumping.
14886 * Makefile.in (emacs): Don't set LC_ALL=C. (Bug#15260)
14888 2013-11-04 Teodor Zlatanov <tzz@lifelogs.com>
14890 * emacs-lisp/package.el (package-menu-mode)
14891 (package-menu--print-info, package-menu--archive-predicate):
14892 Add Archive column to package list.
14894 2013-11-04 Michael Albinus <michael.albinus@gmx.de>
14896 Fix problems found while writing a test suite.
14898 * net/tramp.el (tramp-file-name-regexp-unified): Simplify.
14899 (tramp-file-name-for-operation): Use `tramp-tramp-file-p'.
14900 (tramp-handle-substitute-in-file-name): Let-bind `process-environment'
14901 to nil when running original file name handler. Otherwise,
14902 there are problems with constructs like "$$FOO".
14904 * net/tramp-sh.el (tramp-do-copy-or-rename-file): Use correct prefix
14907 2013-11-04 Bozhidar Batsov <bozhidar@batsov.com>
14909 * progmodes/ruby-mode.el (ruby-mode): Clean up docstring.
14911 * subr.el (version<, version<=, version=):
14912 Update docstrings with information for snapshot versions.
14914 * helpers.el: New library for misc helper functions.
14915 (hash-table-keys): New function returning a list of hash keys.
14916 (hash-table-values): New function returning a list of hash values.
14918 2013-11-04 Dmitry Gutov <dgutov@yandex.ru>
14920 * progmodes/ruby-mode.el (ruby-smie--forward-token)
14921 (ruby-smie--backward-token): Tokenize heredocs as semicolons.
14923 2013-11-04 Michal Nazarewicz <mina86@mina86.com>
14925 * textmodes/fill.el (fill-single-char-nobreak-p): New function
14926 checking whether point is after a 1-letter word.
14928 2013-11-04 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
14930 * progmodes/cperl-mode.el (cperl-font-lock-fontify-region-function):
14931 Don't infloop when expanding region over `multiline' syntax-type that
14932 begins a line (bug#15778).
14934 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
14936 * rect.el (rectangle-mark-mode): Rename from rectangle-mark.
14937 Make it into a proper minor mode.
14938 (rectangle--region): (Implicitly) rename to rectangle-mark-mode.
14939 (rectangle-mark-mode-map): New keymap.
14940 (rectangle--highlight-for-redisplay): Fix some corner cases (bug#15796).
14942 2013-11-04 Glenn Morris <rgm@gnu.org>
14944 * startup.el (command-line-1): Allow `-L :...' to append to load-path.
14946 2013-11-03 Stefan Monnier <monnier@iro.umontreal.ca>
14948 * progmodes/ruby-mode.el (ruby-smie--rule-parent-skip-assign): Remove.
14949 (ruby-smie-rules): Use smie-rule-parent instead.
14951 * emacs-lisp/smie.el (smie-rule-parent): Always call
14952 smie-indent-virtual rather than only for hanging tokens.
14953 (smie--next-indent-change): New helper command.
14955 2013-11-03 Glenn Morris <rgm@gnu.org>
14957 * Makefile.in (abs_srcdir): Remove.
14958 (emacs): Unset EMACSLOADPATH.
14960 2013-11-02 Glenn Morris <rgm@gnu.org>
14962 * Makefile.in (EMACS): Use a relative filename.
14963 (abs_top_builddir): Remove.
14964 (custom-deps, finder-data, autoloads): Use --chdir.
14966 * Makefile.in (abs_lisp): Remove, replace by abs_srcdir.
14968 Use relative filenames in TAGS files.
14969 * Makefile.in (lisptagsfiles1, lisptagsfiles2, lisptagsfiles3)
14970 (lisptagsfiles4, TAGS): Use relative file names.
14971 (TAGS-LISP): Remove.
14972 (maintainer-clean): No more TAGS-LISP file.
14974 * Makefile.in (lisptagsfiles1, lisptagsfiles2, lisptagsfiles3)
14975 (lisptagsfiles4): Use absolute filenames again.
14976 (TAGS, TAGS-LISP): Not everything needs to run in one line.
14977 Remove all *loaddefs files, not just the first. Remove esh-groups.
14978 (maintainer-clean): Delete TAGS, TAGS-LISP.
14980 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
14982 * emacs-lisp/package.el (package-version-join):
14983 Recognize snapshot versions.
14985 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
14987 * subr.el (version-regexp-alist): Add support for snapshot versions.
14989 2013-11-02 Dmitry Gutov <dgutov@yandex.ru>
14991 * progmodes/ruby-mode.el (ruby-smie--rule-parent-skip-assign):
14992 New function, replacement for `smie-rule-parent' for when we want to
14993 skip over our direct parent if it's an assignment token..
14994 (ruby-smie-rules): Use it.
14996 2013-11-02 Dmitry Gutov <dgutov@yandex.ru>
14998 * progmodes/ruby-mode.el: Use `syntax-propertize-function'
14999 unconditionally. Remove now unnecessary forward declarations.
15000 Remove XEmacs-specific setup.
15001 (ruby-here-doc-end-re, ruby-here-doc-beg-match)
15002 (ruby-font-lock-syntactic-keywords)
15003 (ruby-comment-beg-syntax, ruby-in-here-doc-p)
15004 (ruby-here-doc-find-end, ruby-here-doc-beg-syntax)
15005 (ruby-here-doc-end-syntax): Remove.
15006 (ruby-mode): Don't check whether `syntax-propertize-rules' is
15007 defined as function.
15009 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
15011 * progmodes/ruby-mode.el (ruby-mode-variables, ruby-mode): Use `setq-local'.
15013 2013-11-01 Bozhidar Batsov <bozhidar@batsov.com>
15015 * progmodes/ruby-mode.el (ruby-mode-variables): Don't set syntax
15016 table and abbrev table, `define-derived-mode' does that for us
15019 2013-11-01 Glenn Morris <rgm@gnu.org>
15021 * Makefile.in: Remove manual mh-e dependencies (writing .elc
15022 files is atomic for some time, so no parallel compilation issues).
15024 2013-11-01 Jan Djärv <jan.h.d@swipnet.se>
15026 * faces.el (face-x-resources): Add :distant-foreground.
15027 (region): Use :distant-foreground for gtk and ns.
15029 2013-11-01 Tassilo Horn <tsdh@gnu.org>
15031 Allow multiple bibliographies when BibLaTeX is used rather than
15033 * textmodes/reftex-parse.el (reftex-using-biblatex-p): New function.
15034 (reftex-locate-bibliography-files): Us it.
15036 2013-11-01 Claudio Bley <claudio.bley@googlemail.com>
15038 * image.el (image-type-header-regexps): Fix the 'pbm' part to
15039 allow comments in pbm files.
15041 * term/w32-win.el (dynamic-library-alist): Support newer versions
15042 of libjpeg starting with v7: look only for the DLL from the
15043 version against which Emacs was built.
15044 Support versions of libpng beyond 1.4.x.
15045 Support libtiff v4.x.
15047 2013-11-01 Bozhidar Batsov <bozhidar@batsov.com>
15049 * progmodes/ruby-mode.el (ruby-indent-tabs-mode)
15050 (ruby-indent-level, ruby-comment-column, ruby-deep-arglist):
15051 Add property :safe.
15052 (ruby-deep-arglist): Add property :type.
15054 2013-10-31 Glenn Morris <rgm@gnu.org>
15056 * Makefile.in (custom-deps, finder-data): No need to setq the target
15057 variables, we are in the right directory and the defaults work fine.
15059 2013-10-30 Glenn Morris <rgm@gnu.org>
15061 * Makefile.in (autoloads): Do not use abs_lisp.
15063 * emacs-lisp/autoload.el (autoload-generate-file-autoloads):
15064 `newline' does not respect `standard-output', so use `princ'.
15066 2013-10-30 Alp Aker <alp.tekin.aker@gmail.com>
15068 Ensure unmarking in buffer menu clears 'S' marks. (Bug#15761)
15069 * buff-menu.el (Buffer-menu--unmark): New function.
15070 (Buffer-menu-unmark, Buffer-menu-backup-unmark): Use it.
15072 2013-10-30 Glenn Morris <rgm@gnu.org>
15074 * Makefile.in (AUTOGENEL): Add org/org-loaddefs.el.
15076 * emacs-lisp/package.el (lm-homepage): Declare.
15078 * eshell/em-ls.el (eshell-ls-directory, eshell-ls-symlink):
15081 * vc/pcvs.el (cvs-status-cvstrees): Autoload to silence compiler.
15083 * Makefile.in (finder-data, autoloads, update-subdirs)
15084 (compile-main, compile-clean, compile-always, bootstrap-clean):
15085 Check return value of cd.
15086 (compile-calc): Remove.
15088 2013-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
15090 * simple.el (copy-region-as-kill): Fix call to region-extract-function.
15092 * emacs-lisp/bytecomp.el (byte-defop-compiler): Add new `2-and' handler.
15093 (byte-compile-and-folded): New function.
15094 (=, <, >, <=, >=): Use it.
15096 * dos-w32.el (minibuffer-history-case-insensitive-variables)
15097 (path-separator, null-device, buffer-file-coding-system)
15098 (lpr-headers-switches): Check system-type before modifying them.
15099 (find-buffer-file-type-coding-system): Mark obsolete.
15100 (w32-find-file-not-found-set-buffer-file-coding-system): Rename from
15101 find-file-not-found-set-buffer-file-coding-system.
15102 (w32-untranslated-filesystem-list, w32-untranslated-canonical-name)
15103 (w32-add-untranslated-filesystem, w32-remove-untranslated-filesystem)
15104 (w32-direct-print-region-use-command-dot-com, w32-untranslated-file-p)
15105 (w32-direct-print-region-helper, w32-direct-print-region-function)
15106 (w32-direct-ps-print-region-function): Rename by adding a "w32-" prefix.
15107 * startup.el (normal-top-level-add-subdirs-to-load-path):
15108 * ps-print.el (ps-print-region-function):
15109 * lpr.el (print-region-function): Use new name.
15111 * subr.el (custom-declare-variable-early): Remove function.
15112 (custom-declare-variable-list): Remove var.
15113 (error, user-error): Remove `while' loop.
15114 (read-quoted-char-radix, read-quoted-char): Move to simple.el.
15115 (user-emacs-directory-warning, locate-user-emacs-file):
15117 * simple.el (read-quoted-char-radix, read-quoted-char):
15118 * files.el (user-emacs-directory-warning, locate-user-emacs-file):
15120 * custom.el (custom-declare-variable-list): Don't process
15121 custom-declare-variable-list.
15123 * progmodes/python.el (python-shell-get-buffer): New function.
15124 (python-shell-get-process): Use it.
15125 (python-shell-send-string): Always use utf-8 and add a cookie to tell
15126 Python which encoding was used. Don't split-string since we only care
15127 about the first line. Return the temp-file, if applicable.
15128 (python-shell-send-region): Tell compile.el how to turn locations in
15129 the temp-file into locations in the source buffer.
15131 2013-10-29 Stefan Monnier <monnier@iro.umontreal.ca>
15133 * subr.el (undefined): Add missing behavior from the C code for
15136 * rect.el: Use lexical-binding. Add new rectangular region support.
15137 (rectangle-mark): New command.
15138 (rectangle--region): New var.
15139 (deactivate-mark-hook): Reset rectangle--region.
15140 (rectangle--extract-region, rectangle--insert-for-yank)
15141 (rectangle--highlight-for-redisplay)
15142 (rectangle--unhighlight-for-redisplay): New functions.
15143 (region-extract-function, redisplay-unhighlight-region-function)
15144 (redisplay-highlight-region-function): Use them to handle
15145 rectangular region.
15146 * simple.el (region-extract-function): New var.
15147 (delete-backward-char, delete-forward-char, deactivate-mark): Use it.
15148 (kill-new, kill-append): Remove obsolete `yank-handler' argument.
15149 (kill-region): Replace obsolete `yank-handler' arg with `region'.
15150 (copy-region-as-kill, kill-ring-save): Add `region' argument.
15151 (redisplay-unhighlight-region-function)
15152 (redisplay-highlight-region-function): New vars.
15153 (redisplay--update-region-highlight): New function.
15154 (pre-redisplay-function): Use it.
15155 (exchange-point-and-mark): Don't deactivate the mark before
15156 reactivate-it anyway.
15157 * comint.el (comint-kill-region): Remove yank-handler argument.
15158 * delsel.el (delete-backward-char, backward-delete-char-untabify)
15159 (delete-char): Remove property, since it's now part of their
15161 (self-insert-iso): Remove property since this command doesn't exist.
15163 * emacs-lisp/package.el (package--download-one-archive)
15164 (describe-package-1): Don't query the user about final newline.
15166 2013-10-29 Daniel Colascione <dancol@dancol.org>
15168 * net/tramp.el (tramp-methods): Document new functionality.
15169 * net/tramp-sh.el (tramp-compute-multi-hops): Punt to
15170 tramp-hostname-checker if method provides one instead of scanning
15171 argument list for "%h" to decide hostname acceptability.
15173 2013-10-28 Michael Albinus <michael.albinus@gmx.de>
15175 * net/tramp-sh.el (tramp-sh-handle-copy-directory):
15176 * net/tramp-smb.el (tramp-smb-handle-copy-directory):
15177 Handle COPY-CONTENTS. (Bug#15737)
15179 2013-10-28 Daiki Ueno <ueno@gnu.org>
15181 * epa-file.el (epa-file-cache-passphrase-for-symmetric-encryption):
15182 Document that this option has no effect with GnuPG 2.0 (bug#15552).
15184 2013-10-27 Xue Fuqiao <xfq.free@gmail.com>
15186 * image.el (defimage, image-load-path): Doc fixes.
15188 2013-10-27 Alan Mackenzie <acm@muc.de>
15190 Indent statements in macros following "##" correctly.
15191 * progmodes/cc-engine.el (c-crosses-statement-barrier-p):
15192 Modify the "#" arm of a cond form to handle "#" and "##" operators.
15194 2013-10-27 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
15196 * linum.el (linum-update-window): Fix boundary test (bug#13446).
15198 2013-10-27 Dmitry Gutov <dgutov@yandex.ru>
15200 * progmodes/ruby-mode.el (ruby-smie--bosp): Anything that goes
15201 after `=' is probably a new expression.
15203 2013-10-27 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
15205 * man.el (man-imenu-title): New option.
15206 (Man-mode-map): Add menu. (Bug#15722)
15207 (Man-mode): Add imenu to menu.
15209 2013-10-26 Dmitry Gutov <dgutov@yandex.ru>
15211 * progmodes/ruby-mode.el (ruby-smie--args-separator-p): Be more
15212 specific in what the first arg can be: a non-keyword word,
15213 string/regexp/percent literal opener, opening paren, or unary
15214 operator followed directly by word.
15216 2013-10-25 Stefan Monnier <monnier@iro.umontreal.ca>
15218 * progmodes/prolog.el: Remove old indent; use post-self-insert-hook.
15219 (prolog-align-comments-flag, prolog-indent-mline-comments-flag)
15220 (prolog-object-end-to-0-flag, prolog-electric-newline-flag)
15221 (prolog-electric-tab-flag, prolog-use-prolog-tokenizer-flag):
15222 Remove vars, they do not apply any more.
15223 (prolog-mode-abbrev-table): Remove redundant declaration.
15224 (prolog-upper-case-string, prolog-lower-case-string): Remove.
15225 (prolog-use-smie): Remove.
15226 (prolog-smie-rules): Add indentation rule for the if-then-else layout
15227 supported by prolog-electric-if-then-else-flag.
15228 (prolog-mode-variables, prolog-menu): Use setq-local.
15229 (prolog-mode-keybindings-edit): Don't rebind M-C-p and M-C-n.
15230 Remove binding to `Backspace' since this key doesn't exist anyway.
15231 Remove bindings for electric self-inserting keys.
15232 (prog-mode): Assume it's defined.
15233 (prolog-post-self-insert): New function.
15234 (prolog-mode): Use it.
15235 (prolog-indent-line, prolog-indent-level)
15236 (prolog-find-indent-of-matching-paren)
15237 (prolog-indentation-level-of-line, prolog-goto-comment-column)
15238 (prolog-paren-is-the-first-on-line-p, prolog-region-paren-balance)
15239 (prolog-goto-next-paren, prolog-in-string-or-comment)
15240 (prolog-tokenize, prolog-inside-mline-comment)
15241 (prolog-find-start-of-mline-comment): Remove functions.
15242 (prolog-find-unmatched-paren, prolog-clause-end)
15243 (prolog-guess-fill-prefix, prolog-get-predspec): Use syntax-ppss.
15244 (prolog-electric--if-then-else): Rename from
15245 prolog-insert-spaces-after-paren; use prolog-electric-if-then-else-flag.
15246 (prolog-tokenize-searchkey): Remove const.
15247 (prolog-clause-info): Use forward-sexp.
15248 (prolog-forward-list, prolog-backward-list, prolog-electric-delete)
15249 (prolog-electric-if-then-else): Remove commands.
15250 (prolog-electric--colon): Rename from prolog-electric-colon; adapt it
15251 for use in post-self-insert-hook.
15252 (prolog-electric--dash): Rename from prolog-electric-dash; adapt it
15253 for use in post-self-insert-hook.
15254 (prolog-electric--dot): Rename from prolog-electric-dot; adapt it
15255 for use in post-self-insert-hook.
15256 (prolog-electric--underscore): Rename from prolog-electric--underscore;
15257 adapt it for use in post-self-insert-hook.
15259 2013-10-25 Michael Albinus <michael.albinus@gmx.de>
15261 * emacs-lisp/ert.el (ert-run-tests-interactively):
15262 Use `completing-read'. (Bug#9756)
15264 2013-10-25 Eli Zaretskii <eliz@gnu.org>
15266 * simple.el (line-move): Call line-move-1 instead of
15267 line-move-visual when the current window hscroll is zero, but
15268 temporary-goal-column indicates we will need to hscroll as result
15269 of the movement. (Bug#15712)
15271 2013-10-25 Dmitry Gutov <dgutov@yandex.ru>
15273 * progmodes/ruby-mode.el (ruby-mode-menu): Use proper
15274 capitalization. Use :visible instead of :active.
15275 Fix `ruby-indent-exp' reference. Add menu items for the generic
15276 commands that are used with SMIE.
15277 (ruby-do-end-to-brace): Insert space after `{'.
15279 2013-10-25 John Anthony <john@jo.hnanthony.com>
15281 * progmodes/ruby-mode.el (ruby-mode-menu): Add a menu. (Bug#15600)
15283 * progmodes/inf-lisp.el (inferior-lisp-menu): Add a menu. (Bug#15599)
15285 2013-10-25 Glenn Morris <rgm@gnu.org>
15287 * vc/vc.el (vc-print-log): Don't use a working revision unless
15288 one was explicitly specified. (Bug#15322)
15290 2013-10-25 Stefan Monnier <monnier@iro.umontreal.ca>
15292 * subr.el (add-to-list): Preserve return value in compiler-macro
15295 2013-10-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
15297 * progmodes/octave.el (octave-lookfor): Handle empty lookfor
15298 result. Ask user to retry using '-all' flag. (Bug#15701)
15300 2013-10-24 Stefan Monnier <monnier@iro.umontreal.ca>
15302 * emacs-lisp/smie.el: New smie-config system.
15303 (smie-config): New defcustom.
15304 (smie-edebug, smie-config-show-indent, smie-config-set-indent)
15305 (smie-config-guess, smie-config-save): New commands.
15306 (smie-config--mode-local, smie-config--buffer-local)
15307 (smie-config--trace, smie-config--modefuns): New vars.
15308 (smie-config--advice, smie-config--mode-hook)
15309 (smie-config--setter, smie-config-local, smie-config--get-trace)
15310 (smie-config--guess-value, smie-config--guess): New functions.
15311 (smie-indent-forward-token, smie-indent-backward-token): Don't copy
15312 text properties. Treat "string fence" syntax like string syntax.
15314 * progmodes/sh-script.el (sh-use-smie): Change default.
15315 (sh-smie-sh-rules, sh-smie-rc-rules): Obey legacy sh-indent-* vars.
15316 (sh-var-value): Simplify by CSE.
15317 (sh-show-indent, sh-set-indent, sh-learn-line-indent)
15318 (sh-learn-buffer-indent): Redirect to their SMIE equivalent when SMIE
15320 (sh-guess-basic-offset): Use cl-incf.
15321 (sh-guess-basic-offset): Use push+nreverse to avoid O(n^2).
15323 2013-10-24 Helmut Eller <eller.helmut@gmail.com>
15325 * emacs-lisp/lisp-mode.el (lisp-cl-font-lock-keywords-2): Fix cut&paste
15328 2013-10-24 Glenn Morris <rgm@gnu.org>
15330 * Makefile.in (abs_top_srcdir): Remove.
15331 (update-subdirs): Use relative path to update-subdirs.
15333 2013-10-24 Eli Zaretskii <eliz@gnu.org>
15335 * Makefile.in ($(MH_E_DIR)/mh-loaddefs.el)
15336 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
15337 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
15338 Call unmsys--file-name before expand-file-name, not after it.
15340 2013-10-24 Michael Albinus <michael.albinus@gmx.de>
15342 * emacs-lisp/ert.el (ert-deftest): Bind macro `skip-unless'.
15343 (ert-test-skipped): New error.
15344 (ert-skip, ert-stats-skipped): New defuns.
15345 (ert--skip-unless): New macro.
15346 (ert-test-skipped): New struct.
15347 (ert--run-test-debugger, ert-test-result-type-p)
15348 (ert-test-result-expected-p, ert--stats, ert-stats-completed)
15349 (ert--stats-set-test-and-result, ert-char-for-test-result)
15350 (ert-string-for-test-result, ert-run-tests-batch)
15351 (ert--results-update-ewoc-hf, ert-run-tests-interactively):
15352 Handle skipped tests. (Bug#9803)
15354 2013-10-24 Glenn Morris <rgm@gnu.org>
15356 * Makefile.in (check-declare): Remove unnecessary path in -l argument.
15358 * Makefile.in (abs_top_srcdir): New, set by configure.
15359 (update-subdirs): Correct build-aux location.
15361 2013-10-24 Dmitry Gutov <dgutov@yandex.ru>
15363 * vc/vc.el (vc-print-root-log): Always set `default-directory'
15364 value, whether we could auto-deduce `backend', or not.
15366 * progmodes/ruby-mode.el (ruby-smie-rules): Fix the "curly block
15367 with parameters" example. Simplify the "is it block or is it
15368 hash" check, but also make it more thorough.
15370 2013-10-23 Masashi Fujimoto <masfj.dev@gmail.com> (tiny change)
15372 * battery.el (battery-pmset): Handle OS X Mavericks. (Bug#15694)
15374 2013-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
15376 * progmodes/ruby-mode.el (ruby-smie-rules): Only align with parent of
15377 { if it is hanging.
15379 * progmodes/ruby-mode.el (ruby-smie-rules): Don't return 0 for
15382 2013-10-23 Jed Brown <jed@59A2.org> (tiny change)
15384 * progmodes/compile.el (compilation-directory-matcher)
15385 (compilation-page-delimiter):
15386 Support GNU Make-4.0 directory quoting. (Bug#15678)
15388 2013-10-23 Leo Liu <sdl.web@gmail.com>
15390 * ido.el (ido-tidy): Handle read-only text.
15392 2013-10-23 Glenn Morris <rgm@gnu.org>
15394 * Makefile.in (abs_srcdir, abs_lisp): New, set by configure.
15395 (emacs, compile, compile-always):
15396 Quote entities that might contain whitespace.
15397 (custom-deps, finder-data, autoloads): Use abs_lisp.
15398 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
15399 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
15400 ($(CAL_DIR)/hol-loaddefs.el): Manually expand target file name.
15402 2013-10-23 Dmitry Gutov <dgutov@yandex.ru>
15404 * progmodes/ruby-mode.el (ruby-smie--at-dot-call):
15405 Use `following-char'.
15407 2013-10-22 Stefan Monnier <monnier@iro.umontreal.ca>
15409 * emacs-lisp/smie.el (smie-rule-parent): Fix opener-test.
15410 * progmodes/ruby-mode.el (ruby-smie-rules):
15411 Remove corresponding workaround. Fix indentation rule of ";" so it
15412 also applies when ";" is the parent.
15414 2013-10-22 Xue Fuqiao <xfq.free@gmail.com>
15416 * frame.el (display-screens, display-pixel-height)
15417 (display-pixel-width, display-mm-width, display-backing-store)
15418 (display-save-under, display-planes, display-color-cells)
15419 (display-visual-class, display-monitor-attributes-list):
15420 Mention the optional ‘display’ argument in doc strings.
15422 2013-10-22 Michael Gauland <mikelygee@amuri.net>
15424 * progmodes/ebnf2ps.el (ebnf-prologue): Avoid PS error with some
15425 viewers such as evince when ebnf-production-name-p is nil. (Bug#15625)
15427 2013-10-21 Dmitry Gutov <dgutov@yandex.ru>
15429 * progmodes/ruby-mode.el (ruby-smie-grammar): Remove outdated
15430 TODO. Add "." after " @ ".
15431 (ruby-smie--at-dot-call): New function. Checks if point at method
15432 call with explicit target.
15433 (ruby-smie--forward-token, ruby-smie--backward-token): Prepend "."
15434 to the method name tokens when it precedes them.
15435 (ruby-smie--backward-id, ruby-smie--forward-id): Remove.
15436 (ruby-smie-rules): Add rule for indentation before and after "."
15439 2013-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
15441 * textmodes/remember.el (remember-diary-extract-entries):
15444 * progmodes/ruby-mode.el (ruby-smie-rules): Indent after + used as
15447 2013-10-21 Dmitry Gutov <dgutov@yandex.ru>
15449 * progmodes/ruby-mode.el (ruby-smie-grammar):
15450 Add (almost) all infix operators.
15451 (ruby-smie--implicit-semi-p): Add new operator chars.
15453 * progmodes/ruby-mode.el (ruby-mode-map): Add binding for
15455 (ruby-smie--args-separator-p): Check that there's no newline
15456 between method call and its arguments.
15458 2013-10-20 Alan Mackenzie <acm@muc.de>
15460 Allow comma separated lists after Java "implements".
15462 * progmodes/cc-engine.el (c-backward-over-enum-header):
15464 * progmodes/cc-fonts.el (c-basic-matchers-after): Remove comma
15465 from a "disallowed" list in enum fontification.
15467 2013-10-20 Johan Bockgård <bojohan@gnu.org>
15469 * startup.el (default-frame-background-mode): Remove unused defvar.
15471 * progmodes/verilog-mode.el (verilog-mode): Don't set
15472 comment-indent-function globally.
15474 2013-10-20 Jan Djärv <jan.h.d@swipnet.se>
15476 * menu-bar.el: Put help-menu in menu-bar-final-items unconditionally.
15477 Move Info menu item creation to ns-win.el.
15479 * term/ns-win.el (ns-initialize-window-system): Rename Help to Info
15482 * menu-bar.el: Move GNUstep specific menus...
15484 * term/ns-win.el (ns-initialize-window-system): ... to here.
15486 2013-10-19 Stefan Monnier <monnier@iro.umontreal.ca>
15488 * simple.el (newline): Only run post-self-insert-hook when
15489 called interactively.
15491 2013-10-19 Johan Bockgård <bojohan@gnu.org>
15493 * icomplete.el (icomplete-with-completion-tables): Add :version.
15495 2013-10-19 Alan Mackenzie <acm@muc.de>
15497 Fix fontification bugs with constructors and const.
15499 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): (Just after
15500 CASE 2) Remove the check for the absence of a suffix construct
15501 after a function declaration with only types (no identifiers) in
15502 the parentheses. Also, accept a function declaration with just a
15503 type inside the parentheses, if this type can be positively
15504 recognised as such, or if a prefix keyword like "explicit" nails
15505 down the construct as a declaration.
15507 2013-10-19 Eli Zaretskii <eliz@gnu.org>
15509 * menu-bar.el (tty-menu-navigation-map): Bind mouse-N to perform
15510 TTY menu actions and down-mouse-N to tty-menu-ignore. This solves
15511 the problem whereby selecting a menu item that leads to a
15512 minibuffer prompt moves the cursor out of the minibuffer window,
15513 making it hard to type at the prompt. Suggested by Stefan Monnier
15514 <monnier@iro.umontreal.ca>.
15516 2013-10-19 Jan Djärv <jan.h.d@swipnet.se>
15518 * menu-bar.el: Don't make Services menu.
15520 2013-10-19 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
15522 * ffap.el: Handle "/usr/include/c++/<version>" directories.
15523 (ffap-alist): Use ffap-c++-mode for c++-mode.
15524 (ffap-c++-path): New variable.
15525 (ffap-c++-mode): New function.
15527 2013-10-19 Joe Vornehm Jr. <joe.vornehm@gmail.com> (tiny change)
15529 * ido.el (dired-other-frame): Only list directories. (Bug#15638)
15531 2013-10-18 Michael Albinus <michael.albinus@gmx.de>
15533 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Fix an error
15534 introduced on 2013-09-08, which results in an infinite loop
15535 requesting a password.
15537 2013-10-18 Glenn Morris <rgm@gnu.org>
15539 * progmodes/verilog-mode.el (verilog-case-fold): Add :version.
15541 2013-10-18 Wilson Snyder <wsnyder@wsnyder.org>
15543 Sync with upstream verilog-mode revision 1a6ecec7.
15544 * progmodes/verilog-mode.el (verilog-mode-version): Update.
15545 (verilog-mode-release-date): Remove.
15546 (verilog-highlight-grouping-keywords, verilog-active-low-regexp)
15547 (verilog-auto-inst-param-value, verilog-auto-input-ignore-regexp)
15548 (verilog-auto-inout-ignore-regexp, verilog-auto-output-ignore-regexp)
15549 (verilog-auto-tieoff-ignore-regexp)
15550 (verilog-auto-unused-ignore-regexp, verilog-typedef-regexp)
15551 (verilog-scan-cache-preserving, verilog-mode, verilog-at-struct-p)
15552 (verilog-signals-with, verilog-dir-cache-preserving)
15553 (verilog-auto-inst, verilog-auto-inout-param, verilog-auto):
15555 (verilog-case-fold): New option, to control case folding in
15556 regexp searches, bug597.
15557 (verilog-menu): Add verilog-sk-uvm-component, minor tweaks.
15558 (verilog-string-match-fold, verilog-in-paren-count)
15559 (verilog-in-struct-nested-p, verilog-at-struct-mv-p)
15560 (verilog-at-close-struct-p): New functions.
15561 (verilog-beg-block-re-ordered, verilog-extended-case-re)
15562 (verilog-forward-sexp, verilog-set-auto-endcomments)
15563 (verilog-leap-to-case-head): Handle "unique0" case.
15564 (verilog-in-constraint-re): New constant.
15565 (verilog-keywords, verilog-type-font-keywords):
15566 Add some SystemVerilog 1800-2012 keywords.
15567 (verilog-label-be): Remove unimplemented argument, bug669.
15568 (verilog-batch-execute-func): When batch expanding clear
15569 create-lockfiles to prevent spurious user locks when a file ends
15571 (verilog-calculate-indent, verilog-calc-1)
15572 (verilog-at-close-constraint-p, verilog-at-constraint-p)
15573 (verilog-do-indent): Fix indentation of nested constraints
15575 (verilog-sig-tieoff, verilog-typedef-name-p, verilog-auto-inst)
15576 (verilog-auto-inst-param): Use verilog-string-match-fold.
15577 (verilog-read-inst-module-matcher):
15578 Fix AUTOINST on gate primitives with #1.
15579 (verilog-read-decls): Fix double-declaring user-defined typed signals.
15580 Reads all user-defined typed variables.
15581 (verilog-read-defines): Fix reading definitions inside comments, bug647.
15582 (verilog-signals-matching-regexp)
15583 (verilog-signals-not-matching-regexp, verilog-auto):
15584 Respect verilog-case-fold.
15585 (verilog-diff-report): Fix line count.
15586 (verilog-auto-assign-modport): Remove unused local `modi'.
15587 (verilog-auto-inst-port): Support [][] in AUTO_TEMPLATE to
15588 better handle multidimensional arrays.
15589 Fix packed array ports misadding bit index in AUTOINST, bug637.
15590 (verilog-auto-output, verilog-auto-input): Fix AUTOINPUT and AUTOOUTPUT
15591 to not double-declare existing outputs and inputs, respectively.
15592 (verilog-template-map): Bind U to verilog-sk-uvm-component.
15593 (verilog-sk-uvm-object): Rename from verilog-sk-uvm-class.
15594 (verilog-sk-uvm-component): New skeleton.
15595 (verilog-submit-bug-report): Add verilog-case-fold,
15596 remove verilog-mode-release-date.
15598 2013-10-17 Barry O'Reilly <gundaetiapo@gmail.com>
15600 * subr.el (sit-for): Call (input-pending-p t) so as to behave
15603 2013-10-18 Reuben Thomas <rrt@sc3d.org>
15605 * textmodes/remember.el (remember): Set buffer-offer-save in
15606 remember buffers (bug#13566).
15608 2013-10-18 Daniel Colascione <dancol@dancol.org>
15610 When evaluating forms in ielm, direct standard output to ielm
15611 buffer. Add new ielm-return-for-effect command. Remove trailing
15612 whitespace throughout.
15614 * ielm.el (ielm-map): Bind M-RET to ielm-return-for-effect.
15615 (ielm-return-for-effect): New command.
15616 (ielm-send-input): Accept optional `for-effect' parameter.
15617 (ielm-eval-input): Accept optional `for-effect' parameter.
15618 Bind `standard-output' to stream we create using
15619 `ielm-standard-output-impl'. Suppress printing result when
15621 (ielm-standard-output-impl): New function.
15622 (inferior-emacs-lisp-mode): Explain new features in documentation.
15624 2013-10-17 Michael Albinus <michael.albinus@gmx.de>
15628 * net/tramp.el (tramp-debug-message): Do not check for connection
15630 (tramp-message): Use "vector" connection property.
15632 * net/tramp.el (tramp-rfn-eshadow-update-overlay)
15633 (tramp-equal-remote, tramp-eshell-directory-change)
15634 * net/tramp-adb.el (tramp-adb-handle-copy-file)
15635 (tramp-adb-handle-rename-file)
15636 * net/tramp-cmds.el (tramp-list-remote-buffers)
15637 (tramp-cleanup-connection, tramp-cleanup-this-connection)
15638 * net/tramp-compat.el (tramp-compat-process-running-p)
15639 * net/tramp-ftp.el (tramp-ftp-file-name-handler)
15640 * net/tramp-gvfs.el (tramp-gvfs-handle-copy-file)
15641 (tramp-gvfs-handle-rename-file)
15642 * net/tramp-sh.el (tramp-sh-handle-set-file-times)
15643 (tramp-set-file-uid-gid)
15644 * net/tramp-smb.el (tramp-smb-handle-copy-file)
15645 (tramp-smb-handle-rename-file): Use `tramp-tramp-file-p' instead
15646 of `file-remote-p'.
15648 * net/tramp.el (tramp-connectable-p, tramp-handle-file-remote-p)
15649 * net/tramp-gw.el (tramp-gw-gw-proc-sentinel)
15650 (tramp-gw-aux-proc-sentinel, tramp-gw-process-filter)
15651 (tramp-gw-open-network-stream): Suppress unrelated traces.
15653 * net/tramp-adb.el (tramp-adb-maybe-open-connection)
15654 * net/tramp-gvfs.el (tramp-gvfs-handle-file-notify-add-watch)
15655 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band)
15656 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Set "vector"
15657 connection property.
15659 * net/tramp-cache.el (top): Suppress traces when reading
15662 * net/tramp-sh.el (tramp-sh-handle-file-notify-add-watch):
15663 Refactor common code. Improve debug message.
15664 (tramp-maybe-open-connection)
15665 * net/tramp-smb.el (tramp-smb-call-winexe): Do not request
15666 connection buffer too early.
15668 * net/tramp-smb.el (tramp-smb-actions-get-acl): New defconst, renamed
15669 from `tramp-smb-actions-with-acl'.
15670 (tramp-smb-actions-set-acl): New defconst.
15671 (tramp-smb-handle-copy-directory)
15672 (tramp-smb-action-get-acl): New defun, renamed from
15673 `tramp-smb-action-with-acl'.
15674 (tramp-smb-action-set-acl): New defun.
15675 (tramp-smb-handle-set-file-acl): Rewrite.
15677 2013-10-17 Glenn Morris <rgm@gnu.org>
15679 * indent.el (indent-rigidly): Fix 2013-10-08 change. (Bug#15635)
15681 2013-10-17 Stefan Monnier <monnier@iro.umontreal.ca>
15683 * skeleton.el (skeleton-newline): Remove.
15684 (skeleton-internal-1): Use (insert "\n") instead.
15686 * emacs-lisp/lisp.el (lisp-completion-at-point): Complete var names for
15689 * progmodes/sh-script.el (sh-find-prev-matching): Disable SMIE's
15690 forward-sexp-function while we redo its job (bug#15613).
15692 2013-10-17 Jay Belanger <jay.p.belanger@gmail.com>
15694 * calc/calc-comb.el (math-prime-test): Don't assume large integers are
15695 represented by lists.
15697 2013-10-16 Glenn Morris <rgm@gnu.org>
15699 * tmm.el (tmm--history): New dynamic variable.
15700 (tmm-prompt): Use tmm--history in place of `history'. (Bug#15623)
15702 2013-10-16 Michael Albinus <michael.albinus@gmx.de>
15704 * net/tramp-smb.el (tramp-smb-acl-program): New customer option.
15705 (tramp-smb-errors): Add error messages.
15706 (tramp-smb-actions-with-acl): New defconst.
15707 (tramp-smb-file-name-handler-alist) <set-file-acl>: Add handler.
15708 (tramp-smb-action-with-acl, tramp-smb-handle-set-file-acl): New defuns.
15709 (tramp-smb-handle-file-acl): Rewrite, using "smbcacls".
15710 (tramp-smb-handle-file-attributes): Simplify test for "stat" capability.
15711 (tramp-smb-get-stat-capability): Fix tests.
15713 2013-10-16 Dima Kogan <dima@secretsauce.net> (tiny change)
15715 * progmodes/subword.el (subword-capitalize): Fix Stefan's mess
15718 2013-10-16 Glenn Morris <rgm@gnu.org>
15720 * ansi-color.el (ansi-color-drop-regexp):
15721 Add 1J, 1K, 2K. (Bug#15617)
15723 * files.el (hack-local-variables--warned-lexical): New.
15724 (hack-local-variables):
15725 Warn about misplaced lexical-binding. (Bug#15616)
15727 * net/eww.el (eww-render): Always set eww-current-url,
15728 and update header line. (Bug#15622)
15729 (eww-display-html): ... Rather than just doing it here.
15731 2013-10-15 Eli Zaretskii <eliz@gnu.org>
15733 * menu-bar.el (tty-menu-navigation-map): Bind mouse wheels to TTY
15734 menu navigations commands.
15736 2013-10-14 Dima Kogan <dima@secretsauce.net> (tiny change)
15738 * progmodes/subword.el (subword-capitalize): Be careful when
15739 the search for [[:alpha:]] fails (bug#15580).
15741 2013-10-14 Eli Zaretskii <eliz@gnu.org>
15743 * menu-bar.el (tty-menu-navigation-map): Bind shifted mouse clicks
15744 to commands that scroll the menu.
15746 2013-10-14 Dmitry Gutov <dgutov@yandex.ru>
15748 * progmodes/ruby-mode.el (ruby-smie--args-separator-p):
15749 Handle methods ending with `?' and `!'.
15751 2013-10-14 Akinori MUSHA <knu@iDaemons.org>
15753 * progmodes/ruby-mode.el (ruby-encoding-map): Add a mapping from
15754 `japanese-cp932' to `cp932' to fix the problem where saving a
15755 source file written in Shift_JIS twice would end up having
15756 `coding: japanese-cp932' which Ruby could not recognize.
15757 (ruby-mode-set-encoding): Add support for encodings mapped to nil
15758 in `ruby-encoding-map'.
15759 (ruby-encoding-map): Map `us-ascii' to nil by default, meaning it
15760 doesn't need to be explicitly declared in magic comment.
15761 (ruby-encoding-map): Add type declaration for better customize UI.
15763 2013-10-13 Glenn Morris <rgm@gnu.org>
15765 * progmodes/sh-script.el (sh-mark-line, sh-learn-buffer-indent):
15766 Occur buffers are read-only. http://bugs.debian.org/720775
15768 * emacs-lisp/authors.el (authors-fixed-entries):
15769 Comment out old alpha stuff.
15771 2013-10-13 Dmitry Gutov <dgutov@yandex.ru>
15773 * progmodes/ruby-mode.el (ruby-mode): Add `ruby-mode-set-encoding'
15774 to `after-save-hook' instead of `before-save-hook'.
15775 (ruby-mode-set-encoding): Use the value of coding system used to
15776 write the file. Call `basic-save-buffer-1' after modifying the
15779 2013-10-13 Alan Mackenzie <acm@muc.de>
15781 Fix indentation/fontification of Java enum with
15782 "implements"/generic.
15784 * progmodes/cc-engine.el (c-backward-over-enum-header):
15785 Extracted from the three other places and enhanced to handle generics.
15786 (c-inside-bracelist-p): Uses new function above.
15787 * progmodes/cc-fonts.el (c-font-lock-declarations): Uses new
15789 (c-font-lock-enum-tail): Uses new function above.
15791 2013-10-13 Kenichi Handa <handa@gnu.org>
15793 * international/mule-cmds.el (select-safe-coding-system): Remove a
15794 superfluous condition in chekcing whether a coding system is safe
15797 2013-10-13 Oleh Krehel <ohwoeowho@gmail.com>
15799 * replace.el (how-many): Fix rstart and !rend case. (Bug#15589)
15801 2013-10-13 Andreas Politz <politza@hochschule-trier.de>
15803 * progmodes/sql.el (sql-add-product): Fix paren typo. (Bug#15435)
15805 2013-10-13 Glenn Morris <rgm@gnu.org>
15807 * menu-bar.el (menu-bar-update-buffers):
15808 Unify Buffers menu prompt string. (Bug#15576)
15810 * face-remap.el (text-scale-adjust): Doc fix. (Bug#15434)
15812 * emacs-lisp/authors.el (authors-aliases, authors-ignored-files):
15814 (authors-fixed-entries): Use accented form of name.
15816 2013-10-12 Stefan Monnier <monnier@iro.umontreal.ca>
15818 * progmodes/ruby-mode.el (ruby-smie-grammar): Add rule for paren-free
15819 method calls (bug#15594).
15820 (ruby-smie--args-separator-p): New function.
15821 (ruby-smie--forward-token, ruby-smie--backward-token): Use it to
15822 recognize paren-free method calls.
15824 * isearch.el (isearch-pre-command-hook): Don't build in knowledge about
15825 internals of universal-argument.
15827 2013-10-11 Eli Zaretskii <eliz@gnu.org>
15829 * menu-bar.el (tty-menu-navigation-map): Remap F10 to tty-menu-exit.
15830 Bind all menu-bar sequences to tty-menu-exit -- this pops down a
15831 dropped menu on second mouse click on the menu bar.
15833 2013-10-11 Stefan Monnier <monnier@iro.umontreal.ca>
15835 * progmodes/sh-script.el: Provide simpl(e|istic) completion.
15836 (explicit-shell-file-name): Declare.
15837 (sh--vars-before-point, sh--cmd-completion-table): New functions.
15838 (sh-completion-at-point-function): New function.
15840 (sh-smie--keyword-p): Remove unused argument.
15841 (sh-smie-sh-backward-token, sh-smie-rc-backward-token): Remove unused
15843 (sh-set-shell): Always setup SMIE, even if we use the
15844 old indentation code.
15846 2013-10-11 Dmitry Gutov <dgutov@yandex.ru>
15848 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Split the
15850 (ruby-smie-rules): Simplify the "do" rule. The cases when the
15851 predicate would return nil are almost non-existent.
15852 (ruby-smie--redundant-do-p): Include "until" and "for" statements.
15854 * emacs-lisp/smie.el (smie--matching-block-data): Invalidate the
15855 cache also after commands that modify the buffer but don't move
15858 2013-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
15860 * env.el (substitute-env-in-file-name): New function.
15861 (substitute-env-vars): Extend the meaning of the optional arg.
15863 2013-10-10 Eli Zaretskii <eliz@gnu.org>
15865 * term/w32-win.el (dynamic-library-alist): Define separate lists
15866 of GIF DLLs for versions before and after 5.0.0 of giflib.
15869 2013-10-10 João Távora <joaotavora@gmail.com>
15871 * vc/vc.el (vc-diff-build-argument-list-internal): If the file is
15872 not locked, use last revision and current source as
15873 defaults. (Bug#15569)
15875 2013-10-10 Masatake YAMATO <yamato@redhat.com>
15877 * menu-bar.el (menu-bar-open): Don't use popup-menu if
15878 menu-bar is hidden.
15880 2013-10-10 Martin Rudalics <rudalics@gmx.at>
15882 * window.el (pop-to-buffer-same-window): Fix doc-string.
15885 2013-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
15887 * menu-bar.el (tty-menu-navigation-map): Reduce redundancy.
15889 2013-10-10 Andrei Chițu <andrei.chitu1@gmail.com> (tiny change)
15891 * calendar/icalendar.el (icalendar-import-file):
15892 Fix interactive spec. (Bug#15482)
15894 2013-10-10 Glenn Morris <rgm@gnu.org>
15896 * desktop.el (desktop-save): Default to saving in .emacs.d,
15897 since PWD is no longer in desktop-path by default. (Bug#15319)
15899 * menu-bar.el (menu-bar-options-menu): Remove text-mode auto-fill,
15900 now that text mode has a menu with the same entry.
15901 (menu-bar-text-mode-auto-fill): Remove now unused func.
15902 * textmodes/text-mode.el (text-mode-map):
15903 Use auto-fill help text from menu-bar.el.
15905 2013-10-10 John Anthony <john@jo.hnanthony.com>
15907 * textmodes/text-mode.el (text-mode-map): Add a menu. (Bug#15562)
15909 2013-10-09 Juri Linkov <juri@jurta.org>
15911 * isearch.el (isearch-pre-command-hook): Use this-single-command-keys
15912 instead of this-command-keys. Add universal-argument-more and
15913 universal-argument-minus to the list of prefix commands. (Bug#15568)
15915 2013-10-09 Glenn Morris <rgm@gnu.org>
15917 * vc/vc-svn.el (vc-svn-create-repo):
15918 Expand paths in file://... url. (Bug#15446)
15920 * emacs-lisp/authors.el (authors-aliases, authors-fixed-case):
15922 (authors): Remove unused local variables.
15924 2013-10-09 Stefan Monnier <monnier@iro.umontreal.ca>
15926 * profiler.el: Create a more coherent calltree from partial backtraces.
15927 (profiler-format): Hide the tail with `invisible' so that C-s can still
15928 find the hidden elements.
15929 (profiler-calltree-depth): Don't recurse so enthusiastically.
15930 (profiler-function-equal): New hash-table-test.
15931 (profiler-calltree-build-unified): New function.
15932 (profiler-calltree-build): Use it.
15933 (profiler-report-make-name-part): Indent the calltree less.
15934 (profiler-report-mode): Add visibility specs for profiler-format.
15935 (profiler-report-expand-entry, profiler-report-toggle-entry):
15936 Expand the whole subtree when provided with a prefix arg.
15938 2013-10-09 Dmitry Gutov <dgutov@yandex.ru>
15940 * progmodes/ruby-mode.el (ruby-smie-rules): Indent after hanging
15942 (ruby-smie--implicit-semi-p): Prohibit implicit semicolon after
15943 hanging iuwu-mod token.
15944 (ruby-smie--forward-token): Do not include a dot after a token in
15946 (ruby-smie--backward-token): Likewise.
15948 2013-10-08 Juri Linkov <juri@jurta.org>
15950 * isearch.el (isearch-help-map, isearch-mode-map): Don't bind [t]
15951 to isearch-other-control-char.
15952 (isearch-mode): Add isearch-pre-command-hook to pre-command-hook
15953 and isearch-post-command-hook to post-command-hook.
15954 (isearch-done): Remove isearch-pre-command-hook from pre-command-hook
15955 and isearch-post-command-hook from post-command-hook.
15956 (isearch-unread-key-sequence)
15957 (isearch-reread-key-sequence-naturally)
15958 (isearch-lookup-scroll-key, isearch-other-control-char)
15959 (isearch-other-meta-char): Remove functions.
15960 (isearch-pre-command-hook, isearch-post-command-hook):
15961 New functions based on isearch-other-meta-char rewritten
15962 relying on the new behavior of overriding-terminal-local-map
15963 that does not replace the local keymaps any more. (Bug#15200)
15965 2013-10-08 Eli Zaretskii <eliz@gnu.org>
15967 Support menus on text-mode terminals.
15968 * tmm.el (tmm-menubar): Adapt doc string to TTY menus
15971 * tooltip.el (tooltip-mode): Don't error out on TTYs.
15973 * menu-bar.el (popup-menu, popup-menu-normalize-position):
15974 Move here from mouse.el.
15975 (popup-menu): Support menu-bar navigation on TTYs using C-f/C-b
15977 (tty-menu-navigation-map): New map for TTY menu navigation.
15979 * loadup.el ("tooltip"): Load even if x-show-tip is not available.
15981 * frame.el (display-mouse-p): Report text-mode mouse as available
15983 (display-popup-menus-p): Report availability if mouse is
15984 available; don't condition on window-system.
15986 * faces.el (tty-menu-enabled-face, tty-menu-disabled-face)
15987 (tty-menu-selected-face): New faces.
15989 2013-10-08 Stefan Monnier <monnier@iro.umontreal.ca>
15991 * emacs-lisp/lisp-mode.el: Font-lock cl-lib constructs.
15992 (lisp-el-font-lock-keywords, lisp-el-font-lock-keywords-1)
15993 (lisp-el-font-lock-keywords-2, lisp-cl-font-lock-keywords)
15994 (lisp-cl-font-lock-keywords-1, lisp-cl-font-lock-keywords-2):
15996 (lisp-mode-variables): New `elisp' argument.
15997 (emacs-lisp-mode): Use it.
15998 * font-lock.el (lisp-font-lock-keywords, lisp-font-lock-keywords-1)
15999 (lisp-font-lock-keywords-2): Move to lisp-mode.el.
16001 * indent.el: Use lexical-binding.
16002 (indent-region): Add progress reporter.
16003 (tab-stop-list): Make it implicitly extend to infinity by repeating the
16005 (indent--next-tab-stop): New function to implement this behavior.
16006 (tab-to-tab-stop, move-to-tab-stop): Use it.
16008 2013-10-08 Teemu Likonen <tlikonen@iki.fi>
16010 * indent.el (indent-rigidly--current-indentation): New function.
16011 (indent-rigidly-map): New var.
16012 (indent-rigidly): Use it to provide interactive mode (bug#8196).
16014 2013-10-08 Bastien Guerry <bzg@gnu.org>
16016 * register.el (insert-register): Fix 2013-10-07 change.
16018 2013-10-08 Stefan Monnier <monnier@iro.umontreal.ca>
16020 * progmodes/perl-mode.el: Use lexical-binding.
16021 Remove redundant :group args.
16022 (perl-nochange): Change default to be closer to other major modes's
16024 (perl-indent-line): Don't consider text on current line as a
16025 valid beginning of function from which to indent.
16027 * emacs-lisp/backquote.el (backquote-process): Catch uses of , and ,@
16028 with more than one argument (bug#15538).
16030 * mpc.el (mpc-songs-jump-to): Adjust to different playlist format.
16032 * vc/pcvs.el: Use lexical-binding.
16033 (cvs-temp-buffer, cvs-make-cvs-buffer): Pass some vars in the lexical
16034 environment of `eval'.
16035 (cvs-mode-run, cvs-mode-do): Change `postproc' to be a function rather
16036 than a list of expressions. Adjust callers.
16037 * vc/pcvs-defs.el (cvs-postprocess): Remove, unused.
16039 2013-10-07 Dmitry Gutov <dgutov@yandex.ru>
16041 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Handle the
16042 case of the dot in a chained method call being on the following line.
16044 2013-10-07 Stefan Monnier <monnier@iro.umontreal.ca>
16046 * electric.el (electric-indent-inhibit): New var.
16047 (electric-indent-post-self-insert-function): Use it.
16048 * progmodes/python.el (python-mode): Set it.
16050 * progmodes/ruby-mode.el (ruby-smie-rules): Tweak handling of
16053 * emacs-lisp/smie.el (smie-next-sexp): Refine last fix.
16055 * textmodes/css-mode.el (css-smie-rules): Fix indentation (bug#15467).
16056 (css-mode): Use electric-indent-chars.
16058 * nxml/nxml-mode.el: Use lexical-binding and syntax-propertize.
16059 (font-lock-beg, font-lock-end): Move before first use.
16060 (nxml-mode): Use syntax-propertize-function.
16061 (nxml-after-change, nxml-after-change1): Adjust accordingly.
16062 (nxml-extend-after-change-region): Remove.
16063 * nxml/xmltok.el: Use lexical-binding.
16064 (xmltok-save): Use `declare'.
16065 (xmltok-unclosed-reparse-p, xmltok-semi-closed-reparse-p): Remove.
16066 * nxml/nxml-util.el: Use lexical-binding.
16067 (nxml-with-degradation-on-error, nxml-with-invisible-motion):
16069 * nxml/nxml-ns.el: Use lexical-binding.
16070 (nxml-ns-save): Use `declare'.
16071 (nxml-ns-prefixes-for): Avoid add-to-list.
16072 * nxml/rng-match.el: Use lexical-binding.
16073 (rng--ipattern): Use cl-defstruct.
16074 (rng-compute-start-tag-open-deriv, rng-compute-start-attribute-deriv)
16075 (rng-cons-group-after, rng-subst-group-after)
16076 (rng-subst-interleave-after, rng-apply-after, rng-compute-data-deriv):
16077 Use closures instead of `(lambda...).
16079 2013-10-07 Michael Albinus <michael.albinus@gmx.de>
16081 * net/tramp.el (tramp-handle-insert-file-contents): Improve handling
16084 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
16085 Use `tramp-handle-insert-file-contents'.
16086 (tramp-gvfs-handle-insert-file-contents): Remove function.
16088 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
16089 Use `save-restriction' in order to keep markers.
16091 * net/trampver.el: Update release number.
16093 2013-10-07 Stefan Monnier <monnier@iro.umontreal.ca>
16095 * progmodes/compile.el (compilation-parse-errors):
16096 Use compilation--put-prop.
16097 (compilation--ensure-parse): Check compilation-multiline.
16099 * emacs-lisp/easymenu.el (easy-menu-create-menu): Use closures.
16101 * emacs-lisp/lisp-mode.el (eval-defun-2): Simplify, using
16104 * emacs-lisp/tq.el (tq-create): Use a closure instead of `(lambda...).
16106 * progmodes/ruby-mode.el: Fix recently added tests.
16107 (ruby-smie-grammar): Add - and +.
16108 (ruby-smie--redundant-do-p, ruby-smie--forward-id)
16109 (ruby-smie--backward-id): New functions.
16110 (ruby-smie--forward-token, ruby-smie--backward-token): Use them.
16111 (ruby-smie-rules): Handle hanging do. Get rid of hack, not needed
16114 2013-10-07 Leo Liu <sdl.web@gmail.com>
16116 * register.el (register-preview-delay)
16117 (register-preview-functions): New variables.
16118 (register-read-with-preview, register-preview)
16119 (register-describe-oneline): New functions.
16120 (point-to-register, window-configuration-to-register)
16121 (frame-configuration-to-register, jump-to-register)
16122 (number-to-register, view-register, insert-register)
16123 (copy-to-register, append-to-register, prepend-to-register)
16124 (copy-rectangle-to-register): Use register-read-with-preview to
16125 read register. (Bug#15525)
16127 2013-10-06 Dato Simó <dato@net.com.org.es> (tiny change)
16129 * net/network-stream.el (network-stream-open-starttls): Don't add
16130 --insecure if it's already present, because that gnutls-cli
16131 rejects getting that parameter twice.
16133 2013-10-06 Dmitry Gutov <dgutov@yandex.ru>
16135 * progmodes/ruby-mode.el (ruby-smie-rules): Dedent `ensure'
16138 2013-10-05 Dmitry Gutov <dgutov@yandex.ru>
16140 * newcomment.el (comment-use-global-state): Change default value
16141 to t, mark obsolete (Bug#15251).
16142 (comment-beginning): In addition to `comment-to-syntax', check the
16143 value of `comment-use-global-state'.
16145 2013-10-05 Stefan Monnier <monnier@iro.umontreal.ca>
16147 * progmodes/ruby-mode.el (ruby-use-smie): Change default.
16148 (ruby-comment-column): Follow the global default, by default.
16149 (ruby-smie-grammar): Add assignment syntax.
16150 (ruby-smie--implicit-semi-p): No implicit semi-colon after an
16151 open-paren, a comma, or a \.
16152 (ruby-smie--forward-token, ruby-smie--backward-token): Handle heredocs,
16153 and line continuations.
16154 (ruby-smie-rules): Adjust handling of open-paren, now that it's never
16155 followed by implicit semi-colons. Add rule for string concatenation
16156 and for indentation at BOB.
16157 (ruby-forward-sexp, ruby-backward-sexp): Adjust for when SMIE is in use.
16159 * emacs-lisp/smie.el (smie-next-sexp): Don't go back to pos before
16160 calling next-sexp, since next-token may have skipped chars which
16161 next-sexp doesn't know should be skipped!
16163 2013-10-05 Leo Liu <sdl.web@gmail.com>
16165 * progmodes/octave.el (octave-send-region):
16166 Call compilation-forget-errors.
16168 2013-10-04 Xue Fuqiao <xfq.free@gmail.com>
16170 * vc/vc-svn.el (vc-svn-find-admin-dir):
16171 * vc/vc-rcs.el (vc-rcs-find-admin-dir):
16172 * vc/vc-mtn.el (vc-mtn-find-admin-dir):
16173 * vc/vc-cvs.el (vc-cvs-find-admin-dir):
16174 * vc/vc-arch.el (vc-arch-find-admin-dir): New functions.
16176 2013-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
16178 * textmodes/css-mode.el (css-smie-rules): Toplevel's a list (bug#15467).
16180 2013-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
16182 * subr.el (read-passwd): Hide chars even when called within a context
16183 where after-change-functions is disabled (bug#15501).
16184 (set-temporary-overlay-map): Don't remove oneself from pre-command-hook
16185 until we removed ourself from overriding-terminal-local-map.
16187 2013-10-04 Leo Liu <sdl.web@gmail.com>
16189 * progmodes/octave.el (inferior-octave-mode):
16190 Call compilation-forget-errors.
16192 2013-10-04 Xue Fuqiao <xfq.free@gmail.com>
16194 * emacs-lisp/syntax.el (syntax-ppss): Doc fix.
16196 2013-10-04 Michael Albinus <michael.albinus@gmx.de>
16198 * net/secrets.el (secrets-create-collection): Add optional
16199 argument ALIAS. Use proper Label keyword. Append ALIAS as
16200 dbus-call-method argument. (Bug#15516)
16202 2013-10-04 Leo Liu <sdl.web@gmail.com>
16204 * progmodes/octave.el (inferior-octave-error-regexp-alist)
16205 (inferior-octave-compilation-font-lock-keywords): New variables.
16206 (compilation-error-regexp-alist)
16207 (compilation-mode-font-lock-keywords): Defvar to pacify compiler.
16208 (inferior-octave-mode): Use compilation-shell-minor-mode.
16210 2013-10-04 Jorgen Schaefer <forcer@forcix.cx>
16212 * minibuffer.el (completion--replace): Be careful that `end' might be
16215 2013-10-03 Daiki Ueno <ueno@gnu.org>
16217 Add support for package signature checking.
16218 * emacs-lisp/package.el (url-http-file-exists-p)
16219 (epg-make-context, epg-context-set-home-directory)
16220 (epg-verify-string, epg-context-result-for)
16221 (epg-signature-status, epg-signature-to-string)
16222 (epg-check-configuration, epg-configuration)
16223 (epg-import-keys-from-file): Declare.
16224 (package-check-signature): New user option.
16225 (package-unsigned-archives): New user option.
16226 (package-desc): Add `signed' field.
16227 (package-load-descriptor): Set `signed' field if .signed file exists.
16228 (package--archive-file-exists-p): New function.
16229 (package--check-signature): New function.
16230 (package-install-from-archive): Check package signature.
16231 (package--download-one-archive): Check archive signature.
16232 (package-delete): Remove .signed file.
16233 (package-import-keyring): New command.
16234 (package-refresh-contents): Import default keyring.
16235 (package-desc-status): Add "unsigned" status.
16236 (describe-package-1, package-menu--print-info)
16237 (package-menu-mark-delete, package-menu--find-upgrades)
16238 (package-menu--status-predicate): Support "unsigned" status.
16240 2013-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
16242 * emacs-lisp/cconv.el (cconv-convert, cconv-analyse-form): Adjust for
16243 the new compilation scheme using the new byte-codes.
16245 * emacs-lisp/bytecomp.el (byte-pushcatch, byte-pushconditioncase)
16246 (byte-pophandler): New byte codes.
16247 (byte-goto-ops): Adjust accordingly.
16248 (byte-compile--use-old-handlers): New var.
16249 (byte-compile-catch): Use new byte codes depending on
16250 byte-compile--use-old-handlers.
16251 (byte-compile-condition-case--old): Rename from
16252 byte-compile-condition-case.
16253 (byte-compile-condition-case--new): New function.
16254 (byte-compile-condition-case): New function that dispatches depending
16255 on byte-compile--use-old-handlers.
16256 (byte-compile-unwind-protect): Pass a function to byte-unwind-protect
16259 * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker):
16260 Optimize under `condition-case' and `catch' if
16261 byte-compile--use-old-handlers is nil.
16262 (disassemble-offset): Handle new bytecodes.
16264 2013-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
16266 * subr.el (error): Use `declare'.
16267 (decode-char, encode-char): Use advertised-calling-convention instead
16268 of the docstring to discourage use of the `restriction' arg.
16270 2013-10-03 Daiki Ueno <ueno@gnu.org>
16272 * epg.el (epg-verify-file): Add a comment saying that it does not
16273 notify verification error as a return value nor a signal.
16274 (epg-verify-string): Ditto.
16276 2013-10-02 Kevin Rodgers <kevin.d.rodgers@gmail.com>
16278 * progmodes/compile.el (compilation-start): Try globbing the arg to
16281 2013-10-02 Michael Albinus <michael.albinus@gmx.de>
16283 Sync with Tramp 2.2.8.
16285 * net/tramp-cmds.el (tramp-bug, tramp-append-tramp-buffers):
16286 * net/tramp-cache.el (tramp-cache-print): Use `tramp-compat-funcall'.
16287 * net/trampver.el: Update release number.
16289 2013-10-01 Jan Djärv <jan.h.d@swipnet.se>
16291 * term/ns-win.el (ns-initialize-window-system): Set locale-coding-system
16292 and default-process-coding-system for darwin only.
16294 2013-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
16296 * emacs-lisp/package.el (package-desc): Simplify (bug#15495).
16298 2013-10-01 Mitchel Humpherys <mitch.special@gmail.com> (tiny change)
16300 * vc/vc-git.el (vc-git-grep): Disable pager.
16302 2013-10-01 Dmitry Gutov <dgutov@yandex.ru>
16304 * emacs-lisp/package.el (package-buffer-info, describe-package-1):
16305 Use :url instead of :homepage, as per
16306 http://lists.gnu.org/archive/html/emacs-devel/2013-09/msg00622.html
16308 * newcomment.el (comment-beginning): When `comment-use-syntax' is
16309 non-nil, use `syntax-ppss' (Bug#15251).
16311 2013-09-30 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
16313 * progmodes/octave.el (inferior-octave-startup-file):
16314 Prefer ~/.emacs.d/init_octave.m.
16316 2013-09-29 Dmitry Gutov <dgutov@yandex.ru>
16318 * emacs-lisp/package.el (package-desc-from-define):
16319 Accept additional arguments as plist, convert them to an alist and store
16320 them in the `extras' slot.
16321 (package-generate-description-file): Convert extras alist back to
16322 plist and append to the `define-package' form arguments.
16323 (package--alist-to-plist): New function.
16324 (package--ac-desc): Add `extras' slot.
16325 (package--add-to-archive-contents): Check if the archive-contents
16326 vector is long enough, and if it is, pass its `extras' slot value
16327 to `package-desc-create'.
16328 (package-buffer-info): Call `lm-homepage', pass the returned value
16329 to `package-desc-from-define'.
16330 (describe-package-1): Render the homepage button (Bug#13291).
16332 * emacs-lisp/package-x.el (package-upload-buffer-internal):
16333 Pass `extras' slot from `package-desc' to `package-make-ac-desc'.
16335 2013-09-29 Jan Djärv <jan.h.d@swipnet.se>
16337 * term/ns-win.el (ns-initialize-window-system): Set locale-coding-system
16338 and default-process-coding-system to utf-8-unix (Bug#15402).
16340 2013-09-29 Xue Fuqiao <xfq.free@gmail.com>
16342 * subr.el (looking-back): Do not recommend using looking-back.
16344 2013-09-28 Alan Mackenzie <acm@muc.de>
16346 Fix indentation/fontification of Java enum with "implements".
16348 * progmodes/cc-langs.el (c-postfix-decl-spec-key): New variable, a
16349 regexp which matches "implements", etc., in Java.
16350 * progmodes/cc-engine.el (c-inside-bracelist-p): Check for extra
16351 specifier clauses coming after "enum".
16352 * progmodes/cc-fonts.el (c-font-lock-declarations)
16353 (c-font-lock-enum-tail): Check for extra specifier clauses coming
16356 2013-09-28 Jan Djärv <jan.h.d@swipnet.se>
16358 * faces.el (region): Change ns_selection_color to
16359 ns_selection_fg_color, add ns_selection_bg_color.
16361 2013-09-28 Leo Liu <sdl.web@gmail.com>
16363 * progmodes/octave.el (inferior-octave-completion-table)
16364 (inferior-octave-completion-at-point): Minor tweaks.
16366 * textmodes/ispell.el (ispell-lookup-words): Rename from
16367 lookup-words. (Bug#15460)
16368 (lookup-words): Obsolete.
16369 (ispell-complete-word, ispell-command-loop): All uses changed.
16371 2013-09-28 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
16373 * progmodes/octave.el (octave-mode-map): Bind octave-send-buffer.
16374 (octave-mode-menu): Add octave-send-buffer.
16375 (octave-send-buffer): New function.
16377 2013-09-28 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
16379 * progmodes/octave.el (octave-mode-map): Add key binding for
16381 (octave-mode-menu): Add octave-lookfor.
16382 (inferior-octave-mode-map, octave-help-mode-map): Bind C-ha to
16384 (octave-lookfor): New function.
16386 2013-09-28 Stefan Monnier <monnier@iro.umontreal.ca>
16388 * emacs-lisp/cl-macs.el (cl--loop-destr-temps): Remove.
16389 (cl--loop-iterator-function): Rename from cl--loop-map-form and change
16391 (cl--loop-set-iterator-function): New function.
16392 (cl-loop): Adjust accordingly, so as not to use cl-subst.
16393 (cl--parse-loop-clause): Adjust all uses of cl--loop-map-form.
16394 Bind `it' with `let' instead of substituting it with `cl-subst'.
16395 (cl--unused-var-p): New function.
16396 (cl--loop-let): Don't use the cl--loop-destr-temps hack any more.
16397 Eliminate some unused variable warnings (bug#15326).
16399 2013-09-27 Tassilo Horn <tsdh@gnu.org>
16401 * doc-view.el (doc-view-scale-reset): Rename from
16402 `doc-view-reset-zoom-level'.
16403 (doc-view-scale-adjust): New command.
16404 (doc-view-mode-map): Remap `text-scale-adjust' bindings to
16405 `doc-view-scale-adjust'.
16407 2013-09-26 Tassilo Horn <tsdh@gnu.org>
16409 * doc-view.el (doc-view-reset-zoom-level): New command.
16410 (doc-view-mode-map): Remap text-scale-adjust bindings to doc-view
16411 zoom commands (bug#15466).
16413 2013-09-26 Kenichi Handa <handa@gnu.org>
16415 * international/quail.el (quail-help): Make it not a command.
16417 2013-09-26 Leo Liu <sdl.web@gmail.com>
16419 * minibuffer.el (completion-all-sorted-completions): Make args
16420 optional as they are.
16422 2013-09-25 Daniel Colascione <dancol@dancol.org>
16424 * emacs-lisp/cl-macs.el (cl-type-spec): Tell edebug what type
16425 specs are and that they're not evaluated.
16427 2013-09-24 Sam Steingold <sds@gnu.org>
16429 * midnight.el (clean-buffer-list-kill-regexps)
16430 (clean-buffer-list-kill-buffer-names): Update for the new Man
16431 buffer naming which includes the object name.
16433 2013-09-23 Stefan Monnier <monnier@iro.umontreal.ca>
16435 * eshell/esh-cmd.el (eshell--sep-terms): New var.
16436 (eshell-parse-command, eshell-parse-pipeline): Use it since
16437 eshell-separate-commands requires a dynamic scoped var.
16438 Reported by Jan Moringen <jmoringe@techfak.uni-bielefeld.de>.
16440 2013-09-23 Leo Liu <sdl.web@gmail.com>
16442 * autoinsert.el (auto-insert-alist): Make the value of
16443 lexical-binding match its file setting.
16445 2013-09-23 Juanma Barranquero <lekktu@gmail.com>
16447 * vc/vc-sccs.el (vc-sccs-search-project-dir): Mark unused argument.
16449 * autoarg.el (autoarg-kp-digit-argument):
16450 * electric.el (Electric-command-loop):
16451 * kmacro.el (kmacro-step-edit-insert):
16452 Do not set universal-argument-num-events.
16454 2013-09-22 Leo Liu <sdl.web@gmail.com>
16456 * files.el (interpreter-mode-alist): Add octave.
16458 2013-09-21 Alan Mackenzie <acm@muc.de>
16460 C++: fontify identifier in declaration following "public:" correctly.
16461 * progmodes/cc-langs.el (c-decl-start-colon-kwd-re): New lang var
16462 to match "public", etc.
16463 (c-decl-prefix-re): Add ":" into the C++ value.
16464 * progmodes/cc-engine.el (c-find-decl-prefix-search): Refactor a
16465 bit. Add a check for a ":" preceded by "public", etc.
16467 2013-09-21 Eli Zaretskii <eliz@gnu.org>
16469 * files.el (auto-mode-alist): Support OBJFILE-gdb.gdb script files
16470 recognized by GDB 7.5 and later.
16472 2013-09-21 Xue Fuqiao <xfq.free@gmail.com>
16474 * vc/vc-dir.el (vc-dir-mode-map): Add keybinding for vc-log-incoming.
16476 2013-09-20 Stefan Monnier <monnier@iro.umontreal.ca>
16478 * subr.el (internal--call-interactively): New const.
16479 (called-interactively-p): Use it (bug#3984).
16481 2013-09-20 Xue Fuqiao <xfq.free@gmail.com>
16483 * vc/pcvs.el (cvs-mode-ignore):
16484 * vc/vc-cvs.el (vc-cvs-ignore, vc-cvs-append-to-ignore):
16485 Rename cvs-append-to-ignore to vc-cvs-append-to-ignore.
16487 2013-09-19 Stefan Monnier <monnier@iro.umontreal.ca>
16489 * eshell/em-ls.el: Use advice. Remove redundant :group keywords.
16490 (eshell-ls-orig-insert-directory): Remove.
16491 (eshell-ls-unload-hook): Not a defcustom any more. Use advice-remove.
16492 (eshell-ls-use-in-dired): Use advice-add/remove.
16493 (eshell-ls--insert-directory): Rename from eshell-ls-insert-directory.
16494 Add `orig-fun' arg for use in :around advice.
16495 Make it check (redundantly) eshell-ls-use-in-dired.
16497 2013-09-19 Glenn Morris <rgm@gnu.org>
16499 * emacs-lisp/cl-macs.el (cl-defsubst): Remove unused local `pbody'.
16501 * simple.el (x-selection-owner-p, x-selection-exists-p): Declare.
16503 * emacs-lisp/eieio.el (class-parent): Undo previous change.
16505 2013-09-19 Michael Albinus <michael.albinus@gmx.de>
16507 * net/tramp-sh.el (tramp-get-remote-id): Do not raise an error.
16508 (tramp-get-remote-uid-with-id, tramp-get-remote-gid-with-id)
16509 (tramp-get-remote-python): New defuns.
16510 (tramp-get-remote-uid-with-perl)
16511 (tramp-get-remote-gid-with-perl): New defuns. Perl code
16512 contributed by yary <not.com@gmail.com> (tiny change).
16513 (tramp-get-remote-uid-with-python)
16514 (tramp-get-remote-gid-with-python): New defuns. Python code
16515 contributed by Andrey Tykhonov <atykhonov@gmail.com> (tiny change).
16516 (tramp-get-remote-uid, tramp-get-remote-gid): Use new defuns.
16518 2013-09-19 Glenn Morris <rgm@gnu.org>
16520 * emacs-lisp/eieio.el (class-parent): Don't use defalias with macros.
16522 * eshell/em-unix.el (eshell-remove-entries):
16523 Rename argument to avoid name-clash with global `top-level'.
16525 * eshell/esh-proc.el (eshell-kill-process-function):
16526 Remove eshell-reset-after-proc from eshell-kill-hook if present.
16527 (eshell-reset-after-proc): Remove unused arg `proc'.
16529 * eshell/esh-util.el (eshell-read-hosts-file): Use `filename' arg.
16530 (directory-files-and-attributes): Mark unused arg.
16532 * eshell/em-unix.el (eshell-remove-entries):
16533 Remove unused arg `path'. Update callers.
16535 * eshell/em-hist.el (eshell-hist-parse-arguments):
16536 Remove unused arg `silent'. Update callers.
16538 * eshell/em-ls.el (eshell-ls-use-in-dired): Use `symbol' arg.
16539 Fix (f)boundp mix-up.
16541 * eshell/em-smart.el (eshell-smart-scroll-window)
16542 (eshell-disable-after-change):
16543 * eshell/em-term.el (eshell-term-sentinel): Mark unused arg.
16545 2013-09-18 Alan Mackenzie <acm@muc.de>
16547 Fix fontification of type when followed by "const".
16548 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): Don't exclude
16549 "known" types from fontification.
16551 2013-09-18 Glenn Morris <rgm@gnu.org>
16553 * emacs-lisp/chart.el (x-display-color-cells): Declare.
16554 (chart-face-list): Drop Emacsen without display-color-p.
16556 * net/eww.el (libxml-parse-html-region): Declare.
16557 (eww-display-html): Explicit error if no libxml2 support.
16559 * doc-view.el (doc-view-mode): Silence --without-x compilation.
16561 * image.el (image-type-from-buffer, image-multi-frame-p):
16562 Remove --without-x warning/error.
16564 * mouse.el (mouse-yank-primary):
16565 * term.el (term-mouse-paste):
16566 Reorder to silence --without-x compilation.
16568 * mpc.el (doc-view-mode): Silence --without-x compilation.
16570 * mail/rmailmm.el (rmail-mime-set-bulk-data):
16571 Silence --without-x compilation.
16573 * progmodes/gud.el (gud-find-file, gud-mode):
16574 Silence --without-x compilation.
16575 (tooltip-mode): Declare.
16577 * wdired.el (dired-backup-overwrite): Remove declaration.
16578 (wdired-mode-map): Add doc string.
16580 * custom.el (x-get-resource): Declare.
16582 * eshell/em-glob.el (ange-cache):
16583 * eshell/em-unix.el (ange-cache): Declare.
16585 * faces.el (x-display-list, x-open-connection, x-get-resource):
16588 * follow.el (scroll-bar-toolkit-scroll, scroll-bar-drag)
16589 (scroll-bar-scroll-up, scroll-bar-scroll-down, mwheel-scroll):
16592 * frame.el (x-display-grayscale-p, x-display-name): Declare.
16594 * net/gnutls.el (gnutls-log-level): Declare.
16596 * net/shr.el (image-size, image-animate): Declare.
16598 * simple.el (font-info): Declare.
16600 * subr.el (x-popup-dialog): Declare.
16602 * term/common-win.el (x-select-enable-primary)
16603 (x-last-selected-text-primary, x-last-selected-text-clipboard):
16606 * term/ns-win.el (x-handle-args): Declare.
16608 * term/x-win.el (x-select-enable-clipboard): Declare.
16610 * term/w32-win.el (create-default-fontset): Declare.
16612 * w32-common-fns.el (x-server-version, x-select-enable-clipboard):
16615 * window.el (x-display-pixel-height, tool-bar-lines-needed): Declare.
16616 (fit-frame-to-buffer): Explicit error if --without-x.
16617 (mouse-autoselect-window-select): Silence compiler.
16619 * dframe.el (x-sensitive-text-pointer-shape, x-pointer-shape): Declare.
16621 * eshell/em-cmpl.el (eshell-complete-parse-arguments):
16622 * eshell/em-hist.el (eshell/history, eshell-isearch-backward):
16623 * eshell/em-pred.el (eshell-parse-modifiers, eshell-pred-file-time):
16624 * eshell/esh-util.el (eshell-sublist):
16625 Remove unused local variables.
16627 * eshell/esh-io.el (x-select-enable-clipboard): Declare.
16629 * textmodes/two-column.el: Make 2C-split work for --without-x.
16630 (scroll-bar-columns): Autoload.
16631 (top-level): Require fringe when compiling.
16633 2013-09-18 Leo Liu <sdl.web@gmail.com>
16635 * subr.el (add-hook): Robustify to handle closure as well.
16637 2013-09-17 Glenn Morris <rgm@gnu.org>
16639 * simple.el (messages-buffer-mode-map): Unbind "g".
16641 2013-09-17 Stefan Monnier <monnier@iro.umontreal.ca>
16643 * help-mode.el (help-mode-finish): Use derived-mode-p.
16644 Remove obsolete highlighting.
16646 * play/life.el (life-mode): Use define-derived-mode. Derive from
16648 (life): Let-bind inhibit-read-only.
16649 (life-setup): Avoid `setq'. Use `life-mode'.
16651 * emacs-lisp/package.el (package-generate-autoloads): Remove `require'
16652 which should not be needed any more.
16653 (package-menu-refresh, package-menu-describe-package): Use user-error.
16655 * eshell/esh-cmd.el (eshell-post-rewrite-command-function): New var.
16656 (eshell-post-rewrite-command-hook): Make obsolete.
16657 (eshell-parse-command): Simplify.
16658 (eshell-structure-basic-command): Remove unused arg `vocal-test'.
16659 (eshell--cmd): Declare.
16660 (eshell-parse-pipeline): Remove unused var `final-p'.
16661 Pass a dynvar to eshell-post-rewrite-command-hook.
16662 Implement the new eshell-post-rewrite-command-function.
16663 (eshell-invoke-directly): Remove unused arg `input'.
16664 * eshell/esh-io.el (eshell-io-initialize):
16665 Use eshell-post-rewrite-command-function (bug#15399).
16666 (eshell--apply-redirections): Rename from eshell-apply-redirections;
16667 adjust to new calling convention.
16668 (eshell-create-handles): Rename args to avoid clashing with dynvar
16671 2013-09-17 Glenn Morris <rgm@gnu.org>
16673 * simple.el (messages-buffer-mode): New major mode.
16674 (messages-buffer): New function.
16675 * startup.el (normal-top-level): Switch mode of *Messages* buffer.
16676 * emacs-lisp/ert.el (ert--force-message-log-buffer-truncation)
16677 (ert-run-test): Use `messages-buffer' function.
16678 (ert--force-message-log-buffer-truncation): Ignore read-only.
16679 * help.el (view-echo-area-messages): Use `messages-buffer' function.
16680 * mail/emacsbug.el (report-emacs-bug): Use `messages-buffer' function.
16682 2013-09-17 Stefan Monnier <monnier@iro.umontreal.ca>
16684 * subr.el (eval-after-load): Preserve evaluation order (bug#15389).
16686 * abbrev.el (abbrev--check-chars): Fix thinko (bug#15360).
16688 2013-09-16 Stefan Monnier <monnier@iro.umontreal.ca>
16690 * icomplete.el (icomplete-in-buffer): New var.
16691 (icomplete-pre-command-hook, icomplete-post-command-hook): Remove those
16692 vars and replace them with functions.
16693 (icomplete-minibuffer-setup): Adjust accordingly.
16694 (icomplete--completion-table, icomplete--completion-predicate)
16695 (icomplete--field-string, icomplete--field-beg, icomplete--field-end):
16697 (icomplete-forward-completions, icomplete-backward-completions)
16698 (icomplete-simple-completing-p, icomplete-exhibit)
16699 (icomplete-completions): Use them.
16700 (icomplete--in-region-buffer): New var.
16701 (icomplete--in-region-setup): New function.
16702 (icomplete-mode): Use it.
16704 * eshell/esh-opt.el: Fix last change to set lexical-vars properly
16706 (eshell--do-opts): Rename from eshell-do-opt, remove arg `body-fun',
16707 return args and options.
16708 (eshell-eval-using-options): Use the new return value of
16709 eshell--do-opts to set the options's vars in their scope.
16710 (eshell--set-option): Rename from eshell-set-option.
16711 Add arg `opt-vals'.
16712 (eshell--process-option): Rename from eshell-process-option.
16713 Add arg `opt-vals'.
16714 (eshell--process-args): Use an `opt-vals' alist to store the options's
16715 values during their processing and return them additionally to the
16718 2013-09-15 Dmitry Gutov <dgutov@yandex.ru>
16720 * progmodes/ruby-mode.el (ruby-operator-re): Consider line
16721 continuation character an operator, as far as indentation is
16722 concerned (Bug#15369).
16724 2013-09-15 Martin Rudalics <rudalics@gmx.at>
16726 * window.el (window--state-put-2): Don't process buffer state
16727 when buffer doesn't exist any more (Bug#15382).
16729 2013-09-15 Glenn Morris <rgm@gnu.org>
16731 * eshell/em-unix.el (eshell/rm):
16732 Make -f ignore missing files. (Bug#15373)
16734 * eshell/esh-cmd.el (eshell--local-vars): New variable. (Bug#15372)
16735 (eshell-rewrite-for-command): Add for loop vars to eshell--local-vars.
16736 * eshell/esh-var.el (eshell-get-variable): Respect eshell--local-vars.
16738 2013-09-14 Glenn Morris <rgm@gnu.org>
16740 * eshell/esh-var.el (eshell-variable-aliases-list): Fix doc typo.
16742 2013-09-13 Glenn Morris <rgm@gnu.org>
16744 * dired-x.el (dired-guess-shell-alist-user): Doc fix.
16745 (dired-guess-default): Make `file' available in the env. (Bug#15363)
16747 2013-09-13 Dmitry Antipov <dmantipov@yandex.ru>
16749 * frame.el (x-focus-frame): Mark as declared in frame.c.
16751 2013-09-13 Stefan Monnier <monnier@iro.umontreal.ca>
16753 * ls-lisp.el: Use advice-add.
16754 (original-insert-directory): Remove.
16755 (ls-lisp--insert-directory): Rename from insert-directory; add
16756 `orig-fun' argument.
16757 (insert-directory): Advise.
16759 2013-09-13 Eli Zaretskii <eliz@gnu.org>
16761 * term.el (term-emulate-terminal): Decode the command string
16762 before passing it to term-command-hook. (Bug#15337)
16764 2013-09-13 Glenn Morris <rgm@gnu.org>
16766 * eshell/esh-util.el (ange-cache): Move declaration earlier.
16768 * eshell/esh-ext.el (eshell-search-path): Declare.
16770 * eshell/em-prompt.el (eshell/pwd): Autoload it.
16771 Otherwise an error occurs if eshell-dirs module not loaded.
16773 * progmodes/gdb-mi.el (gud-cont, gud-step): Declare.
16775 2013-09-13 Michael Albinus <michael.albinus@gmx.de>
16777 * net/tramp.el (tramp-check-proper-method-and-host): Rename it from
16778 `tramp-check-proper-host'. Check for a valid method name.
16780 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
16781 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
16782 * net/tramp-sh.el (tramp-maybe-open-connection):
16783 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Call it.
16785 * net/tramp-cache.el (tramp-cache-print): Don't print text properties
16786 also for hash values.
16788 2013-09-12 Stefan Monnier <monnier@iro.umontreal.ca>
16790 * term/ns-win.el (parameters): Don't declare as dynamic.
16791 (before-make-frame-hook): Don't add ineffective function.
16793 * eshell/*.el: Use lexical-binding (bug#15231).
16795 2013-09-12 Kenichi Handa <handa@gnu.org>
16797 * composite.el (compose-gstring-for-graphic): Handle enclosing mark.
16799 2013-09-12 Glenn Morris <rgm@gnu.org>
16801 * vc/vc-svn.el (vc-svn-dir-status-files, vc-svn-dir-extra-headers)
16802 (vc-svn-ignore, vc-svn-retrieve-tag): Mark unused arguments.
16804 * subr.el (do-after-load-evaluation): Also give compiler warnings
16805 when obsolete files are used (except by obsolete files).
16807 * vc/vc-svn.el (vc-svn-parse-status): If there are multiple files
16808 in the status output, assume `filename' is the first. (Bug#15322)
16810 * vc/vc.el (vc-deduce-fileset): Doc fix.
16812 * calc/calc-help.el (Info-goto-node):
16813 * progmodes/cperl-mode.el (Info-find-node):
16814 * vc/ediff.el (Info-goto-node): Update declarations.
16816 * vc/vc-dispatcher.el (vc-dir-refresh): Declare.
16818 * vc/vc-bzr.el (vc-compilation-mode): Declare.
16819 (vc-bzr-pull): Require vc-dispatcher.
16820 * vc/vc-git.el (vc-compilation-mode): Declare.
16821 (vc-git-pull): Require vc-dispatcher.
16823 * progmodes/ruby-mode.el (ruby-syntax-propertize-function): Declare.
16825 * progmodes/octave.el (help-button-action): Declare.
16827 * shell.el (shell-directory-tracker): Output error as a message
16828 rather than just returning it as a string.
16829 (shell-process-pushd): Remove useless use of message.
16831 * dframe.el (dframe-timer-fn):
16832 * files.el (dir-locals-read-from-file):
16833 * mpc.el (mpc--status-timer-run, mpc--status-idle-timer-run)
16835 * reveal.el (reveal-post-command):
16836 * saveplace.el (load-save-place-alist-from-file):
16837 * shell.el (shell-resync-dirs):
16838 * w32-common-fns.el (x-get-selection-value):
16839 * emacs-lisp/copyright.el (copyright-find-copyright):
16840 * emacs-lisp/eldoc.el (eldoc-print-current-symbol-info):
16841 * emulation/tpu-edt.el (tpu-copy-keyfile):
16842 * play/bubbles.el (bubbles--mark-neighbourhood):
16843 * progmodes/executable.el
16844 (executable-make-buffer-file-executable-if-script-p):
16845 * term/pc-win.el (x-get-selection-value): Use with-demoted-errors.
16847 2013-09-12 Stefan Monnier <monnier@iro.umontreal.ca>
16849 Cleanup Eshell to rely less on dynamic scoping.
16850 * eshell/esh-opt.el (eshell-eval-using-options): Don't bind usage-msg,
16851 last-value, and ext-command here. Bind `args' closer to `body'.
16852 (temp-args, last-value, usage-msg, ext-command, args): Don't defvar.
16853 (eshell--args): Declare new dynamic var.
16854 (eshell-do-opt): Add argument `args'. Bind our own usage-msg,
16855 last-value, and ext-command. Pass `args' to `body'.
16856 (eshell-process-args): Bind eshell--args.
16857 (eshell-set-option): Use eshell--args.
16858 * eshell/eshell.el (eshell): Use derived-mode-p.
16859 * eshell/esh-var.el (eshell-parse-variable): Use backquote.
16860 (eshell-parse-variable-ref): Remove unused vars `end' and `err'.
16861 (eshell-glob-function): Declare.
16862 * eshell/esh-util.el: Require cl-lib.
16863 (eshell-read-hosts-file): Avoid add-to-list.
16864 * eshell/esh-cmd.el (eshell-parse-lisp-argument): Remove unused var
16866 * eshell/em-unix.el (compilation-scroll-output, locate-history-list):
16868 (eshell/diff): Remove unused var `err'.
16869 * eshell/em-rebind.el (eshell-delete-backward-char): Remove unused arg
16871 * eshell/em-pred.el (eshell-parse-modifiers): Remove unused var `err'.
16872 * eshell/em-ls.el (eshell-ls-highlight-alist): Move defvars before
16874 * eshell/em-glob.el (eshell-glob-matches, message-shown):
16875 Move declaration before first use.
16876 * eshell/em-alias.el (eshell-maybe-replace-by-alias): Use backquotes.
16877 * autorevert.el (auto-revert-notify-handler): Use `cl-dolist' since we
16880 2013-09-12 Glenn Morris <rgm@gnu.org>
16882 * term/ns-win.el (global-map): Remove binding for ispell-next,
16883 deleted 1999-05-29. (Bug#15357)
16885 2013-09-11 Glenn Morris <rgm@gnu.org>
16887 * echistory.el (electric-command-history): Remove call to deleted func.
16889 * play/landmark.el (landmark-mode): Fix typos.
16891 * vc/vc-cvs.el (cvs-append-to-ignore): Fix arg spec.
16892 Check cvs-sort-ignore-file is bound.
16894 * savehist.el: No need for cl when compiling on Emacs.
16896 2013-09-11 Stefan Monnier <monnier@iro.umontreal.ca>
16898 * eshell/esh-mode.el (eshell-mode-syntax-table): Fix up initialization
16900 (eshell-self-insert-command, eshell-send-invisible):
16901 Remove unused argument.
16902 (eshell-handle-control-codes): Remove unused var `orig'.
16903 Avoid delete-backward-char.
16905 * files.el (set-auto-mode): Simplify a bit further.
16907 2013-09-11 Glenn Morris <rgm@gnu.org>
16909 * files.el (interpreter-mode-alist): Remove \\` \\' parts.
16910 (set-auto-mode): Don't regexp-quote elements.
16911 * progmodes/python.el (interpreter-mode-alist): Remove \\` \\'.
16912 * progmodes/cc-mode.el (interpreter-mode-alist):
16913 * progmodes/ruby-mode.el (interpreter-mode-alist):
16914 Revert previous change.
16916 2013-09-11 Stefan Monnier <monnier@iro.umontreal.ca>
16918 * play/snake.el (snake-mode):
16919 * play/mpuz.el (mpuz-mode):
16920 * play/landmark.el (lm-mode):
16921 * play/blackbox.el (blackbox-mode):
16922 * play/5x5.el (5x5-mode):
16923 * obsolete/options.el (Edit-options-mode):
16924 * net/quickurl.el (quickurl-list-mode):
16925 * net/newst-treeview.el (newsticker-treeview-mode):
16926 * mail/rmailsum.el (rmail-summary-mode):
16927 * mail/mspools.el (mspools-mode):
16928 * locate.el (locate-mode):
16929 * ibuffer.el (ibuffer-mode):
16930 * emulation/ws-mode.el (wordstar-mode):
16931 * emacs-lisp/debug.el (debugger-mode):
16932 * array.el (array-mode):
16933 * net/eudc.el (eudc-mode): Use define-derived-mode.
16934 * net/mairix.el (mairix-searches-mode-font-lock-keywords):
16935 Move initialization into declaration.
16936 (mairix-searches-mode): Use define-derived-mode.
16937 * net/eudc-hotlist.el (eudc-hotlist-mode): Use define-derived-mode.
16938 (eudc-edit-hotlist): Use dolist.
16939 * man.el (Man-mode-syntax-table): Rename from man-mode-syntax-table.
16940 (Man-mode): Use define-derived-mode.
16941 * info.el (Info-edit-mode-map): Rename from Info-edit-map.
16942 (Info-edit-mode): Use define-derived-mode.
16943 (Info-cease-edit): Use Info-mode.
16944 * eshell/esh-mode.el (eshell-mode-syntax-table): Move initialization
16946 (eshell-mode): Use define-derived-mode.
16947 * chistory.el (command-history-mode-map): Rename from
16948 command-history-map.
16949 (command-history-mode): Use define-derived-mode.
16950 (Command-history-setup): Remove function.
16951 * calc/calc.el (calc-trail-mode-map): New var.
16952 (calc-trail-mode): Use define-derived-mode.
16953 (calc-trail-buffer): Set calc-main-buffer manually.
16954 * bookmark.el (bookmark-insert-annotation): New function.
16955 (bookmark-edit-annotation): Use it.
16956 (bookmark-edit-annotation-mode): Make it a proper major mode.
16957 (bookmark-send-edited-annotation): Use derived-mode-p.
16958 * arc-mode.el (archive-mode): Move kill-all-local-variables a tiny bit
16959 closer to its ideal place. Use \' to match EOS.
16961 * profiler.el (profiler-calltree-find): Use function-equal.
16963 2013-09-10 Glenn Morris <rgm@gnu.org>
16965 * files.el (interpreter-mode-alist): Convert to regexps.
16966 (set-auto-mode): Adapt for this. (Bug#15306)
16967 * progmodes/cperl-mode.el (cperl-clobber-mode-lists):
16968 Comment out unused variable.
16969 * progmodes/cc-mode.el (interpreter-mode-alist):
16970 * progmodes/python.el (interpreter-mode-alist):
16971 * progmodes/ruby-mode.el (interpreter-mode-alist): Convert to regexps.
16972 * progmodes/sh-script.el (sh-set-shell):
16973 No longer use interpreter-mode-alist to get list of shells.
16975 * progmodes/cc-mode.el (awk-mode): Remove duplicate autoload.
16977 2013-09-10 Stefan Monnier <monnier@iro.umontreal.ca>
16979 * simple.el: Use set-temporary-overlay-map for universal-argument.
16980 (universal-argument-map): Don't use default-bindings (bug#15317).
16981 Bind switch-frame explicitly. Replace universal-argument-minus with
16982 a conditional binding.
16983 (universal-argument-num-events, saved-overriding-map): Remove.
16984 (restore-overriding-map): Remove.
16985 (universal-argument--mode): Rename from save&set-overriding-map,
16987 (universal-argument, universal-argument-more, negative-argument)
16988 (digit-argument): Adjust accordingly.
16989 (universal-argument-minus): Remove.
16990 (universal-argument-other-key): Remove.
16992 * subr.el (with-demoted-errors): Add `format' argument.
16994 2013-09-10 Michael Albinus <michael.albinus@gmx.de>
16996 * net/tramp.el (tramp-cleanup): Remove. Functionality added to
16997 `tramp-cleanup-connection'.
16999 * net/tramp-cmds.el (tramp-cleanup-connection): Add optional
17000 parameters KEEP-DEBUG and KEEP-PASSWORD.
17002 * net/tramp.el (tramp-file-name-handler):
17003 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
17004 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell)
17005 (tramp-maybe-open-connection):
17006 * net/tramp-smb.el (tramp-smb-maybe-open-connection):
17007 Use `tramp-cleanup-connection'.
17009 * net/tramp-sh.el (tramp-maybe-open-connection):
17010 Catch 'uname-changed inside the progress reporter.
17012 2013-09-10 Glenn Morris <rgm@gnu.org>
17014 * simple.el (read-minibuffer): Unbreak it. (Bug#15318)
17016 * dired-x.el (dired-mark-sexp): Unbreak for systems where ls
17017 returns "alternate access method" in mode (eg "-rw-r--r--.").
17019 2013-09-08 Glenn Morris <rgm@gnu.org>
17021 * saveplace.el (load-save-place-alist-from-file):
17022 Demote errors. (Bug#15305)
17024 2013-09-08 Michael Albinus <michael.albinus@gmx.de>
17026 Improve compatibility with older Emacsen, and XEmacs.
17028 * net/tramp.el (tramp-find-method, tramp-find-user): Call `propertize'
17029 only if it is bound. It isn't for XEmacs.
17030 (with-tramp-progress-reporter): Do not let-bind `result'.
17031 This yields to scoping errors in XEmacs.
17032 (tramp-handle-make-auto-save-file-name): New function, moved from
17035 * net/tramp-adb.el (tramp-adb-file-name-handler-alist): Add handler
17036 for `make-auto-save-file-name'.
17037 (tramp-adb--gnu-switches-to-ash):
17038 Use `tramp-compat-replace-regexp-in-string'.
17040 * net/tramp-cache.el (tramp-cache-print): Call
17041 `substring-no-properties' only if it is bound. It isn't for XEmacs.
17043 * net/tramp-cmds.el (tramp-bug): Call `propertize' only if it is
17044 bound. It isn't for XEmacs.
17046 * net/tramp-compat.el (tramp-compat-copy-file):
17047 Catch `wrong-number-of-arguments' error.
17048 (tramp-compat-replace-regexp-in-string): New defun.
17050 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist): Add handler
17051 for `make-auto-save-file-name'.
17052 (tramp-gvfs-handle-copy-file): Use `tramp-compat-funcall' for
17054 (tramp-gvfs-file-gvfs-monitor-file-process-filter)
17055 (tramp-gvfs-file-name): Use `tramp-compat-replace-regexp-in-string'.
17056 (tramp-synce-list-devices): Use `push' instead of `pushnew'.
17058 * net/tramp-gw.el (tramp-gw-open-network-stream):
17059 Use `tramp-compat-replace-regexp-in-string'.
17061 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
17062 Call `tramp-handle-make-auto-save-file-name'.
17063 (tramp-sh-handle-make-auto-save-file-name): Move to tramp.el.
17064 (tramp-sh-file-gvfs-monitor-dir-process-filter)
17065 (tramp-sh-file-inotifywait-process-filter):
17066 Use `tramp-compat-replace-regexp-in-string'.
17067 (tramp-compute-multi-hops): Use `push' instead of `pushnew'.
17069 * net/tramp-smb.el (tramp-smb-file-name-handler-alist): Add handler
17070 for `make-auto-save-file-name'.
17071 (tramp-smb-handle-copy-directory):
17072 Call `tramp-compat-replace-regexp-in-string'.
17073 (tramp-smb-get-file-entries): Use `push' instead of `pushnew'.
17074 (tramp-smb-handle-copy-file): Improve error message.
17075 (tramp-smb-handle-rename-file): Rename directly only in case
17076 `newname' does not exist yet. This is a restriction of smbclient.
17077 (tramp-smb-maybe-open-connection): Rerun the function only when
17078 `auth-sources' is non-nil.
17080 2013-09-08 Kenichi Handa <handa@gnu.org>
17082 * international/characters.el: Set category "^" (Combining) for
17085 2013-09-07 Alan Mackenzie <acm@muc.de>
17087 Correctly fontify Java class constructors.
17088 * progmodes/cc-langs.el (c-type-decl-suffix-key): Now matches ")"
17090 (c-recognize-typeless-decls): Set the Java value to t.
17091 * progmodes/cc-engine.el (c-forward-decl-or-cast-1):
17092 While handling a "(", add a check for, effectively, Java, and handle a
17093 "typeless" declaration there.
17095 2013-09-07 Roland Winkler <winkler@gnu.org>
17097 * textmodes/bibtex.el (bibtex-biblatex-entry-alist): Add optional
17098 field subtitle for entry type book.
17100 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
17102 * minibuffer.el: Make minibuffer-complete call completion-in-region
17103 rather than other way around.
17104 (completion--some, completion-pcm--find-all-completions):
17105 Don't delay signals when debugging.
17106 (minibuffer-completion-contents): Beware fields within the
17107 minibuffer contents.
17108 (completion-all-sorted-completions): Use defvar-local.
17109 (completion--do-completion, completion--cache-all-sorted-completions)
17110 (completion-all-sorted-completions, minibuffer-force-complete):
17111 Add args `beg' and `end'.
17112 (completion--in-region-1): New fun, extracted from minibuffer-complete.
17113 (minibuffer-complete): Use completion-in-region.
17114 (completion-complete-and-exit): New fun, extracted from
17115 minibuffer-complete-and-exit.
17116 (minibuffer-complete-and-exit): Use it.
17117 (completion--complete-and-exit): Rename from
17118 minibuffer--complete-and-exit.
17119 (completion-in-region--single-word): New function, extracted from
17120 minibuffer-complete-word.
17121 (minibuffer-complete-word): Use it.
17122 (display-completion-list): Make `common-substring' argument obsolete.
17123 (completion--in-region): Call completion--in-region-1 instead of
17124 minibuffer-complete.
17125 (completion-help-at-point): Pass boundaries to
17126 minibuffer-completion-help as args rather than via an overlay.
17127 (completion-pcm--string->pattern): Use `any-delim'.
17128 (completion-pcm--optimize-pattern): New function.
17129 (completion-pcm--pattern->regex): Handle `any-delim'.
17130 * icomplete.el (icomplete-forward-completions)
17131 (icomplete-backward-completions, icomplete-completions):
17132 Adjust calls to completion-all-sorted-completions and
17133 completion--cache-all-sorted-completions.
17134 (icomplete-with-completion-tables): Default to t.
17135 * emacs-lisp/crm.el (crm--current-element): Rename from
17136 crm--select-current-element. Don't put an overlay but return the
17137 boundaries instead.
17138 (crm--completion-command): Take two new args to bind to the boundaries.
17139 (crm-completion-help): Adjust accordingly.
17140 (crm-complete): Use completion-in-region.
17141 (crm-complete-word): Use completion-in-region--single-word.
17142 (crm-complete-and-exit): Use completion-complete-and-exit.
17144 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
17146 * dired-x.el (dired-mark-sexp): Bind the vars lexically rather
17149 2013-09-06 Juri Linkov <juri@jurta.org>
17151 * info.el (Info-display-images-node): When image file doesn't exist
17152 display text version of the image if it's provided in the Info file.
17153 Otherwise, display the location of missing image from SRC attribute.
17154 Add help-echo text property from ALT attribute. (Bug#15279)
17156 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
17158 * abbrev.el (edit-abbrevs-mode-map): Rename from edit-abbrevs-map.
17159 (edit-abbrevs-mode): Use define-derived-mode.
17161 * epa.el (epa--encode-coding-string, epa--decode-coding-string)
17162 (epa--select-safe-coding-system, epa--derived-mode-p): Make it obvious
17164 (epa-key-list-mode, epa-key-mode, epa-info-mode):
17165 Use define-derived-mode.
17167 * epg.el (epg-start-encrypt): Minor CSE simplification.
17169 2013-09-06 William Xu <william.xwl@gmail.com>
17171 * arc-mode.el: Add support for 7za (bug#15264).
17172 (archive-7z-program): New var.
17173 (archive-zip-extract, archive-zip-expunge, archive-zip-update)
17174 (archive-zip-update-case, archive-7z-extract, archive-7z-expunge)
17175 (archive-7z-update, archive-zip-extract, archive-7z-summarize): Use it.
17177 2013-09-06 Michael Albinus <michael.albinus@gmx.de>
17181 * net/tramp.el (tramp-syntax, tramp-prefix-format)
17182 (tramp-postfix-method-format, tramp-prefix-ipv6-format)
17183 (tramp-postfix-ipv6-format, tramp-prefix-port-format)
17184 (tramp-postfix-host-format, tramp-file-name-regexp)
17185 (tramp-completion-file-name-regexp)
17186 (tramp-completion-dissect-file-name)
17187 (tramp-handle-substitute-in-file-name): Remove 'url case.
17188 (tramp-file-name-regexp-url)
17189 (tramp-completion-file-name-regexp-url): Remove constants.
17191 2013-09-06 Glenn Morris <rgm@gnu.org>
17193 * replace.el (replace-string): Doc fix re start/end. (Bug#15275)
17195 2013-09-05 Dmitry Gutov <dgutov@yandex.ru>
17197 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Move "Perl-ish
17198 keywords" below "here-doc beginnings" (Bug#15270).
17200 2013-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
17202 * subr.el (pop): Use `car-safe'.
17203 * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker): Remove hack
17204 to detect unused `pop' return value.
17206 * progmodes/python.el (python-nav-beginning-of-block): Remove unused
17207 var `block-regexp'.
17208 (python-nav--forward-sexp): Remove unused var `re-search-fn'.
17209 (python-fill-string): Remove unused var `marker'.
17210 (python-skeleton-add-menu-items): Remove unused var `items'.
17212 * international/mule-cmds.el: Require CL.
17213 (find-coding-systems-for-charsets): Avoid add-to-list.
17214 (sanitize-coding-system-list): New function, extracted from
17215 select-safe-coding-system-interactively.
17216 (select-safe-coding-system-interactively): Use it.
17217 (read-input-method-name): Accept symbols for `default'.
17219 * emacs-lisp/advice.el (defadvice): Add indent rule.
17221 2013-09-05 Daniel Hackney <dan@haxney.org>
17225 * net/browse-url.el:
17228 * net/eudcb-ldap.el:
17232 * vc/ediff-diff.el:
17233 * vc/ediff-init.el:
17234 * vc/ediff-merg.el:
17235 * vc/ediff-mult.el:
17236 * vc/ediff-util.el:
17237 * vc/ediff-wind.el:
17241 * vc/vc-annotate.el: Prefix unused arguments with `_' to silence
17242 byte compiler. Remove some unused let-bound variables.
17244 2013-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
17246 * emacs-lisp/cconv.el: Use `car-safe' rather than `car' to access
17247 a "ref-cell", since it gets better optimized (bug#14883).
17249 2013-09-05 Glenn Morris <rgm@gnu.org>
17251 * progmodes/cc-awk.el (c-forward-sws): Declare.
17253 2013-09-04 Glenn Morris <rgm@gnu.org>
17255 * generic-x.el [rul-generic-mode]: Require cc-mode.
17256 (c++-mode-syntax-table): Declare.
17257 (rul-generic-mode-syntax-table): Init in the defvar.
17259 2013-09-04 Stefan Monnier <monnier@iro.umontreal.ca>
17261 * vc/vc-dispatcher.el (vc-run-delayed): New macro.
17262 (vc-do-command, vc-set-async-update):
17263 * vc/vc-mtn.el (vc-mtn-dir-status):
17264 * vc/vc-hg.el (vc-hg-dir-status, vc-hg-dir-status-files)
17265 (vc-hg-pull, vc-hg-merge-branch):
17266 * vc/vc-git.el (vc-git-dir-status-goto-stage, vc-git-pull)
17267 (vc-git-merge-branch):
17268 * vc/vc-cvs.el (vc-cvs-print-log, vc-cvs-dir-status)
17269 (vc-cvs-dir-status-files):
17270 * vc/vc-bzr.el (vc-bzr-pull, vc-bzr-merge-branch, vc-bzr-dir-status)
17271 (vc-bzr-dir-status-files):
17272 * vc/vc-arch.el (vc-arch-dir-status): Use vc-run-delayed.
17273 * vc/vc-annotate.el: Use lexical-binding.
17274 (vc-annotate-display-select, vc-annotate): Use vc-run-delayed.
17275 (vc-sentinel-movepoint): Declare.
17276 (vc-annotate): Don't use `goto-line'.
17277 * vc/vc.el (vc-diff-internal): Prefer a closure to `(lambda...).
17278 (vc-diff-internal, vc-log-internal-common): Use vc-run-delayed.
17279 (vc-sentinel-movepoint): Declare.
17280 * vc/vc-svn.el: Use lexical-binding.
17281 (vc-svn-dir-status, vc-svn-dir-status-files): Use vc-run-delayed.
17283 * vc/vc-rcs.el: Use lexical-binding.
17285 * autorevert.el (auto-revert-notify-handler): Explicitly ignore
17286 `deleted'. Don't drop errors silently.
17288 * emacs-lisp/gv.el (gv-get): Warn about CL-compiled places.
17290 2013-09-04 Xue Fuqiao <xfq.free@gmail.com>
17292 * vc/vc.el (vc-ignore): Rewrite.
17293 (vc-default-ignore): New function.
17294 (vc-default-ignore-completion-table): Use find-ignore-file.
17296 * vc/vc-bzr.el (vc-bzr-ignore, vc-bzr-ignore-completion-table):
17297 * vc/vc-git.el (vc-git-ignore, vc-git-ignore-completion-table):
17298 * vc/vc-hg.el (vc-hg-ignore, vc-hg-ignore-completion-table):
17299 Remove. Most code moved to vc.el.
17301 2013-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
17303 * net/tramp-gvfs.el (tramp-gvfs-mount-spec, tramp-synce-list-devices):
17304 * net/tramp-smb.el (tramp-smb-get-file-entries):
17305 * net/tramp-sh.el (tramp-sh-handle-insert-directory)
17306 (tramp-compute-multi-hops): Fix misuses of `add-to-list'.
17308 * net/eww.el (eww-display-raw): Remove unused argument `charset'.
17310 (eww-change-select): Remove unused var `properties'.
17311 (eww-make-unique-file-name): Remove unused var `base'.
17313 * finder.el (finder-compile-keywords): Don't mess with windows.
17315 * calculator.el (calculator-funcall): Fix typo in last change.
17317 * vc/vc-git.el (vc-git-checkin): Make it possible to commit a merge.
17319 * emacs-lisp/package.el (package-activate-1): Don't let a missing
17320 <pkg>-autoloads.el file stop us.
17322 * net/tramp.el (with-parsed-tramp-file-name): Silence compiler
17323 warnings, and factor out common code.
17325 2013-09-03 Dmitry Gutov <dgutov@yandex.ru>
17327 * progmodes/ruby-mode.el (ruby-calculate-indent): Consider
17328 two-character operators and whether the character preceding them
17329 changes their meaning (Bug#15208).
17331 2013-09-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
17333 Format code sent to Python shell for robustness.
17334 * progmodes/python.el (python-shell-buffer-substring):
17336 (python-shell-send-region, python-shell-send-buffer): Use it.
17338 2013-09-02 Michael Albinus <michael.albinus@gmx.de>
17340 * net/tramp-compat.el (tramp-compat-user-error): Move it ...
17341 * net/tramp.el (tramp-user-error): ... here.
17342 (tramp-find-method, tramp-check-proper-host)
17343 (tramp-dissect-file-name, tramp-debug-message)
17344 (tramp-handle-shell-command):
17345 * net/tramp-adb.el (tramp-adb-handle-shell-command):
17346 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler): Adapt callees.
17348 * net/tramp-cache.el (tramp-cache-print): Don't print text properties.
17350 2013-09-02 Martin Rudalics <rudalics@gmx.at>
17352 * avoid.el (mouse-avoidance-point-position)
17353 (mouse-avoidance-too-close-p): Handle case where posn-at-point
17356 2013-09-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
17358 * progmodes/python.el (python-shell-completion-get-completions):
17359 Drop use of deleted `comint-last-prompt-overlay'.
17360 (python-nav-if-name-main): New command.
17362 2013-09-01 Glenn Morris <rgm@gnu.org>
17364 * Makefile.in (setwins, setwins_almost, setwins_for_subdirs):
17365 Avoid leading space in $wins. Otherwise the sed command used by
17366 eg compile-main ends up containing "/*.el". (Bug#15170)
17368 * frame.el (frame-background-mode): Doc fix. (Bug#15226)
17370 2013-08-30 Glenn Morris <rgm@gnu.org>
17372 * emacs-lisp/bytecomp.el (byte-recompile-directory):
17373 Fix is-this-a-directory logic. (Bug#15220)
17375 2013-08-29 Stefan Monnier <monnier@iro.umontreal.ca>
17377 * textmodes/css-mode.el: Use SMIE.
17378 (css-smie-grammar): New var.
17379 (css-smie--forward-token, css-smie--backward-token)
17380 (css-smie-rules): New functions.
17381 (css-mode): Use them.
17382 (css-navigation-syntax-table): Remove var.
17383 (css-backward-sexp, css-forward-sexp, css-indent-calculate-virtual)
17384 (css-indent-calculate, css-indent-line): Remove functions.
17386 Misc changes to reduce use of `(lambda...); and other cleanups.
17387 * cus-edit.el: Use lexical-binding.
17388 (customize-push-and-save, customize-apropos)
17389 (custom-buffer-create-internal): Use closures.
17390 * progmodes/bat-mode.el (bat-mode-syntax-table): "..." are strings.
17391 * progmodes/ada-xref.el: Use setq.
17392 * net/tramp.el (with-tramp-progress-reporter): Avoid setq.
17393 * dframe.el: Use lexical-binding.
17394 (dframe-frame-mode): Fix calling convention for hooks. Use a closure.
17395 * speedbar.el (speedbar-frame-mode): Adjust call accordingly.
17396 * descr-text.el: Use lexical-binding.
17397 (describe-text-widget, describe-text-sexp, describe-property-list):
17399 * comint.el (comint-history-isearch-push-state): Use a closure.
17400 * calculator.el: Use lexical-binding.
17401 (calculator-number-to-string): Make it work with lexical-binding.
17402 (calculator-funcall): Same and use cl-letf.
17404 * emacs-lisp/lisp.el (lisp--company-doc-buffer)
17405 (lisp--company-doc-string, lisp--company-location): New functions.
17406 (lisp-completion-at-point): Use them to improve Company support.
17408 * progmodes/ruby-mode.el (ruby-smie-grammar): Add rule for formal
17409 params of lambda expressions.
17410 (ruby-smie--implicit-semi-p): Refine rule (bug#15208).
17411 (ruby-smie--opening-pipe-p): New function.
17412 (ruby-smie--forward-token, ruby-smie--backward-token): Handle Ruby
17413 symbols and matched |...| for formal params.
17414 (ruby-smie-rules): Don't let the formal params of a "do" prevent it
17415 from being treated as hanging. Handle "rescue".
17417 2013-08-29 Glenn Morris <rgm@gnu.org>
17419 * progmodes/cc-engine.el (c-pull-open-brace):
17420 Move definition before use.
17422 2013-08-29 Stefan Monnier <monnier@iro.umontreal.ca>
17424 * emacs-lisp/cl-macs.el (cl-defsubst): Make it clear that args
17425 are immutable. Don't use `unsafe' any more.
17426 (cl--defsubst-expand): Don't substitute at the same time as keeping
17427 a residual unused let-binding. Don't use `unsafe' any more.
17429 2013-08-29 Glenn Morris <rgm@gnu.org>
17431 * calendar/cal-china.el (calendar-chinese-year-cache):
17434 * nxml/nxml-util.el (nxml-debug-clear-inside):
17435 Use cl-loop rather than loop.
17437 * net/eww.el (eww-mode-map): Lower-case menu bar entries look bad.
17439 * progmodes/sh-script.el (sh-builtins) <bash>: Add some bash4-isms.
17441 2013-08-28 Glenn Morris <rgm@gnu.org>
17443 * progmodes/antlr-mode.el: No need to require cc-mode twice.
17445 * progmodes/cc-bytecomp.el (cc-require): Handle uncompiled case.
17447 * progmodes/cc-mode.el (c-define-abbrev-table): Handle NAME unbound.
17449 2013-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
17451 * simple.el (repeat-complex-command--called-interactively-skip):
17453 (repeat-complex-command): Use it (bug#14136).
17455 * progmodes/cc-mode.el: Minor cleanup of var declarations.
17456 (c-define-abbrev-table): Add `doc' argument.
17457 (c-mode-abbrev-table, c++-mode-abbrev-table)
17458 (objc-mode-abbrev-table, java-mode-abbrev-table)
17459 (idl-mode-abbrev-table, pike-mode-abbrev-table)
17460 (awk-mode-abbrev-table): Use it.
17461 (c-mode-syntax-table, c-mode-map, c++-mode-syntax-table)
17462 (c++-mode-map, objc-mode-syntax-table, objc-mode-map)
17463 (java-mode-syntax-table, java-mode-map, idl-mode-syntax-table)
17464 (idl-mode-map, pike-mode-syntax-table, pike-mode-map, awk-mode-map):
17465 Move initialization into the declaration; and remove any
17468 * epg.el (epg--process-filter): Use with-current-buffer, save-excursion
17469 and dynamic let binding.
17471 * vc/smerge-mode.el: Remove redundant :group args.
17473 * emacs-lisp/package.el (package-activate-1): Don't add unnecessarily
17476 2013-08-28 Juri Linkov <juri@jurta.org>
17478 * isearch.el (isearch-reread-key-sequence-naturally): Use non-nil
17479 arg DONT-DOWNCASE-LAST of `read-key-sequence'.
17480 (isearch-other-meta-char): Handle an undefined shifted printing
17481 character by downshifting it. (Bug#15200)
17483 2013-08-28 Juri Linkov <juri@jurta.org>
17485 * isearch.el (isearch-search): Change regexp error message for
17486 non-regexp searches. (Bug#15166)
17488 2013-08-28 Paul Eggert <eggert@cs.ucla.edu>
17490 * Makefile.in (SHELL): Now @SHELL@, not /bin/sh,
17491 for portability to hosts where /bin/sh has problems.
17493 2013-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
17495 * emacs-lisp/cconv.el (cconv--analyse-function): Improve warning.
17497 2013-08-27 Juri Linkov <juri@jurta.org>
17499 * isearch.el (isearch-other-meta-char): Don't store kmacro commands
17500 in the keyboard macro. (Bug#15126)
17502 2013-08-27 Juri Linkov <juri@jurta.org>
17504 * isearch.el (isearch-quote-char): Comment out converting unibyte
17505 to multibyte, thus syncing with its `quoted-insert' counterpart.
17508 2013-08-27 Martin Rudalics <rudalics@gmx.at>
17510 * window.el (display-buffer-use-some-window): Add missing
17511 argument in call of get-largest-window (Bug#15185).
17512 Reported by Stephen Leake.
17514 2013-08-27 Glenn Morris <rgm@gnu.org>
17516 * emacs-lisp/package.el (package-buffer-info): Fix message typo.
17518 2013-08-27 Stefan Monnier <monnier@iro.umontreal.ca>
17520 * progmodes/python.el (python-font-lock-keywords): Don't return nil
17521 from a matcher-function unless there's no more matches (bug#15161).
17523 2013-08-26 Michael Albinus <michael.albinus@gmx.de>
17525 * minibuffer.el: Revert change from 2013-08-20.
17527 * net/tramp.el (tramp-find-method, tramp-find-user): Mark result
17528 with text property `tramp-default', if appropriate.
17529 (tramp-check-proper-host): New defun.
17530 (tramp-dissect-file-name): Do not check hostname. Revert change
17532 (tramp-backtrace): Make VEC-OR-PROC optional.
17534 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
17535 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
17536 * net/tramp-sh.el (tramp-maybe-open-connection):
17537 * net/tramp-smb.el (tramp-smb-maybe-open-connection):
17538 Apply `tramp-check-proper-host'.
17540 2013-08-26 Tassilo Horn <tsdh@gnu.org>
17542 * epa-hook.el (epa-file-encrypt-to): Quote `safe-local-variable'
17543 lambda expression in order to have `describe-variable' display it.
17545 2013-08-26 Michael Albinus <michael.albinus@gmx.de>
17547 * net/tramp-sh.el (tramp-sh-handle-verify-visited-file-modtime):
17548 BUF can be optional. (Bug#15186)
17550 2013-08-25 Xue Fuqiao <xfq.free@gmail.com>
17552 * progmodes/flymake.el (flymake-get-real-file-name-function):
17553 Fix broken customization. (Bug#15184)
17555 2013-08-25 Alan Mackenzie <acm@muc.de>
17557 Improve indentation of bracelists defined by macros (without "=").
17559 * progmodes/cc-engine.el (c-inside-bracelist-p): When a macro
17560 expansion begins with "{", regard it as bracelist when it doesn't
17563 Parse C++ inher-intro when there's a template split over 2 lines.
17565 * progmodes/cc-engine.el (c-guess-basic-syntax CASE 5C): Code more
17566 rigorously the search for "class" etc. followed by ":".
17568 * progmodes/cc-langs.el (c-opt-<>-sexp-key): Make the value for
17569 random languages a regexp which never matches rather than nil.
17571 Handle "/"s more accurately in test for virtual semicolons (AWK Mode).
17573 * progmodes/cc-awk.el (c-awk-one-line-possibly-open-string-re)
17574 (c-awk-regexp-one-line-possibly-open-char-list-re)
17575 (c-awk-one-line-possibly-open-regexp-re)
17576 (c-awk-one-line-non-syn-ws*-re): Remove.
17577 (c-awk-possibly-open-string-re, c-awk-non-/-syn-ws*-re)
17578 (c-awk-space*-/-re, c-awk-space*-regexp-/-re)
17579 (c-awk-space*-unclosed-regexp-/-re): New constants.
17580 (c-awk-at-vsemi-p): Reformulate better to recognize "/"s which
17581 aren't regexp delimiters.
17583 * progmodes/cc-engine.el (c-crosses-statement-barrier-p): Add in
17584 handling for a rare situation in AWK Mode involving unterminated
17587 2013-08-23 Glenn Morris <rgm@gnu.org>
17589 * files.el (auto-mode-alist): Use sh-mode for .bash_history.
17591 * files.el (interpreter-mode-alist): Use tcl-mode for expect scripts.
17593 * files.el (create-file-buffer): If the result would begin with
17594 spaces, prepend a "|" instead of removing them. (Bug#15162)
17596 2013-08-23 Stefan Monnier <monnier@iro.umontreal.ca>
17598 * textmodes/fill.el (fill-match-adaptive-prefix): Don't throw away
17599 text-properties (bug#15155).
17601 * calc/calc-keypd.el (calc-keypad-execute): `x-flush-mouse-queue' doesn't
17603 (calc-keypad-redraw): Remove unused var `pad'.
17604 (calc-keypad-press): Remove unused var `menu'.
17606 2013-08-23 Martin Rudalics <rudalics@gmx.at>
17608 * window.el (display-buffer-pop-up-frame):
17609 Call pop-up-frame-function with BUFFER current so `make-frame' will
17610 use it as the new frame's buffer (Bug#15133).
17612 2013-08-22 Stefan Monnier <monnier@iro.umontreal.ca>
17614 * calendar/timeclock.el: Minor cleanups.
17615 (timeclock-ask-before-exiting, timeclock-use-display-time):
17617 (timeclock-modeline-display): Define as alias before the
17619 (timeclock-mode-line-display): Use define-minor-mode.
17620 (timeclock-day-list-template): Make it a function, add an argument.
17621 (timeclock-day-list-required, timeclock-day-list-length)
17622 (timeclock-day-list-debt, timeclock-day-list-span)
17623 (timeclock-day-list-break): Adjust calls accordingly.
17625 2013-08-21 Stefan Monnier <monnier@iro.umontreal.ca>
17627 * emacs-lisp/pp.el (pp-eval-expression, pp-macroexpand-expression):
17628 Use read--expression so that completion works again.
17630 2013-08-21 Sam Steingold <sds@gnu.org>
17632 Add rudimentary inferior shell interaction
17633 * progmodes/sh-script.el (sh-shell-process): New buffer-local variable.
17634 (sh-set-shell): Reset it.
17635 (sh-show-shell, sh-cd-here, sh-send-line-or-region-and-step):
17636 New commands (bound to C-c C-z, C-c C-d, and C-c C-n).
17638 2013-08-20 Stefan Monnier <monnier@iro.umontreal.ca>
17640 * align.el: Use lexical-binding.
17641 (align-region): Simplify accordingly.
17643 2013-08-20 Michael Albinus <michael.albinus@gmx.de>
17645 * minibuffer.el (completion--sifn-requote): Bind `non-essential'.
17647 * rfn-eshadow.el (rfn-eshadow-update-overlay): Move binding of
17648 `non-essential' up.
17650 2013-08-17 Michael Albinus <michael.albinus@gmx.de>
17653 * net/tramp-adb.el:
17654 * net/tramp-cmds.el:
17655 * net/tramp-ftp.el:
17656 * net/tramp-gvfs.el:
17658 * net/tramp-sh.el: Don't wrap external variable declarations by
17659 `eval-when-compile'.
17661 2013-08-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
17663 * net/shr.el (shr-rescale-image): Use ImageMagick even for GIFs
17664 now that Emacs supports ImageMagick animations.
17666 2013-08-16 Michael Albinus <michael.albinus@gmx.de>
17668 * net/tramp-cmds.el (top): Don't declare `buffer-name'.
17669 (tramp-append-tramp-buffers): Rewrite buffer local variables part.
17671 2013-08-16 Martin Rudalics <rudalics@gmx.at>
17673 * window.el (mouse-autoselect-window-select): Do autoselect when
17674 mouse pointer is on margin.
17676 2013-08-16 William Parsons <wbparsons@alum.mit.edu> (tiny change)
17678 * net/ange-ftp.el (ange-ftp-skip-msgs): Add 500 EPSV. (Bug#1972)
17680 2013-08-16 Glenn Morris <rgm@gnu.org>
17682 * net/ange-ftp.el (ange-ftp-good-msgs, ange-ftp-get-pwd):
17683 Handle "Remote Directory" response of some clients. (Bug#15058)
17685 * emacs-lisp/bytecomp.el (byte-compile-make-variable-buffer-local):
17686 Tweak warning. (Bug#14926)
17688 * menu-bar.el (send-mail-item-name, read-mail-item-name): Remove.
17689 (menu-bar-tools-menu): Simplify news and mail items. (Bug#15095)
17691 * image-mode.el (image-mode-map): Add menu items to reverse,
17692 increase, decrease, reset animation speed.
17693 (image--set-speed, image-increase-speed, image-decrease-speed)
17694 (image-reverse-speed, image-reset-speed): New functions.
17695 (image-mode-map): Add bindings for speed commands.
17697 * image.el (image-animate-get-speed, image-animate-set-speed):
17699 (image-animate-timeout): Respect image :speed property.
17701 2013-08-15 Stefan Monnier <monnier@iro.umontreal.ca>
17703 * emacs-lisp/debug.el (debugger-setup-buffer): Put point on the
17704 previous line (bug#15101).
17705 (debugger-eval-expression, debugger-record-expression):
17706 Use read--expression (bug#15102).
17708 2013-08-15 Michael Albinus <michael.albinus@gmx.de>
17710 Remove byte compiler warnings, visible when compiling with
17711 `byte-compile-force-lexical-warnings' set to t.
17713 * net/tramp.el (tramp-debug-message, tramp-message, tramp-error)
17714 (tramp-error-with-buffer): Rename ARGS to ARGUMENTS and BUFFER to BUF.
17715 (tramp-handle-unhandled-file-name-directory)
17716 (tramp-handle-file-notify-add-watch, tramp-action-login)
17717 (tramp-action-succeed, tramp-action-permission-denied)
17718 (tramp-action-terminal, tramp-action-process-alive): Prefix unused
17719 arguments with "_".
17721 * net/tramp-adb.el (tramp-adb-parse-device-names)
17722 (tramp-adb-handle-insert-directory, tramp-adb-handle-delete-file)
17723 (tramp-adb-handle-copy-file): Prefix unused arguments with "_".
17724 (tramp-adb-handle-file-truename): Remove unused arguments.
17726 * net/tramp-cache.el (tramp-flush-directory-property)
17727 (tramp-flush-connection-property, tramp-list-connections)
17728 (tramp-parse-connection-properties): Prefix unused arguments with "_".
17730 * net/tramp-compat.el (tramp-compat-make-temp-file):
17731 Rename FILENAME to F.
17733 * net/tramp-gvfs.el (tramp-gvfs-handle-file-notify-add-watch)
17734 (tramp-gvfs-handle-write-region, tramp-bluez-parse-device-names)
17735 (tramp-zeroconf-parse-workstation-device-names)
17736 (tramp-zeroconf-parse-webdav-device-names)
17737 (tramp-synce-parse-device-names): Prefix unused arguments with "_".
17739 * net/tramp-gw.el (tramp-gw-gw-proc-sentinel)
17740 (tramp-gw-aux-proc-sentinel): Prefix unused arguments with "_".
17742 * net/tramp-sh.el (tramp-sh-handle-file-truename): Remove unused
17744 (tramp-sh-handle-copy-file, tramp-sh-handle-dired-compress-file)
17745 (tramp-sh-handle-insert-file-contents-literally)
17746 (tramp-sh-handle-file-notify-add-watch): Prefix unused arguments
17748 (tramp-do-copy-or-rename-file, tramp-barf-if-no-shell-prompt):
17749 Remove unused variables.
17751 * net/tramp-smb.el (tramp-smb-handle-copy-directory)
17752 (tramp-smb-handle-copy-file, tramp-smb-handle-delete-file)
17753 (tramp-smb-read-file-entry): Prefix unused arguments with "_".
17755 * net/tramp-uu.el (tramp-uu-b64-alphabet, tramp-uu-b64-char-to-byte):
17756 Make them a defconst.
17757 (tramp-uuencode-region): Remove unused variable.
17759 2013-08-14 Juanma Barranquero <lekktu@gmail.com>
17761 * frameset.el (frameset--prop-setter): New function.
17762 (frameset-prop): Add gv-setter declaration.
17763 (frameset-filter-minibuffer): Deal with the case that the minibuffer
17764 parameter was already set in FILTERED. Doc fix.
17765 (frameset--record-minibuffer-relationships): Allow saving a
17766 minibufferless frame without its corresponding minibuffer frame.
17767 (frameset--reuse-frame): Accept a match from an orphaned minibufferless
17768 frame, if the frame id matches.
17769 (frameset--minibufferless-last-p): Sort non-orphaned minibufferless
17770 frames before orphaned ones.
17771 (frameset-restore): Warn about orphaned windows, instead of error out.
17773 2013-08-14 Martin Rudalics <rudalics@gmx.at>
17775 * window.el (window-make-atom): Don't overwrite parameter
17777 (display-buffer-in-atom-window): Handle special case where we
17778 split an already atomic window.
17779 (window--major-non-side-window, display-buffer-in-side-window)
17780 (window--side-check): Ignore minibuffer window when walking
17782 (window-deletable-p): Return 'frame only if no other frame uses
17783 our minibuffer window.
17784 (record-window-buffer): Run buffer-list-update-hook.
17785 (split-window): Make sure window--check-frame won't destroy an
17786 existing atomic window in case the new window gets nested
17788 (display-buffer-at-bottom): Ignore minibuffer window when
17789 walking window tree. Don't split a side window.
17790 (pop-to-buffer): Don't set-buffer here, the select-window call
17792 (mouse-autoselect-window-select): Autoselect only if we are in the
17793 text portion of the window.
17795 2013-08-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
17797 * net/shr.el (shr-parse-image-data): New function to grab both the
17798 data itself and the Content-Type.
17799 (shr-put-image): Use it.
17801 * net/eww.el (eww-display-image): Ditto.
17803 * image.el (image-content-type-suffixes): New variable.
17805 2013-08-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
17807 * progmodes/python.el (python-imenu--build-tree)
17808 (python-imenu--put-parent): Simplify and Fix (GH bug 146).
17810 2013-08-13 Xue Fuqiao <xfq.free@gmail.com>
17812 * simple.el (backward-word): Mention the optional argument.
17814 2013-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
17816 * frameset.el (frameset--make): Rename constructor from make-frameset.
17817 (frameset-p, frameset-valid-p): Don't autoload.
17818 (frameset-valid-p): Use normal accessors.
17820 2013-08-13 Glenn Morris <rgm@gnu.org>
17822 * progmodes/compile.el (compile-command): Tweak example in doc.
17823 * obsolete/scribe.el (scribe-mode):
17824 * progmodes/mixal-mode.el (mixal-mode): Quote buffer name. (Bug#15053)
17826 * mail/feedmail.el (feedmail-confirm-outgoing)
17827 (feedmail-display-full-frame, feedmail-deduce-bcc-where): Fix types.
17829 * cus-start.el (truncate-partial-width-windows): Fix type.
17831 * emulation/viper-init.el (viper-search-scroll-threshold): Fix type.
17833 * net/shr.el (shr-table-horizontal-line): Fix custom type.
17835 2013-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
17837 * emacs-lisp/timer.el (timer--time-setter): New function.
17838 (timer--time): Use it as gv-setter.
17840 * emacs-lisp/gv.el (gv-define-simple-setter): Output warning when
17841 setter is not a symbol.
17843 2013-08-12 Grégoire Jadi <daimrod@gmail.com>
17845 * mail/sendmail.el (sendmail-send-it): Don't kill the error buffer
17846 if sending fails. This makes debugging easier.
17848 2013-08-12 Juanma Barranquero <lekktu@gmail.com>
17850 * xml.el (xml-parse-tag-1): Use looking-at (this reverts change in
17851 2013-08-11T00:07:48Z!lekktu@gmail.com, which breaks the test suite).
17852 https://lists.gnu.org/archive/html/emacs-devel/2013-08/msg00263.html
17854 2013-08-12 Eli Zaretskii <eliz@gnu.org>
17856 * term/w32-win.el (dynamic-library-alist): Add DLLs for zlib.
17858 2013-08-12 Glenn Morris <rgm@gnu.org>
17860 * format.el (format-annotate-function):
17861 Handle read-only text properties in the source. (Bug#14887)
17863 2013-08-11 Lars Magne Ingebrigtsen <larsi@gnus.org>
17865 * net/eww.el (eww-display-html): Ignore coding system errors.
17866 One web site uses "utf-8lias" as the coding system.
17868 2013-08-11 Juanma Barranquero <lekktu@gmail.com>
17870 * frameset.el (frameset-valid-p): Fix check; STATES can indeed be nil.
17872 2013-08-10 Juanma Barranquero <lekktu@gmail.com>
17874 * tutorial.el (tutorial--describe-nonstandard-key): Use string-match-p.
17875 (tutorial--detailed-help): Remove unused local variables.
17876 (tutorial--save-tutorial-to): Use ignore-errors.
17877 (help-with-tutorial): Use looking-at-p.
17879 * view.el (view-buffer-other-window, view-buffer-other-frame):
17880 Mark unused arguments.
17882 * woman.el (woman-parse-colon-path, woman-parse-colon-path)
17883 (woman-select-symbol-fonts, woman, woman-find-file)
17884 (woman-insert-file-contents, woman-non-underline-faces):
17885 Use string-match-p.
17886 (woman1-unquote): Move declaration.
17888 * xml.el (xml-parse-tag-1, xml-parse-string): Use looking-at-p.
17889 (xml-parse-dtd): Use looking-at-p, string-match-p. Mark unused
17890 argument. Remove unused local variable.
17891 (xml-parse-elem-type): Use string-match-p.
17892 (xml-substitute-numeric-entities): Use ignore-errors.
17894 * calculator.el (calculator): Mark unused argument.
17895 (calculator-paste, calculator-quit, calculator-integer-p):
17897 (calculator-string-to-number, calculator-decimal, calculator-exp)
17898 (calculator-op-or-exp): Use string-match-p.
17900 * dired.el (dired-buffer-more-recently-used-p): Declare.
17901 (dired-insert-set-properties, dired-insert-old-subdirs):
17904 * dired-aux.el (dired-compress): Use ignore-errors.
17905 (dired-do-chxxx, dired-do-chmod, dired-trample-file-versions)
17906 (dired-do-async-shell-command, dired-do-shell-command)
17907 (dired-shell-stuff-it, dired-compress-file, dired-insert-subdir)
17908 (dired-insert-subdir-validate): Use string-match-p.
17909 (dired-map-dired-file-lines, dired-subdir-hidden-p): Use looking-at-p.
17910 (dired-add-entry): Use string-match-p, looking-at-p.
17911 (dired-insert-subdir-newpos): Remove unused local variable.
17913 * filenotify.el (file-notify-callback): Remove unused local variable.
17915 * filesets.el (filesets-error): Mark unused argument.
17916 (filesets-which-command-p, filesets-filter-dir-names)
17917 (filesets-directory-files, filesets-get-external-viewer)
17918 (filesets-ingroup-get-data): Use string-match-p.
17920 * find-file.el (ff-other-file-name, ff-other-file-name)
17921 (ff-find-the-other-file, ff-cc-hh-converter):
17922 Remove unused local variables.
17923 (ff-get-file-name): Use string-match-p.
17924 (ff-all-dirs-under): Use ignore-errors.
17926 * follow.el (follow-comint-scroll-to-bottom): Mark unused argument.
17927 (follow-select-if-visible): Remove unused local variable.
17929 * forms.el (read-file-filter): Move declaration.
17930 (forms--make-format, forms--make-parser, forms-insert-record):
17931 Quote function with #'.
17932 (forms--update): Use string-match-p. Quote function with #'.
17934 * help-mode.el (help-dir-local-var-def): Mark unused argument.
17935 (help-make-xrefs): Use looking-at-p.
17936 (help-xref-on-pp): Use looking-at-p, ignore-errors.
17938 * ibuffer.el (ibuffer-ext-visible-p): Declare.
17939 (ibuffer-confirm-operation-on): Use string-match-p.
17941 * msb.el (msb-item-handler, msb-dired-item-handler):
17942 Mark unused arguments.
17944 * ses.el (ses-decode-cell-symbol)
17945 (ses-kill-override): Remove unused local variable.
17946 (ses-create-cell-variable, ses-relocate-formula): Use string-match-p.
17947 (ses-load): Use ignore-errors, looking-at-p.
17948 (ses-jump-safe): Use ignore-errors.
17949 (ses-export-tsv, ses-export-tsf, ses-unsafe): Mark unused arguments.
17951 * tabify.el (untabify, tabify): Mark unused arguments.
17953 * thingatpt.el (thing-at-point--bounds-of-well-formed-url):
17954 Mark unused argument.
17955 (bounds-of-thing-at-point, thing-at-point-bounds-of-list-at-point)
17956 (thing-at-point-newsgroup-p, form-at-point): Use ignore-errors.
17958 * emacs-lisp/timer.el (timer--time): Define setter with
17959 gv-define-setter to avoid deprecation warning.
17961 * completion.el: Remove stuff unused since revno:3176 (1993-05-27).
17962 (*record-cmpl-statistics-p*): Remove (was commented out).
17963 (cmpl-statistics-block): Remove (body was commented out).
17964 All callers changed.
17965 (add-completions-from-buffer, load-completions-from-file):
17966 Remove unused variables.
17968 2013-08-09 Juanma Barranquero <lekktu@gmail.com>
17970 * filecache.el (file-cache-delete-file-list):
17971 Print message only when told so.
17972 (file-cache-files-matching): Use #' in mapconcat argument.
17974 * ffap.el (ffap-url-at-point): Fix reference to variable
17975 thing-at-point-default-mail-uri-scheme.
17977 2013-08-09 Stefan Monnier <monnier@iro.umontreal.ca>
17979 * subr.el (define-error): New function.
17980 * progmodes/ada-xref.el (ada-error-file-not-found): Rename from
17981 error-file-not-found and define with define-error.
17982 * emacs-lisp/cl-lib.el (cl-assertion-failed): Move here from subr.el
17983 and define with define-error.
17984 * userlock.el (file-locked, file-supersession):
17985 * simple.el (mark-inactive):
17986 * progmodes/js.el (js-moz-bad-rpc, js-js-error):
17987 * progmodes/ada-mode.el (ada-mode-errors):
17988 * play/life.el (life-extinct):
17989 * nxml/xsd-regexp.el (xsdre-invalid-regexp, xsdre-parse-error):
17990 * nxml/xmltok.el (xmltok-markup-declaration-parse-error):
17991 * nxml/rng-util.el (rng-error):
17992 * nxml/rng-uri.el (rng-uri-error):
17993 * nxml/rng-match.el (rng-compile-error):
17994 * nxml/rng-cmpct.el (rng-c-incorrect-schema):
17995 * nxml/nxml-util.el (nxml-error, nxml-file-parse-error):
17996 * nxml/nxml-rap.el (nxml-scan-error):
17997 * nxml/nxml-outln.el (nxml-outline-error):
17998 * net/soap-client.el (soap-error):
17999 * net/gnutls.el (gnutls-error):
18000 * net/ange-ftp.el (ftp-error):
18001 * mpc.el (mpc-proc-error):
18002 * json.el (json-error, json-readtable-error, json-unknown-keyword)
18003 (json-number-format, json-string-escape, json-string-format)
18004 (json-key-format, json-object-format):
18005 * jka-compr.el (compression-error):
18006 * international/quail.el (quail-error):
18007 * international/kkc.el (kkc-error):
18008 * emacs-lisp/ert.el (ert-test-failed):
18009 * calc/calc.el (calc-error, inexact-result, math-overflow)
18011 * bookmark.el (bookmark-error-no-filename):
18012 * epg.el (epg-error): Define with define-error.
18014 * time.el (display-time-event-handler)
18015 (display-time-next-load-average): Don't call sit-for since it seems
18016 unnecessary (bug#15045).
18018 * emacs-lisp/checkdoc.el: Remove redundant :group keywords.
18019 Use #' instead of ' to quote functions.
18020 (checkdoc-output-mode): Use setq-local.
18021 (checkdoc-spellcheck-documentation-flag, checkdoc-ispell-lisp-words)
18022 (checkdoc-verb-check-experimental-flag, checkdoc-proper-noun-regexp)
18023 (checkdoc-common-verbs-regexp): Mark safe-local-variable (bug#15010).
18024 (checkdoc-ispell, checkdoc-ispell-current-buffer)
18025 (checkdoc-ispell-interactive, checkdoc-ispell-message-interactive)
18026 (checkdoc-ispell-message-text, checkdoc-ispell-start)
18027 (checkdoc-ispell-continue, checkdoc-ispell-comments)
18028 (checkdoc-ispell-defun): Remove unused arg `take-notes'.
18030 * ido.el (ido-completion-help): Fix up compiler warning.
18032 2013-08-09 Juanma Barranquero <lekktu@gmail.com>
18034 * frameset.el (frameset-p): Add autoload cookie.
18035 (frameset--jump-to-register): New function, based on code moved from
18037 (frameset-to-register): Move from register.el. Adapt to `registerv'.
18039 * register.el (frameset-frame-id, frameset-frame-with-id, frameset-p)
18040 (frameset-restore, frameset-save, frameset-session-filter-alist):
18041 Remove declarations.
18042 (register-alist): Doc fix.
18043 (frameset-to-register): Move to frameset.el.
18044 (jump-to-register, describe-register-1): Remove frameset-specific code.
18046 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
18048 * allout-widgets.el (allout-widgets-pre-command-business)
18049 (allout-widgets-post-command-business)
18050 (allout-widgets-after-change-handler)
18051 (allout-decorate-item-and-context, allout-set-boundary-marker)
18052 (allout-body-modification-handler)
18053 (allout-graphics-modification-handler): Mark ignored arguments.
18054 (allout-widgets-post-command-business)
18055 (allout-widgets-exposure-change-processor)
18056 (allout-widgets-exposure-undo-processor)
18057 (allout-decorate-item-and-context, allout-redecorate-visible-subtree)
18058 (allout-parse-item-at-point, allout-decorate-item-guides)
18059 (allout-decorate-item-cue, allout-item-span): Remove unused variables.
18060 * allout.el (epa-passphrase-callback-function): Declare.
18061 (allout-overlay-insert-in-front-handler)
18062 (allout-overlay-interior-modification-handler)
18063 (allout-isearch-end-handler, allout-chart-siblings)
18064 (allout-up-current-level, allout-end-of-level, allout-reindent-body)
18065 (allout-yank-processing, allout-process-exposed)
18066 (allout-latex-verb-quote, allout-latexify-one-item, outlineify-sticky)
18067 (allout-latex-verbatim-quote-curr-line): Remove unused variables.
18068 * emacs-lisp/lisp-mode.el (lisp-eval-defun, last-sexp-toggle-display)
18069 (lisp-indent-defform): Mark ignored arguments.
18070 (lisp-indent-line): Mark ignored arguments. Remove unused variables.
18071 (calculate-lisp-indent): Remove unused variables.
18072 * international/characters.el (indian-2-column, arabic-2-column)
18073 (tibetan): Mark ignored arguments.
18074 (use-cjk-char-width-table): Mark ignored arguments.
18075 Remove unused variables.
18076 * international/fontset.el (build-default-fontset-data)
18077 (x-compose-font-name, create-fontset-from-fontset-spec):
18078 Mark ignored arguments.
18079 (fontset-plain-name): Remove unused variables.
18080 * international/mule.el (charset-id, charset-bytes, generic-char-p)
18081 (keyboard-coding-system): Mark ignored arguments.
18082 (find-auto-coding): Remove unused variables. Use `ignore-errors'.
18083 * help.el (resize-temp-buffer-window):
18084 * window.el (display-buffer-in-major-side-window)
18085 (display-buffer-in-side-window, display-buffer-in-previous-window):
18086 Remove unused variables.
18087 * isearch.el (isearch-forward-symbol):
18088 * version.el (emacs-bzr-version-bzr):
18089 * international/mule-cmds.el (current-language-environment):
18090 * term/common-win.el (x-handle-iconic, x-handle-geometry)
18091 (x-handle-display):
18092 * term/pc-win.el (x-list-fonts, x-display-planes)
18093 (x-display-color-cells, x-server-max-request-size, x-server-vendor)
18094 (x-server-version, x-display-screens, x-display-mm-height)
18095 (x-display-mm-width, x-display-backing-store, x-display-visual-class)
18096 (x-selection-owner-p, x-own-selection-internal)
18097 (x-disown-selection-internal, x-get-selection-internal)
18098 (msdos-initialize-window-system):
18099 * term/tty-colors.el (tty-color-alist, tty-color-clear):
18100 * term/x-win.el (x-handle-no-bitmap-icon):
18101 * vc/vc-hooks.el (vc-mode, vc-default-make-version-backups-p)
18102 (vc-default-find-file-hook, vc-default-extra-menu):
18103 Mark ignored arguments.
18105 2013-08-08 Stefan Monnier <monnier@iro.umontreal.ca>
18107 * emacs-lisp/edebug.el (edebug-debugger): Use edebug-eval to run the
18108 break-condition in the context of the debugged code (bug#12685).
18110 2013-08-08 Christopher Schmidt <christopher@ch.ristopher.com>
18113 Do not use an overlay to highlight the last prompt. (Bug#14744)
18114 (comint-mode): Make comint-last-prompt buffer local.
18115 (comint-last-prompt): New variable.
18116 (comint-last-prompt-overlay): Remove. Superseded by
18117 comint-last-prompt.
18118 (comint-snapshot-last-prompt, comint-output-filter):
18119 Use comint-last-prompt.
18121 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
18123 * frameset.el (frameset-valid-p): Check vector length. Doc fix.
18124 (frameset-save): Check validity of the resulting frameset.
18126 2013-08-08 Xue Fuqiao <xfq.free@gmail.com>
18128 * ido.el (ido-record-command): Add doc string.
18130 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
18132 * frameset.el (frameset): Do not disable creation of the default
18133 frameset-p predicate. Doc fix.
18134 (frameset-valid-p): New function, copied from the old predicate-p.
18135 Add additional checks.
18136 (frameset-restore): Check with frameset-valid-p.
18137 (frameset-p, frameset-version, frameset-timestamp, frameset-app)
18138 (frameset-name, frameset-description, frameset-properties)
18139 (frameset-states): Add docstring.
18140 (frameset-session-filter-alist, frameset-persistent-filter-alist)
18141 (frameset-filter-alist): Doc fixes.
18143 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
18145 * frameset.el (frameset-p, frameset-prop): Doc fixes.
18147 2013-08-08 Stefan Monnier <monnier@iro.umontreal.ca>
18149 * emacs-lisp/bytecomp.el (byte-compile-function-warn): New function,
18150 extracted from byte-compile-callargs-warn and byte-compile-normal-call.
18151 (byte-compile-callargs-warn, byte-compile-function-form): Use it.
18152 (byte-compile-normal-call): Remove obsolescence check.
18154 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
18156 * frameset.el (frameset-restore): Doc fix.
18158 * register.el (frameset-frame-id, frameset-frame-with-id)
18159 (frameset-p, frameset-restore, frameset-save): Declare.
18160 (register-alist): Document framesets.
18161 (frameset-session-filter-alist): Declare.
18162 (frameset-to-register): New function.
18163 (jump-to-register): Implement jumping to framesets. Doc fix.
18164 (describe-register-1): Describe framesets.
18166 * bindings.el (ctl-x-r-map): Bind ?f to frameset-to-register.
18168 2013-08-07 Juanma Barranquero <lekktu@gmail.com>
18170 * desktop.el (desktop-save-frameset): Use new frameset-save args.
18171 Use lexical-binding.
18173 * frameset.el (frameset): Use type vector, not list (incompatible
18174 change). Do not declare a new constructor, use the default one.
18175 Upgrade suggested properties `app', `name' and `desc' to slots `app',
18176 `name' and `description', respectively, and add read-only slot
18177 `timestamp'. Doc fixes.
18178 (frameset-copy, frameset-persistent-filter-alist)
18179 (frameset-filter-alist, frameset-switch-to-gui-p)
18180 (frameset-switch-to-tty-p, frameset-filter-tty-to-GUI)
18181 (frameset-filter-sanitize-color, frameset-filter-minibuffer)
18182 (frameset-filter-iconified, frameset-keep-original-display-p):
18184 (frameset-filter-shelve-param, frameset-filter-unshelve-param):
18185 Rename from frameset-filter-(save|restore)-param. All callers changed.
18187 (frameset-p): Adapt to change to vector and be more thorough.
18188 Change arg name to OBJECT. Doc fix.
18189 (frameset-prop): Rename arg PROP to PROPERTY. Doc fix.
18190 (frameset-session-filter-alist): Rename from frameset-live-filter-alist.
18191 All callers changed.
18192 (frameset-frame-with-id): Rename from frameset-locate-frame-id.
18193 All callers changed.
18194 (frameset--record-minibuffer-relationships): Rename from
18195 frameset--process-minibuffer-frames. All callers changed.
18196 (frameset-save): Add new keyword arguments APP, NAME and DESCRIPTION.
18197 Use new default constructor (again). Doc fix.
18198 (frameset--find-frame-if): Rename from `frameset--find-frame'.
18199 All callers changed.
18200 (frameset--reuse-frame): Rename arg FRAME-CFG to PARAMETERS.
18201 (frameset--initial-params): Rename arg FRAME-CFG to PARAMETERS.
18203 (frameset--restore-frame): Rename args FRAME-CFG and WINDOW-CFG to
18204 PARAMETERS and WINDOW-STATE, respectively.
18205 (frameset-restore): Add new keyword argument PREDICATE.
18206 Reset frameset--target-display to nil. Doc fix.
18208 2013-08-07 Stefan Monnier <monnier@iro.umontreal.ca>
18210 * progmodes/bat-mode.el (bat--syntax-propertize): New var.
18211 (bat-mode): Use it.
18212 (bat-mode-syntax-table): Mark \n as end-of-comment.
18213 (bat-font-lock-keywords): Remove comment rule.
18215 * progmodes/bat-mode.el: Rename from dos.el. Use "bat-" prefix.
18216 (dos-mode-help): Remove. Use describe-mode (C-h m) instead.
18218 * emacs-lisp/bytecomp.el: Check existence of f in #'f.
18219 (byte-compile-callargs-warn): Use `push'.
18220 (byte-compile-arglist-warn): Ignore higher-order "calls".
18221 (byte-compile-file-form-autoload): Use `pcase'.
18222 (byte-compile-function-form): If quoting a symbol, check that it exists.
18224 2013-08-07 Eli Zaretskii <eliz@gnu.org>
18226 * progmodes/dos.el (dos-font-lock-keywords): Rename LINUX to UNIX
18227 and add a few popular commands found in batch files.
18228 (dos, dos-label-face, dos-cmd-help, dos-run, dos-run-args)
18229 (dos-mode): Doc fixes.
18231 2013-08-07 Stefan Monnier <monnier@iro.umontreal.ca>
18233 * progmodes/dos.el (auto-mode-alist): Add entries for dos-mode.
18234 (dos-mode): Use setq-local. Add space after "rem".
18235 (dos-mode-syntax-table): Don't use "w" for symbol chars.
18236 (dos-font-lock-keywords): Try to adjust font-lock rules accordingly.
18238 2013-08-07 Arni Magnusson <arnima@hafro.is>
18240 * progmodes/dos.el: New file.
18241 * generic-x.el (bat-generic-mode): Redefine as an obsolete alias to
18244 2013-08-06 Glenn Morris <rgm@gnu.org>
18246 * calendar/calendar.el: Add new faces, and day-header-array.
18247 (calendar-weekday-header, calendar-weekend-header)
18248 (calendar-month-header): New faces.
18249 (calendar-day-header-construct): New function.
18250 (calendar-day-header-width): Also :set calendar-day-header-array.
18251 (calendar-american-month-header, calendar-european-month-header)
18252 (calendar-iso-month-header): Use calendar- faces.
18253 (calendar-generate-month):
18254 Use calendar-day-header-array for day headers; apply faces to them.
18255 (calendar-mode): Check calendar-font-lock-keywords non-nil.
18256 (calendar-abbrev-construct): Add optional maxlen argument.
18257 (calendar-day-name-array): Doc fix.
18258 (calendar-day-name-array, calendar-abbrev-length)
18259 (calendar-day-abbrev-array):
18260 Also :set calendar-day-header-array, and maybe redraw.
18261 (calendar-day-header-array): New option. (Bug#15007)
18262 (calendar-font-lock-keywords): Set to nil and make obsolete.
18263 (calendar-day-name): Add option to use header array.
18265 2013-08-06 Lars Magne Ingebrigtsen <larsi@gnus.org>
18267 * net/shr.el (shr-render-td): Remove debugging.
18268 (shr-render-td): Make width computation consistent by defaulting
18269 all zero-width columns to 10 characters. This may not be optimal,
18270 but it's at least consistent.
18271 (shr-make-table-1): Redo last change to fix the real problem in
18274 2013-08-06 Dmitry Antipov <dmantipov@yandex.ru>
18276 * files.el (cache-long-line-scans):
18277 Make obsolete alias to `cache-long-scans'.
18279 2013-08-06 Juanma Barranquero <lekktu@gmail.com>
18281 * frameset.el (frameset, frameset-filter-alist)
18282 (frameset-filter-params, frameset-save, frameset--reuse-frame)
18283 (frameset--minibufferless-last-p, frameset-restore): Doc fixes.
18284 (frameset-compute-pos): Rename from frameset--compute-pos,
18286 (frameset-move-onscreen): Use frameset-compute-pos.
18287 Most changes suggested by Drew Adams <drew.adams@oracle.com>.
18289 * find-lisp.el (find-lisp-line-indent, find-lisp-find-dired-filter):
18290 Fix typos in docstrings.
18292 2013-08-06 Dmitry Antipov <dmantipov@yandex.ru>
18294 * frame.el (get-other-frame): Tiny cleanup.
18296 2013-08-06 Juanma Barranquero <lekktu@gmail.com>
18298 * vc/vc.el (vc-default-ignore-completion-table):
18299 Silence byte-compiler warning.
18301 * frameset.el (frameset-p): Don't check non-nullness of the `properties'
18302 slot, which can indeed be nil.
18303 (frameset-live-filter-alist, frameset-persistent-filter-alist):
18304 Move entry for `left' from persistent to live filter alist.
18305 (frameset-filter-alist, frameset--minibufferless-last-p, frameset-save):
18307 (frameset-filter-params): When restoring a frame, copy items added to
18308 `filtered', to avoid unwittingly modifying the original parameters.
18309 (frameset-move-onscreen): Rename from frameset--move-onscreen. Doc fix.
18310 (frameset--restore-frame): Fix reference to frameset-move-onscreen.
18312 * dired.el (dired-insert-directory): Revert change in 2013-06-21T12:24:37Z!lekktu@gmail.com
18313 to use looking-at-p instead of looking-at. (Bug#15028)
18315 2013-08-05 Stefan Monnier <monnier@iro.umontreal.ca>
18317 Revert introduction of isearch-filter-predicates (bug#14714).
18318 Rely on add-function instead.
18319 * isearch.el (isearch-filter-predicates): Rename it back to
18320 isearch-filter-predicate.
18321 (isearch-message-prefix): Use advice-function-mapc and advice
18322 properties to get the isearch-message-prefix.
18323 (isearch-search, isearch-lazy-highlight-search): Revert to funcall
18324 instead of run-hook-with-args-until-failure.
18325 (isearch-filter-visible): Not obsolete any more.
18326 * loadup.el: Preload nadvice.
18327 * replace.el (perform-replace): Revert to funcall
18328 instead of run-hook-with-args-until-failure.
18329 * wdired.el (wdired-change-to-wdired-mode): Use add-function.
18330 * dired-aux.el (dired-isearch-filenames-mode): Rename from
18331 dired-isearch-filenames-toggle; make it into a proper minor mode.
18332 Use add/remove-function.
18333 (dired-isearch-filenames-setup, dired-isearch-filenames-end):
18334 Call the minor-mode rather than add/remove-hook.
18335 (dired-isearch-filter-filenames):
18336 Remove isearch-message-prefix property.
18337 * info.el (Info--search-loop): New function, extracted from Info-search.
18338 Funcall isearch-filter-predicate instead of
18339 run-hook-with-args-until-failure isearch-filter-predicates.
18340 (Info-search): Use it.
18341 (Info-mode): Use isearch-filter-predicate instead of
18342 isearch-filter-predicates.
18344 2013-08-05 Dmitry Antipov <dmantipov@yandex.ru>
18346 Do not call to `selected-window' where it is assumed by default.
18347 Affected functions are `window-minibuffer-p', `window-dedicated-p',
18348 `window-hscroll', `window-width', `window-height', `window-buffer',
18349 `window-frame', `window-start', `window-point', `next-window'
18350 and `window-display-table'.
18351 * abbrev.el (abbrev--default-expand):
18352 * bs.el (bs--show-with-configuration):
18353 * buff-menu.el (Buffer-menu-mouse-select):
18354 * calc/calc.el (calc):
18355 * calendar/calendar.el (calendar-generate-window):
18356 * calendar/diary-lib.el (diary-simple-display, diary-show-all-entries)
18357 (diary-make-entry):
18358 * comint.el (send-invisible, comint-dynamic-complete-filename)
18359 (comint-dynamic-simple-complete, comint-dynamic-list-completions):
18360 * completion.el (complete):
18361 * dabbrev.el (dabbrev-expand, dabbrev--make-friend-buffer-list):
18362 * disp-table.el (describe-current-display-table):
18363 * doc-view.el (doc-view-insert-image):
18364 * ebuff-menu.el (Electric-buffer-menu-mouse-select):
18365 * ehelp.el (with-electric-help):
18366 * emacs-lisp/easy-mmode.el (easy-mmode-define-navigation):
18367 * emacs-lisp/edebug.el (edebug-two-window-p, edebug-pop-to-buffer):
18368 * emacs-lisp/helper.el (Helper-help-scroller):
18369 * emulation/cua-base.el (cua--post-command-handler-1):
18370 * eshell/esh-mode.el (eshell-output-filter):
18371 * ffap.el (ffap-gnus-wrapper):
18372 * help-macro.el (make-help-screen):
18373 * hilit-chg.el (highlight-compare-buffers):
18374 * hippie-exp.el (hippie-expand, try-expand-dabbrev-visible):
18375 * hl-line.el (global-hl-line-highlight):
18376 * icomplete.el (icomplete-simple-completing-p):
18377 * isearch.el (isearch-done):
18378 * jit-lock.el (jit-lock-stealth-fontify):
18379 * mail/rmailsum.el (rmail-summary-scroll-msg-up):
18380 * mouse-drag.el (mouse-drag-should-do-col-scrolling):
18381 * mpc.el (mpc-tagbrowser, mpc):
18382 * net/rcirc.el (rcirc-any-buffer):
18383 * play/gomoku.el (gomoku-max-width, gomoku-max-height):
18384 * play/landmark.el (landmark-max-width, landmark-max-height):
18385 * play/zone.el (zone):
18386 * progmodes/compile.el (compilation-goto-locus):
18387 * progmodes/ebrowse.el (ebrowse-view/find-file-and-search-pattern):
18388 * progmodes/etags.el (find-tag-other-window):
18389 * progmodes/fortran.el (fortran-column-ruler):
18390 * progmodes/gdb-mi.el (gdb-mouse-toggle-breakpoint-fringe):
18391 * progmodes/verilog-mode.el (verilog-point-text):
18392 * reposition.el (reposition-window):
18393 * rot13.el (toggle-rot13-mode):
18394 * server.el (server-switch-buffer):
18395 * shell.el (shell-dynamic-complete-command)
18396 (shell-dynamic-complete-environment-variable):
18397 * simple.el (insert-buffer, set-selective-display)
18398 (delete-completion-window):
18399 * speedbar.el (speedbar-timer-fn, speedbar-center-buffer-smartly)
18400 (speedbar-recenter):
18401 * startup.el (fancy-splash-head):
18402 * textmodes/ispell.el (ispell-command-loop):
18403 * textmodes/makeinfo.el (makeinfo-compilation-sentinel-region):
18404 * tutorial.el (help-with-tutorial):
18405 * vc/add-log.el (add-change-log-entry):
18406 * vc/compare-w.el (compare-windows):
18407 * vc/ediff-help.el (ediff-indent-help-message):
18408 * vc/ediff-util.el (ediff-setup-control-buffer, ediff-position-region):
18409 * vc/ediff-wind.el (ediff-skip-unsuitable-frames)
18410 (ediff-setup-control-frame):
18411 * vc/emerge.el (emerge-position-region):
18412 * vc/pcvs-util.el (cvs-bury-buffer):
18413 * window.el (walk-windows, mouse-autoselect-window-select):
18414 * winner.el (winner-set-conf, winner-undo): Related users changed.
18416 2013-08-05 Juanma Barranquero <lekktu@gmail.com>
18418 * frameset.el (frameset--set-id): Doc fix.
18419 (frameset-frame-id, frameset-frame-id-equal-p)
18420 (frameset-locate-frame-id): New functions.
18421 (frameset--process-minibuffer-frames, frameset--reuse-frame)
18422 (frameset-restore): Use them.
18424 2013-08-05 Dmitry Antipov <dmantipov@yandex.ru>
18426 Do not call to `selected-frame' where it is assumed by default.
18427 Affected functions are `raise-frame', `redraw-frame',
18428 `frame-first-window', `frame-terminal' and `delete-frame'.
18429 * calendar/appt.el (appt-disp-window):
18430 * epg.el (epg-wait-for-completion):
18431 * follow.el (follow-delete-other-windows-and-split)
18432 (follow-avoid-tail-recenter):
18433 * international/mule.el (set-terminal-coding-system):
18434 * mail/rmail.el (rmail-mail-return):
18435 * net/newst-plainview.el (newsticker--buffer-set-uptodate):
18436 * progmodes/f90.el (f90-add-imenu-menu):
18437 * progmodes/idlw-toolbar.el (idlwave-toolbar-toggle):
18438 * server.el (server-switch-buffer):
18439 * simple.el (delete-completion-window):
18441 * term/xterm.el (terminal-init-xterm-modify-other-keys)
18442 (xterm-turn-on-modify-other-keys, xterm-remove-modify-other-keys):
18443 * vc/ediff-util.el (ediff-status-info, ediff-show-diff-output):
18444 * vc/ediff.el (ediff-documentation): Related users changed.
18445 * frame.el (selected-terminal): Remove the leftover.
18447 2013-08-05 Glenn Morris <rgm@gnu.org>
18449 * calendar/calendar.el (calendar-generate-month):
18450 Fix for calendar-column-width != 1 + calendar-day-digit-width.
18451 (calendar-generate-month, calendar-font-lock-keywords):
18452 Fix for calendar-day-header-width > length of any day name.
18454 2013-08-05 Juanma Barranquero <lekktu@gmail.com>
18456 * desktop.el (desktop-clear): Use new name of sort predicate.
18458 * frameset.el (frameset): Add docstring. Move :version property to its
18459 own `version' slot.
18460 (frameset-copy): Rename from copy-frameset.
18461 (frameset-p): Check more thoroughly.
18462 (frameset-prop): Do not check for :version, which is no longer a prop.
18463 (frameset-live-filter-alist, frameset-persistent-filter-alist):
18464 Use new :never value instead of t.
18465 (frameset-filter-alist): Expand and clarify docstring.
18466 (frameset-filter-tty-to-GUI, frameset-filter-sanitize-color)
18467 (frameset-filter-minibuffer, frameset-filter-save-param)
18468 (frameset-filter-restore-param, frameset-filter-iconified):
18469 Add pointer to docstring of frameset-filter-alist.
18470 (frameset-filter-params): Rename filter values to be more meaningful:
18471 :never instead of t, and reverse the meanings of :save and :restore.
18472 (frameset--process-minibuffer-frames): Clarify error message.
18473 (frameset-save): Avoid unnecessary and confusing call to framep.
18474 Use new BOA constructor for framesets.
18475 (frameset--reuse-list): Doc fix.
18476 (frameset--restore-frame): Rename from frameset--get-frame. Doc fix.
18477 (frameset--minibufferless-last-p): Rename from frameset--sort-states.
18478 (frameset-minibufferless-first-p): Doc fix.
18479 Rename from frameset-sort-frames-for-deletion.
18480 (frameset-restore): Doc fixes. Use new function names.
18481 Most changes suggested by Drew Adams <drew.adams@oracle.com>.
18483 2013-08-04 Juanma Barranquero <lekktu@gmail.com>
18485 * desktop.el (desktop-restore-forces-onscreen)
18486 (desktop-restore-reuses-frames): Document :keyword constant values.
18487 (desktop-filter-parameters-alist): Remove, now identical to
18488 frameset-filter-alist.
18489 (desktop--filter-tty*): Remove, moved to frameset.el.
18490 (desktop-save-frameset, desktop-restore-frameset):
18491 Do not pass :filters argument.
18493 * frameset.el (frameset-live-filter-alist)
18494 (frameset-persistent-filter-alist): New variables.
18495 (frameset-filter-alist): Use them. Add autoload cookie.
18496 (frameset-filter-tty-to-GUI): Move from desktop.el and rename.
18497 (frameset--set-id, frameset--reuse-frame): Rename `frame-id' to
18498 `frameset--id' (it's supposed to be internal to frameset.el).
18499 (frameset--process-minibuffer-frames): Ditto. Doc fix.
18500 (frameset--initial-params): New function.
18501 (frameset--get-frame): Use it. Doc fix.
18502 (frameset--move-onscreen): Accept new PRED value for FORCE-ONSCREEN.
18503 Accept :all, not 'all.
18504 (frameset-restore): Add new predicate values for FORCE-ONSCREEN and
18505 FORCE-DISPLAY. Use :keywords for constant arguments to avoid collision
18506 with fbound symbols. Fix frame id matching, and remove matching ids if
18507 the frame being restored is deleted. Obey :delete.
18509 2013-08-04 Stefan Monnier <monnier@iro.umontreal.ca>
18511 * subr.el (macrop): New function.
18512 (text-clone--maintaining): New var.
18513 (text-clone--maintain): Rename from text-clone-maintain. Use it
18514 instead of inhibit-modification-hooks.
18516 * emacs-lisp/nadvice.el (advice--normalize): For aliases to macros, use
18517 a proxy, so as handle autoloads and redefinitions of the target.
18518 (advice--defalias-fset, advice-remove): Use advice--symbol-function.
18520 * emacs-lisp/pcase.el (pcase-mutually-exclusive-predicates):
18521 Remove bogus (arrayp . stringp) pair. Add entries for `vectorp'.
18522 (pcase--mutually-exclusive-p): New function.
18523 (pcase--split-consp): Use it.
18524 (pcase--split-pred): Use it. Optimize the case where `pat' is a qpat
18525 mutually exclusive with the current predicate.
18527 * emacs-lisp/edebug.el (edebug-lookup-function): Remove function.
18528 (edebug-macrop): Remove. Use `macrop' instead.
18529 * emacs-lisp/advice.el (ad-subr-p): Remove. Use `subrp' instead.
18531 * eshell/esh-cmd.el (eshell-macrop):
18532 * apropos.el (apropos-macrop): Remove. Use `macrop' instead.
18534 2013-08-04 Stefan Monnier <monnier@iro.umontreal.ca>
18536 * emacs-lisp/nadvice.el (advice-function-mapc): Rename from advice-mapc.
18537 (advice-mapc): New function, using it.
18538 (advice-function-member-p): New function.
18539 (advice--normalize): Store the cdr in advice--saved-rewrite since
18540 that's the part that will be changed.
18541 (advice--symbol-function): New function.
18542 (advice-remove): Handle removal before the function is defined.
18543 Adjust to new advice--saved-rewrite.
18544 (advice-member-p): Use advice-function-member-p and
18545 advice--symbol-function.
18547 2013-08-04 Juanma Barranquero <lekktu@gmail.com>
18549 * frameset.el (frameset-p, frameset-save): Fix autoload cookies.
18550 (frameset-filter-minibuffer): Doc fix.
18551 (frameset-restore): Fix autoload cookie. Fix typo in docstring.
18552 (frameset--set-id, frameset--process-minibuffer-frames)
18553 (frameset-restore): Rename parameter `frameset-id' to `frame-id'.
18554 (frameset--reuse-frame): Pass correct frame-id to frameset--find-frame.
18556 * desktop.el (desktop-clear): Only delete frames when called
18557 interactively and desktop-restore-frames is non-nil. Doc fix.
18558 (desktop-read): Set desktop-saved-frameset to nil.
18560 2013-08-04 Xue Fuqiao <xfq.free@gmail.com>
18562 * vc/vc.el (vc-ignore): Rewrite.
18563 (vc-default-ignore-completion-table, vc--read-lines)
18564 (vc--add-line, vc--remove-regexp): New functions.
18566 * vc/vc-svn.el (vc-svn-ignore): Doc fix.
18567 (vc-svn-ignore-completion-table): New function.
18569 * vc/vc-hg.el (vc-hg-ignore): Rewrite.
18570 (vc-hg-ignore-completion-table)
18571 (vc-hg-find-ignore-file): New functions.
18573 * vc/vc-git.el (vc-git-ignore): Rewrite.
18574 (vc-git-ignore-completion-table)
18575 (vc-git-find-ignore-file): New functions.
18577 * vc/vc-dir.el (vc-dir-menu-map): Add menu for vc-dir-ignore.
18579 * vc/vc-bzr.el (vc-bzr-ignore): Rewrite.
18580 (vc-bzr-ignore-completion-table)
18581 (vc-bzr-find-ignore-file): New functions.
18583 2013-08-03 Juanma Barranquero <lekktu@gmail.com>
18585 * frameset.el (frameset-prop): New function and setter.
18586 (frameset-save): Do not modify frame list passed by the caller.
18588 2013-08-03 Stefan Monnier <monnier@iro.umontreal.ca>
18590 * emacs-lisp/package.el (package-desc-from-define): Ignore unknown keys.
18592 2013-08-02 Stefan Monnier <monnier@iro.umontreal.ca>
18594 * emacs-lisp/easy-mmode.el (define-globalized-minor-mode)
18595 (easy-mmode-define-navigation): Avoid ((lambda (..) ..) ...).
18597 * custom.el (custom-initialize-default, custom-initialize-set)
18598 (custom-initialize-reset, custom-initialize-changed): Affect the
18599 toplevel-default-value (bug#6275, bug#14586).
18600 * emacs-lisp/advice.el (ad-compile-function): Undo previous workaround
18603 2013-08-02 Juanma Barranquero <lekktu@gmail.com>
18605 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
18606 Add cl-def* expressions.
18608 * frameset.el (frameset-filter-params): Fix order of arguments.
18610 2013-08-02 Juanma Barranquero <lekktu@gmail.com>
18612 Move code related to saving frames to frameset.el.
18613 * desktop.el: Require frameset.
18614 (desktop-restore-frames): Doc fix.
18615 (desktop-restore-reuses-frames): Rename from
18616 desktop-restoring-reuses-frames.
18617 (desktop-saved-frameset): Rename from desktop-saved-frame-states.
18618 (desktop-clear): Clear frames too.
18619 (desktop-filter-parameters-alist): Set from frameset-filter-alist.
18620 (desktop--filter-tty*, desktop-save, desktop-read):
18621 Use frameset functions.
18622 (desktop-before-saving-frames-functions, desktop--filter-*-color)
18623 (desktop--filter-minibuffer, desktop--filter-restore-desktop-parm)
18624 (desktop--filter-save-desktop-parm, desktop--filter-iconified-position)
18625 (desktop-restore-in-original-display-p, desktop--filter-frame-parms)
18626 (desktop--process-minibuffer-frames, desktop-save-frames)
18627 (desktop--reuse-list, desktop--compute-pos, desktop--move-onscreen)
18628 (desktop--find-frame, desktop--select-frame, desktop--make-frame)
18629 (desktop--sort-states, desktop-restoring-frames-p)
18630 (desktop-restore-frames): Remove. Most code moved to frameset.el.
18631 (desktop-restoring-frameset-p, desktop-restore-frameset)
18632 (desktop--check-dont-save, desktop-save-frameset): New functions.
18633 (desktop--app-id): New constant.
18634 (desktop-first-buffer, desktop-buffer-ok-count)
18635 (desktop-buffer-fail-count): Move before first use.
18636 * frameset.el: New file.
18638 2013-08-01 Stefan Monnier <monnier@iro.umontreal.ca>
18640 * files.el: Use lexical-binding.
18641 (dir-locals-read-from-file): Remove unused `err' variable.
18642 (hack-dir-local-variables--warned-coding): New var.
18643 (hack-dir-local-variables): Use it to avoid repeated warnings.
18644 (make-backup-file-name--default-function): New function.
18645 (make-backup-file-name-function): Use it as default.
18646 (buffer-stale--default-function): New function.
18647 (buffer-stale-function): Use it as default.
18648 (revert-buffer-insert-file-contents--default-function): New function.
18649 (revert-buffer-insert-file-contents-function): Use it as default.
18650 (insert-directory): Avoid add-to-list.
18652 * autorevert.el (auto-revert-handler): Simplify.
18653 Use buffer-stale--default-function.
18655 2013-08-01 Tassilo Horn <tsdh@gnu.org>
18657 * speedbar.el (speedbar-query-confirmation-method): Doc fix.
18659 * whitespace.el (whitespace-ensure-local-variables): New function.
18660 (whitespace-cleanup-region): Call it.
18661 (whitespace-turn-on): Call it.
18663 2013-08-01 Michael Albinus <michael.albinus@gmx.de>
18665 Complete file name handlers.
18667 * net/tramp.el (tramp-handle-set-visited-file-modtime)
18668 (tramp-handle-verify-visited-file-modtime)
18669 (tramp-handle-file-notify-rm-watch): New functions.
18670 (tramp-call-process): Do not bind `default-directory'.
18672 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
18673 Order alphabetically.
18674 <access-file, add-name-to-file, dired-call-process>:
18675 <dired-compress-file, file-acl, file-notify-rm-watch>:
18676 <file-ownership-preserved-p, file-selinux-context>:
18677 <make-directory-internal, make-symbolic-link, set-file-acl>:
18678 <set-file-selinux-context, set-visited-file-modtime>:
18679 <verify-visited-file-modtime>: Add handler.
18680 (tramp-adb-handle-write-region): Apply `set-visited-file-modtime'.
18682 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
18683 <file-notify-add-watch, file-notify-rm-watch>:
18684 <set-file-times, set-visited-file-modtime>:
18685 <verify-visited-file-modtime>: Add handler.
18686 (with-tramp-gvfs-error-message)
18687 (tramp-gvfs-handle-set-visited-file-modtime)
18688 (tramp-gvfs-fuse-file-name): Remove.
18689 (tramp-gvfs-handle-file-notify-add-watch)
18690 (tramp-gvfs-file-gvfs-monitor-file-process-filter): New defuns.
18691 (tramp-gvfs-handle-write-region): Fix error in moving tmpfile.
18693 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
18694 Order alphabetically.
18695 <file-notify-rm-watch>: Use default Tramp handler.
18696 <executable-find>: Remove private handler.
18697 (tramp-do-copy-or-rename-file-out-of-band): Do not bind
18698 `default-directory'.
18699 (tramp-sh-handle-executable-find)
18700 (tramp-sh-handle-file-notify-rm-watch): Remove functions.
18701 (tramp-sh-file-gvfs-monitor-dir-process-filter)
18702 (tramp-sh-file-inotifywait-process-filter, tramp-set-remote-path):
18703 Do not use `format' in `tramp-message'.
18705 * net/tramp-smb.el (tramp-smb-file-name-handler-alist)
18706 <file-notify-rm-watch, set-visited-file-modtime>:
18707 <verify-visited-file-modtime>: Add handler.
18708 (tramp-smb-call-winexe): Do not bind `default-directory'.
18710 2013-08-01 Xue Fuqiao <xfq.free@gmail.com>
18712 * vc/vc-hooks.el (vc-menu-map): Fix menu entry for vc-ignore.
18714 2013-07-31 Dmitry Gutov <dgutov@yandex.ru>
18716 * vc/log-view.el (log-view-diff): Extract `log-view-diff-common',
18718 (log-view-diff-changeset): Same.
18719 (log-view-diff-common): Call backend command `previous-revision'
18720 to find out the previous revision, in both cases. Swap the
18721 variables `to' and `fr', so that `fr' usually refers to the
18722 earlier revision (Bug#14989).
18724 2013-07-31 Kan-Ru Chen <kanru@kanru.info>
18726 * ibuf-ext.el (ibuffer-filter-by-filename):
18727 Make it work with dired buffers too.
18729 2013-07-31 Dmitry Antipov <dmantipov@yandex.ru>
18731 * emacs-lisp/re-builder.el (reb-color-display-p):
18732 * files.el (save-buffers-kill-terminal):
18733 * net/browse-url.el (browse-url):
18734 * server.el (server-save-buffers-kill-terminal):
18735 * textmodes/reftex-toc.el (reftex-toc, reftex-toc-revert):
18736 Prefer nil to selected-frame for the first arg of frame-parameter.
18738 2013-07-31 Xue Fuqiao <xfq.free@gmail.com>
18740 * vc/vc-hooks.el (vc-menu-map): Add menu entry for vc-ignore.
18742 2013-07-30 Stephen Berman <stephen.berman@gmx.net>
18744 * minibuffer.el (completion--twq-all): Try and preserve each
18745 completion's case choice (bug#14907).
18747 2013-07-30 Lars Magne Ingebrigtsen <larsi@gnus.org>
18749 * net/network-stream.el (open-network-stream): Mention the new
18750 :nogreeting parameter.
18751 (network-stream-open-starttls): Use the :nogreeting parameter
18754 * net/shr.el (shr-mouse-browse-url): Remove and use `shr-browse-url'.
18756 * net/eww.el (eww-setup-buffer): Switching to the buffer seems
18757 more natural than popping.
18759 * net/shr.el (shr-urlify): Put `follow-link' on URLs (bug#14815).
18760 (shr-urlify): Highlight under mouse.
18762 2013-07-30 Xue Fuqiao <xfq.free@gmail.com>
18764 * vc/vc-hooks.el (vc-prefix-map): Add key binding for vc-ignore.
18766 * vc/vc-dir.el (vc-dir-mode-map): Change key binding for vc-dir-ignore.
18768 * vc/vc-svn.el (vc-svn-ignore): Remove `interactive'. Use `*vc*'
18771 * vc/vc-hg.el (vc-hg-ignore): Remove `interactive'. Do not assume
18772 point-min==1. Fix search string. Fix parentheses missing.
18774 * vc/vc-git.el (vc-git-ignore): Remove `interactive'. Do not
18775 assume point-min==1. Fix search string. Fix parentheses missing.
18777 * vc/vc-cvs.el (vc-cvs-ignore): Remove `interactive'.
18779 * vc/vc-bzr.el (vc-bzr-ignore): Remove `interactive'. Use `*vc*'
18782 2013-07-29 Eli Zaretskii <eliz@gnu.org>
18784 * frame.el (frame-notice-user-settings): Avoid inflooping when the
18785 initial frame is minibuffer-less. (Bug#14841)
18787 2013-07-29 Michael Albinus <michael.albinus@gmx.de>
18789 * net/tramp.el (tramp-use-ssh-controlmaster-options): New customer
18792 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band)
18793 (tramp-maybe-open-connection): Use it.
18795 2013-07-28 Juanma Barranquero <lekktu@gmail.com>
18797 * desktop.el (desktop--make-frame): Include `minibuffer' in the
18798 minimal set of parameters passed when creating a frame, because
18799 the minibuffer status of a frame cannot be changed later.
18801 2013-07-28 Stephen Berman <stephen.berman@gmx.net>
18803 * calendar/todo-mode.el (todo-rename-file): Fix incorrect use of
18804 replace-regexp-in-string and inadvertent omissions in previous change.
18805 (todo-filter-items): Ensure only file names are comma-separated in
18806 name of filtered items buffer.
18808 2013-07-28 Juanma Barranquero <lekktu@gmail.com>
18810 * desktop.el: Optionally force offscreen frames back onscreen.
18811 (desktop-restoring-reuses-frames): New option.
18812 (desktop--compute-pos, desktop--move-onscreen): New functions.
18813 (desktop--make-frame): Use desktop--move-onscreen.
18815 2013-07-27 Alan Mackenzie <acm@muc.de>
18817 Fontify a Java generic method as a function.
18818 * progmodes/cc-langs.el (c-recognize-<>-arglists): Set the Java
18821 2013-07-27 Stephen Berman <stephen.berman@gmx.net>
18823 * calendar/todo-mode.el: Add command to rename todo files.
18824 (todo-rename-file): New command.
18825 (todo-key-bindings-t): Add key binding for it. Change the
18826 bindings of todo-filter-regexp-items(-multifile) to use `x'
18827 instead of `r', since the latter is better suited to the new
18830 2013-07-27 Alan Mackenzie <acm@muc.de>
18832 Make Java try-with-resources statement parse properly.
18833 * progmodes/cc-langs.el (c-block-stmt-1-2-kwds)
18834 (c-block-stmt-1-2-key): New language constants/variables.
18835 * progmodes/cc-engine.el (c-beginning-of-statement-1)
18836 (c-after-conditional): Adapt to deal with c-block-stmt-1-2-key.
18837 * progmodes/cc-fonts.el (c-font-lock-declarations): Adapt to deal
18838 with c-block-stmt-1-2-key.
18840 2013-07-27 Juanma Barranquero <lekktu@gmail.com>
18842 * desktop.el (desktop--make-frame): Apply most frame parameters after
18843 creating the frame to force (partially or totally) offscreen frames to
18844 be restored as such.
18846 2013-07-26 Xue Fuqiao <xfq.free@gmail.com>
18848 * vc/vc-dir.el (vc-dir-mode-map): Add binding for vc-root-diff.
18851 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
18853 * emacs-lisp/nadvice.el (advice--called-interactively-skip): Use the new
18854 `base' arg of backtrace-frame.
18856 2013-07-26 Eli Zaretskii <eliz@gnu.org>
18858 * simple.el (list-processes): Doc fix.
18860 2013-07-26 Juanma Barranquero <lekktu@gmail.com>
18862 * desktop.el (desktop--select-frame):
18863 Try harder to reuse existing frames.
18865 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
18867 * emacs-lisp/edebug.el: Use backtrace-eval to handle lexical variables.
18868 (edebug-eval): Use backtrace-eval.
18869 (edebug--display, edebug--recursive-edit): Don't let-bind the
18870 edebug-outer-* vars that keep track of variables we locally let-bind.
18871 (edebug-outside-excursion): Don't restore outside values of locally
18873 (edebug--display): Use user-error.
18874 (cl-lexical-debug, cl-debug-env): Remove.
18876 2013-07-26 Juanma Barranquero <lekktu@gmail.com>
18878 * desktop.el (desktop-restore-frames): Call `sit-for' once all frames
18879 are restored to be sure that they are visible before deleting any
18882 2013-07-26 Matthias Meulien <orontee@gmail.com>
18884 * vc/vc-dir.el (vc-dir-mode-map): Add binding for
18885 vc-print-root-log. (Bug#14948)
18887 2013-07-26 Richard Stallman <rms@gnu.org>
18889 Add aliases for encrypting mail.
18890 * epa.el (epa-mail-aliases): New option.
18891 * epa-mail.el (epa-mail-encrypt): Rewrite to be callable from programs.
18892 Bind inhibit-read-only so read-only text doesn't ruin everything.
18893 (epa-mail-default-recipients): New subroutine broken out.
18894 Handle epa-mail-aliases.
18896 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
18898 Add support for lexical variables to the debugger's `e' command.
18899 * emacs-lisp/debug.el (debug): Don't let-bind the debugger-outer-*
18900 vars, except for debugger-outer-match-data.
18901 (debugger-frame-number): Move check for "on a function call" from
18902 callers into it. Add `skip-base' argument.
18903 (debugger-frame, debugger-frame-clear): Simplify accordingly.
18904 (debugger-env-macro): Only reset the state stored in non-variables,
18905 i.e. current-buffer and match-data.
18906 (debugger-eval-expression): Rewrite using backtrace-eval.
18907 * subr.el (internal--called-interactively-p--get-frame): Remove.
18908 (called-interactively-p):
18909 * emacs-lisp/edebug.el (edebug--called-interactively-skip): Use the new
18910 `base' arg of backtrace-frame instead.
18912 2013-07-26 Glenn Morris <rgm@gnu.org>
18914 * align.el (align-regexp): Doc fix. (Bug#14857)
18915 (align-region): Explicit error if subexpression missing/does not match.
18917 * simple.el (global-visual-line-mode):
18918 Do not duplicate the mode lighter. (Bug#14858)
18920 2013-07-25 Martin Rudalics <rudalics@gmx.at>
18922 * window.el (display-buffer): In display-buffer bind
18923 split-window-keep-point to t, bug#14829.
18925 2013-07-25 Juanma Barranquero <lekktu@gmail.com>
18927 * desktop.el: Rename internal "desktop-X" frame params to "desktop--X".
18928 (desktop-filter-parameters-alist, desktop--filter-restore-desktop-parm)
18929 (desktop--filter-save-desktop-parm, desktop--process-minibuffer-frames)
18930 (desktop--select-frame, desktop--sort-states, desktop-restore-frames):
18931 Change accordingly.
18932 (desktop--select-frame, desktop--sort-states, desktop-restore-frames):
18933 Use pcase-let, pcase-let* to deobfuscate access to desktop--mini values.
18935 2013-07-25 Glenn Morris <rgm@gnu.org>
18937 * dired-x.el (dired-mark-extension): Convert comment to doc string.
18939 2013-07-25 Juanma Barranquero <lekktu@gmail.com>
18941 * desktop.el (desktop--make-frame): Do not pass the `fullscreen'
18942 parameter to modify-frame-parameters if the value has not changed;
18943 this is a workaround for bug#14949.
18944 (desktop--make-frame): On cl-delete-if call, check parameter name,
18945 not full parameter.
18947 2013-07-30 Xue Fuqiao <xfq.free@gmail.com>
18949 * vc/vc.el (vc-ignore): New function.
18951 * vc/vc-svn.el (vc-svn-ignore): New function.
18953 * vc/vc-hg.el (vc-hg-ignore): New function.
18955 * vc/vc-git.el (vc-git-ignore): New function.
18957 * vc/vc-dir.el (vc-dir-mode-map): Add key binding for vc-dir-ignore
18958 (vc-dir-ignore): New function.
18960 * vc/vc-cvs.el (vc-cvs-ignore): New function.
18961 (cvs-append-to-ignore): Move here from pcvs.el.
18963 * vc/vc-bzr.el (vc-bzr-ignore): New function.
18965 * vc/pcvs.el (vc-cvs): Require 'vc-cvs.
18967 2013-07-24 Juanma Barranquero <lekktu@gmail.com>
18969 * desktop.el (desktop-restoring-frames-p): Return a true boolean.
18970 (desktop-restore-frames): Warn when deleting an existing frame failed.
18972 2013-07-24 Glenn Morris <rgm@gnu.org>
18974 * ffap.el (ffap-machine-p): Handle "not known" response. (Bug#14929)
18976 2013-07-24 Michael Albinus <michael.albinus@gmx.de>
18978 * filenotify.el (file-notify-supported-p):
18979 * net/tramp-sh.el (tramp-sh-handle-file-notify-supported-p):
18982 * autorevert.el (auto-revert-use-notify)
18983 (auto-revert-notify-add-watch):
18984 * net/tramp.el (tramp-file-name-for-operation):
18985 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
18986 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
18987 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
18988 * net/tramp-smb.el (tramp-smb-file-name-handler-alist):
18989 Remove `file-notify-supported-p' entry.
18991 2013-07-24 Glenn Morris <rgm@gnu.org>
18993 * printing.el: Replace all uses of deleted ps-windows-system,
18994 ps-lp-system, ps-flatten-list with lpr- versions.
18996 2013-07-24 Stefan Monnier <monnier@iro.umontreal.ca>
18998 * emacs-lisp/pcase.el (pcase--u1): Verify if self-quoting values can be
18999 checked with memq (bug#14935).
19001 * files.el (revert-buffer-function): Use a non-nil default.
19002 (revert-buffer-preserve-modes): Declare var to
19003 provide access to the `preserve-modes' argument.
19004 (revert-buffer): Let-bind it.
19005 (revert-buffer--default): New function, extracted from revert-buffer.
19007 2013-07-24 Stefan Monnier <monnier@iro.umontreal.ca>
19009 * lpr.el: Signal print errors more prominently.
19010 (print-region-function): Don't default to nil.
19011 (lpr-print-region): New function, extracted from print-region-1.
19012 Check lpr's return value and signal an error in case of problem.
19013 (print-region-1): Use it.
19014 * ps-print.el (ps-windows-system, ps-lp-system): Remove. Use the lpr-*
19016 (ps-printer-name): Default to nil.
19017 (ps-printer-name-option): Default to lpr-printer-switch.
19018 (ps-print-region-function): Don't default to nil.
19019 (ps-postscript-code-directory): Simplify default.
19020 (ps-do-despool): Use lpr-print-region to properly check the outcome.
19021 (ps-string-list, ps-eval-switch, ps-flatten-list)
19022 (ps-flatten-list-1): Remove.
19023 (ps-multibyte-buffer): Avoid setq.
19024 * dos-w32.el (direct-print-region-helper): Use proper regexp operators.
19025 (print-region-function, ps-print-region-function): Don't set them here.
19027 2013-07-24 Xue Fuqiao <xfq.free@gmail.com>
19029 * ido.el (ido-fractionp, ido-cache-ftp-work-directory-time)
19030 (ido-max-prospects, ido-mode, ido-max-file-prompt-width)
19031 (ido-unc-hosts-cache, ido-max-directory-size, ido-max-dir-file-cache)
19032 (ido-decorations): Doc fix.
19034 * ansi-color.el: Fix old URL.
19036 2013-07-23 Michael R. Mauger <michael@mauger.com>
19038 * progmodes/sql.el: Version 3.3
19039 (sql-product-alist): Improve oracle :prompt-cont-regexp.
19040 (sql-starts-with-prompt-re, sql-ends-with-prompt-re): New functions.
19041 (sql-interactive-remove-continuation-prompt): Rewrite, use
19042 functions above. Fix continuation prompt and complete output line
19044 (sql-redirect-one, sql-execute): Use `read-only-mode' on
19045 redirected output buffer.
19046 (sql-mode): Restore deleted code (Bug#13591).
19048 2013-07-23 Juanma Barranquero <lekktu@gmail.com>
19050 * desktop.el (desktop-clear, desktop-list*): Fix previous change.
19052 2013-07-23 Michael Albinus <michael.albinus@gmx.de>
19054 * net/tramp.el (tramp-handle-file-notify-add-watch): New defun.
19056 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
19057 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
19058 * net/tramp-smb.el (tramp-smb-file-name-handler-alist): Use it.
19060 2013-07-23 Juanma Barranquero <lekktu@gmail.com>
19062 * desktop.el (desktop-clear): Simplify; remove useless checks
19063 against invalid buffer names.
19064 (desktop-list*): Use cl-list*.
19065 (desktop-buffer-info, desktop-create-buffer): Simplify.
19067 2013-07-23 Leo Liu <sdl.web@gmail.com>
19069 * bookmark.el (bookmark-make-record): Restore NAME as a default
19072 2013-07-22 Stefan Monnier <monnier@iro.umontreal.ca>
19074 * emacs-lisp/autoload.el (autoload--setup-output): New function,
19075 extracted from autoload--insert-text.
19076 (autoload--insert-text): Remove.
19077 (autoload--print-cookie-text): New function, extracted from
19078 autoload--insert-cookie-text.
19079 (autoload--insert-cookie-text): Remove.
19080 (autoload-generate-file-autoloads): Adjust calls accordingly.
19082 * winner.el (winner-hook-installed-p): Remove.
19083 (winner-mode): Simplify accordingly.
19085 * subr.el (add-to-list): Fix compiler-macro when `append' is
19086 not constant. Don't use `cl-member' for the base case.
19088 * progmodes/subword.el: Fix boundary case (bug#13758).
19089 (subword-forward-regexp): Make it a constant. Wrap optional \\W in its
19091 (subword-backward-regexp): Make it a constant.
19092 (subword-forward-internal): Don't treat a trailing capital as the
19093 beginning of a word.
19095 2013-07-22 Ari Roponen <ari.roponen@gmail.com> (tiny change)
19097 * emacs-lisp/package.el (package-menu-mode): Don't modify the
19098 global value of tabulated-list-revert-hook (bug#14930).
19100 2013-07-22 Juanma Barranquero <lekktu@gmail.com>
19102 * desktop.el: Require 'cl-lib.
19103 (desktop-before-saving-frames-functions): New hook.
19104 (desktop--process-minibuffer-frames): Set desktop-mini parameter only
19105 for frames being saved. Rename from desktop--save-minibuffer-frames.
19106 (desktop-save-frames): Run hook desktop-before-saving-frames-functions.
19107 Do not save frames with non-nil `desktop-dont-save' parameter.
19108 Filter out deleted frames.
19109 (desktop--find-frame): Use cl-find-if.
19110 (desktop--select-frame): Use cl-(first|second|third) to access values
19112 (desktop--make-frame): Use cl-delete-if.
19113 (desktop--sort-states): Fix sorting of minibuffer-owning frames.
19114 (desktop-restore-frames): Use cl-(first|second|third) to access values
19115 of desktop-mini. Look for visible frame at the end, not while
19118 * dired-x.el (dired-mark-unmarked-files, dired-virtual)
19119 (dired-guess-default, dired-mark-sexp, dired-filename-at-point):
19120 Use string-match-p, looking-at-p (bug#14927).
19122 2013-07-21 Juanma Barranquero <lekktu@gmail.com>
19124 * desktop.el (desktop-saved-frame-states):
19125 Rename from desktop--saved-states; all users changed.
19126 (desktop-save-frames): Rename from desktop--save-frames.
19127 Do not save state to desktop file.
19128 (desktop-save): Save desktop-saved-frame-states to desktop file
19130 (desktop-restoring-frames-p): New function.
19131 (desktop-restore-frames): Use it. Rename from desktop--restore-frames.
19132 (desktop-read): Use desktop-restoring-frames-p. Do not try to fix
19133 buffer-lists when restoring frames. Suggested by Martin Rudalics.
19135 * desktop.el: Correctly restore iconified frames.
19136 (desktop--filter-iconified-position): New function.
19137 (desktop-filter-parameters-alist): Add entries for `top' and `left'.
19139 2013-07-20 Glenn Morris <rgm@gnu.org>
19141 * progmodes/gdb-mi.el (gdb-delete-handler, gdb-stopped):
19142 Let `message' do the formatting.
19143 (def-gdb-preempt-display-buffer): Add explicit format.
19145 * image-dired.el (image-dired-track-original-file):
19146 Use with-current-buffer.
19147 (image-dired-track-thumbnail): Use with-current-buffer.
19148 Avoid changing point of wrong window.
19150 * image-dired.el (image-dired-track-original-file):
19151 Avoid changing point of wrong window. (Bug#14909)
19153 2013-07-20 Richard Copley <rcopley@gmail.com> (tiny change)
19155 * progmodes/gdb-mi.el (gdb-done-or-error):
19156 Guard against "%" in gdb output. (Bug#14127)
19158 2013-07-20 Andreas Schwab <schwab@linux-m68k.org>
19160 * progmodes/sh-script.el (sh-read-variable): Remove interactive spec.
19163 * international/mule.el (coding-system-iso-2022-flags): Fix last
19166 2013-07-20 Kenichi Handa <handa@gnu.org>
19168 * international/mule.el (coding-system-iso-2022-flags):
19169 Add `8-bit-level-4'. (Bug#8522)
19171 2013-07-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
19173 * net/shr.el (shr-mouse-browse-url): New command and keystroke
19176 * net/eww.el (eww-process-text-input): Allow inputting when the
19177 point is at the start of the line, as the properties aren't
19180 * net/shr.el (shr-make-table-1): Ensure that we don't infloop on
19183 2013-07-19 Richard Stallman <rms@gnu.org>
19185 * epa.el (epa-popup-info-window): Doc fix.
19187 * subr.el (split-string): New arg TRIM.
19189 2013-07-18 Juanma Barranquero <lekktu@gmail.com>
19191 * frame.el (blink-cursor-timer-function, blink-cursor-suspend):
19192 Add check for W32 (followup to 2013-07-16T11:41:06Z!jan.h.d@swipnet.se).
19194 2013-07-18 Michael Albinus <michael.albinus@gmx.de>
19196 * filenotify.el (file-notify--library): Rename from
19197 `file-notify-support'. Do not autoload. Adapt all uses.
19198 (file-notify-supported-p): New defun.
19200 * autorevert.el (auto-revert-use-notify):
19201 Use `file-notify-supported-p' instead of `file-notify-support'.
19203 (auto-revert-notify-add-watch): Use `file-notify-supported-p'.
19205 * net/tramp.el (tramp-file-name-for-operation):
19206 Add `file-notify-supported-p'.
19208 * net/tramp-sh.el (tramp-sh-handle-file-notify-supported-p):
19210 (tramp-sh-file-name-handler-alist): Add it as handler for
19211 `file-notify-supported-p '.
19213 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
19214 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
19215 * net/tramp-smb.el (tramp-smb-file-name-handler-alist):
19216 Add `ignore' as handler for `file-notify-*' functions.
19218 2013-07-17 Eli Zaretskii <eliz@gnu.org>
19220 * simple.el (line-move-partial, line-move): Don't start vscroll or
19221 scroll-up if the current line is not taller than the window.
19224 2013-07-16 Dmitry Gutov <dgutov@yandex.ru>
19226 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Do not
19227 highlight question marks in the method names as strings.
19228 (ruby-block-beg-keywords): Inline.
19229 (ruby-font-lock-keyword-beg-re): Extract from
19230 `ruby-font-lock-keywords'.
19232 2013-07-16 Jan Djärv <jan.h.d@swipnet.se>
19234 * frame.el (blink-cursor-blinks): New defcustom.
19235 (blink-cursor-blinks-done): New defvar.
19236 (blink-cursor-start): Set blink-cursor-blinks-done to 1.
19237 (blink-cursor-timer-function): Check if number of blinks has been
19239 (blink-cursor-suspend, blink-cursor-check): New defuns.
19241 2013-07-15 Glenn Morris <rgm@gnu.org>
19243 * edmacro.el (edmacro-format-keys): Fix previous change.
19245 2013-07-15 Paul Eggert <eggert@cs.ucla.edu>
19247 * shell.el (explicit-bash-args): Remove obsolete hack for Bash 1.x.
19248 The hack didn't work outside English locales anyway.
19250 2013-07-15 Juanma Barranquero <lekktu@gmail.com>
19252 * simple.el (define-alternatives): Rename from alternatives-define,
19253 per RMS' suggestion.
19255 2013-07-14 Juanma Barranquero <lekktu@gmail.com>
19257 * desktop.el (desktop-restore-frames): Change default to t.
19258 (desktop-restore-in-current-display): Now offer more options.
19259 (desktop-restoring-reuses-frames): New customization option.
19260 (desktop--saved-states): Doc fix.
19261 (desktop-filter-parameters-alist): New variable, renamed and expanded
19262 from desktop--excluded-frame-parameters.
19263 (desktop--target-display): New variable.
19264 (desktop-switch-to-gui-p, desktop-switch-to-tty-p)
19265 (desktop--filter-tty*, desktop--filter-*-color)
19266 (desktop--filter-minibuffer, desktop--filter-restore-desktop-parm)
19267 (desktop--filter-save-desktop-parm)
19268 (desktop-restore-in-original-display-p): New functions.
19269 (desktop--filter-frame-parms): Use new desktop-filter-parameters-alist.
19270 (desktop--save-minibuffer-frames): New function, inspired by a similar
19271 function from Martin Rudalics.
19272 (desktop--save-frames): Call it; play nice with desktop-globals-to-save.
19273 (desktop--restore-in-this-display-p): Remove.
19274 (desktop--find-frame): Rename from desktop--find-frame-in-display
19275 and add predicate argument.
19276 (desktop--make-full-frame): Remove, integrated into desktop--make-frame.
19277 (desktop--reuse-list): New variable.
19278 (desktop--select-frame, desktop--make-frame, desktop--sort-states):
19280 (desktop--restore-frames): Add support for "minibuffer-special" frames.
19282 2013-07-14 Michael Albinus <michael.albinus@gmx.de>
19284 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Use `ignore-error'.
19286 2013-07-13 Dmitry Gutov <dgutov@yandex.ru>
19288 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
19289 Highlight conversion methods on Kernel.
19291 2013-07-13 Alan Mackenzie <acm@muc.de>
19293 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): Label CASE 13
19294 and comment it out. This out-commenting enables certain C++
19295 declarations to be parsed correctly.
19297 2013-07-13 Eli Zaretskii <eliz@gnu.org>
19299 * international/mule.el (define-coding-system): Doc fix.
19301 * simple.el (default-font-height): Don't call font-info if the
19302 frame's default font didn't change since the frame was created.
19305 2013-07-13 Leo Liu <sdl.web@gmail.com>
19307 * ido.el (ido-read-file-name): Guard against non-symbol value.
19309 2013-07-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
19311 * progmodes/python.el (python-imenu--build-tree): Fix corner case
19314 2013-07-13 Leo Liu <sdl.web@gmail.com>
19316 * ido.el (ido-exhibit): Handle ido-enter-matching-directory before
19317 ido-set-matches call. (Bug#6852)
19319 2013-07-12 Dmitry Gutov <dgutov@yandex.ru>
19321 * progmodes/ruby-mode.el (ruby-percent-literals-beg-re)
19322 (ruby-syntax-expansion-allowed-p): Support array of symbols, for
19324 (ruby-font-lock-keywords): Distinguish calls to functions with
19325 module-like names from module references. Highlight character
19328 2013-07-12 Sergio Durigan Junior <sergiodj@riseup.net> (tiny change)
19330 * progmodes/gdb-mi.el (gdb-strip-string-backslash): New function.
19331 (gdb-send): Handle continued commands. (Bug#14847)
19333 2013-07-12 Juanma Barranquero <lekktu@gmail.com>
19335 * desktop.el (desktop--v2s): Remove unused local variable.
19336 (desktop-save-buffer): Make defvar-local; adjust docstring.
19337 (desktop-auto-save-timeout, desktop-owner): Use ignore-errors.
19338 (desktop-clear, desktop-save-buffer-p): Use string-match-p.
19340 2013-07-12 Andreas Schwab <schwab@linux-m68k.org>
19342 * emacs-lisp/map-ynp.el (map-y-or-n-p): Fix last change.
19344 2013-07-12 Eli Zaretskii <eliz@gnu.org>
19346 * simple.el (next-line, previous-line): Document TRY-VSCROLL and ARG.
19349 2013-07-12 Glenn Morris <rgm@gnu.org>
19351 * doc-view.el: Require cl-lib at runtime too.
19352 (doc-view-remove-if): Remove.
19353 (doc-view-search-next-match, doc-view-search-previous-match):
19356 * edmacro.el: Require cl-lib at runtime too.
19357 (edmacro-format-keys, edmacro-parse-keys): Use cl-mismatch, cl-subseq.
19358 (edmacro-mismatch, edmacro-subseq): Remove.
19360 * shadowfile.el: Require cl-lib.
19361 (shadow-remove-if): Remove.
19362 (shadow-set-cluster, shadow-shadows-of-1, shadow-remove-from-todo):
19365 * wid-edit.el: Require cl-lib.
19366 (widget-choose): Use cl-remove-if.
19367 (widget-remove-if): Remove.
19369 * progmodes/ebrowse.el: Require cl-lib at runtime too.
19370 (ebrowse-delete-if-not): Remove.
19371 (ebrowse-browser-buffer-list, ebrowse-member-buffer-list)
19372 (ebrowse-tree-buffer-list, ebrowse-same-tree-member-buffer-list):
19373 Use cl-delete-if-not.
19375 2013-07-12 Juanma Barranquero <lekktu@gmail.com>
19377 * emacs-lisp/cl-macs.el (cl-multiple-value-bind, cl-multiple-value-setq)
19378 (cl-the, cl-declare, cl-defstruct): Fix typos in docstrings.
19380 2013-07-12 Leo Liu <sdl.web@gmail.com>
19382 * ido.el (dired-do-copy, dired): Set 'ido property. (Bug#11954)
19384 2013-07-11 Glenn Morris <rgm@gnu.org>
19386 * emacs-lisp/edebug.el: Require cl-lib at run-time too.
19387 (edebug-gensym-index, edebug-gensym):
19388 Remove reimplementation of cl-gensym.
19389 (edebug-make-enter-wrapper, edebug-make-form-wrapper): Use cl-gensym.
19391 * thumbs.el: Require cl-lib at run-time too.
19392 (thumbs-gensym-counter, thumbs-gensym):
19393 Remove reimplementation of cl-gensym.
19394 (thumbs-temp-file): Use cl-gensym.
19396 * emacs-lisp/ert.el: Require cl-lib at runtime too.
19397 (ert--cl-do-remf, ert--remprop, ert--remove-if-not)
19398 (ert--intersection, ert--set-difference, ert--set-difference-eq)
19399 (ert--union, ert--gensym-counter, ert--gensym-counter)
19400 (ert--coerce-to-vector, ert--remove*, ert--string-position)
19401 (ert--mismatch, ert--subseq): Remove reimplementations of cl funcs.
19402 (ert-make-test-unbound, ert--expand-should-1)
19403 (ert--expand-should, ert--should-error-handle-error)
19404 (should-error, ert--explain-equal-rec)
19405 (ert--plist-difference-explanation, ert-select-tests)
19406 (ert--make-stats, ert--remove-from-list, ert--string-first-line):
19407 Use cl-lib functions rather than reimplementations.
19409 2013-07-11 Michael Albinus <michael.albinus@gmx.de>
19411 * net/tramp.el (tramp-methods): Extend docstring.
19412 (tramp-connection-timeout): New defcustom.
19413 (tramp-error-with-buffer): Reset timestamp only when appropriate.
19414 (with-tramp-progress-reporter): Simplify.
19415 (tramp-process-actions): Improve messages.
19417 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
19418 * net/tramp-sh.el (tramp-maybe-open-connection):
19419 Use `tramp-connection-timeout'.
19420 (tramp-methods) <su, sudo, ksu>: Add method specific timeouts.
19423 2013-07-11 Leo Liu <sdl.web@gmail.com>
19425 * ido.el (ido-read-file-name): Conform to the requirements of
19426 read-file-name. (Bug#11861)
19427 (ido-read-directory-name): Conform to the requirements of
19428 read-directory-name.
19430 2013-07-11 Juanma Barranquero <lekktu@gmail.com>
19432 * subr.el (delay-warning): New function.
19434 2013-07-10 Eli Zaretskii <eliz@gnu.org>
19436 * simple.el (default-line-height): New function.
19437 (line-move-partial, line-move): Use it instead of computing the
19438 line height inline.
19439 (line-move-partial): Always compute ROWH. If the last line is
19440 partially-visible, but its text is completely visible, allow
19441 cursor to enter such a partially-visible line.
19443 2013-07-10 Michael Albinus <michael.albinus@gmx.de>
19445 Improve error messages. (Bug#14808)
19447 * net/tramp.el (tramp-current-connection): New defvar, moved from
19449 (tramp-message-show-progress-reporter-message): Remove, not
19451 (tramp-error-with-buffer): Show message in minibuffer.
19452 Discard input before waiting. Reset connection timestamp.
19453 (with-tramp-progress-reporter): Improve messages.
19454 (tramp-process-actions): Use progress reporter. Delete process in
19455 case of error. Improve messages.
19457 * net/tramp-sh.el (tramp-barf-if-no-shell-prompt): Use condition-case.
19458 Call `tramp-error-with-buffer' with vector and buffer.
19459 (tramp-current-connection): Remove.
19460 (tramp-maybe-open-connection): The car of
19461 `tramp-current-connection' are the first 3 slots of the vector.
19463 2013-07-10 Teodor Zlatanov <tzz@lifelogs.com>
19465 * progmodes/cfengine.el (cfengine3-indent-line): Do not indent
19466 inside continued strings.
19468 2013-07-10 Paul Eggert <eggert@cs.ucla.edu>
19470 Timestamp fixes for undo (Bug#14824).
19471 * files.el (clear-visited-file-modtime): Move here from fileio.c.
19473 2013-07-10 Leo Liu <sdl.web@gmail.com>
19475 * files.el (require-final-newline): Allow safe local value.
19478 2013-07-09 Leo Liu <sdl.web@gmail.com>
19480 * ido.el (ido-read-directory-name): Handle fallback.
19481 (ido-read-file-name): Update DIR to ido-current-directory.
19483 (ido-add-virtual-buffers-to-list): Robustify. (Bug#14552)
19485 2013-07-09 Dmitry Gutov <dgutov@yandex.ru>
19487 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Remove extra
19488 "autoload". Remove "warn lower camel case" section, previously
19489 commented out. Highlight negation char. Do not highlight the
19490 target in singleton method definitions.
19492 2013-07-08 Stefan Monnier <monnier@iro.umontreal.ca>
19494 * faces.el (tty-setup-hook): Declare the hook.
19496 * emacs-lisp/pcase.el (pcase--split-pred): Add `vars' argument to try
19497 and detect when a guard/pred depends on local vars (bug#14773).
19498 (pcase--u1): Adjust caller.
19500 2013-07-08 Eli Zaretskii <eliz@gnu.org>
19502 * simple.el (line-move-partial, line-move): Account for
19504 (line-move-partial): Avoid setting vscroll when the last
19505 partially-visible line in window is of default height.
19507 2013-07-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
19509 * net/shr.el (shr-map): Reinstate the `u' key binding, since it's
19512 2013-07-07 Juanma Barranquero <lekktu@gmail.com>
19514 * subr.el (read-quoted-char): Remove unused local variable `char'.
19516 2013-07-07 Michael Kifer <kifer@cs.stonybrook.edu>
19518 * vc/ediff.el (ediff-version): Version update.
19519 (ediff-files-command, ediff3-files-command, ediff-merge-command)
19520 (ediff-merge-with-ancestor-command, ediff-directories-command)
19521 (ediff-directories3-command, ediff-merge-directories-command)
19522 (ediff-merge-directories-with-ancestor-command): New functions.
19523 All are command-line interfaces to ediff: to facilitate calling
19524 Emacs with the appropriate ediff functions invoked.
19526 * emulation/viper-cmd.el (viper-del-forward-char-in-insert):
19528 (viper-save-kill-buffer): Check if buffer is modified.
19530 * emulation/viper.el (viper-version): Version update.
19531 (viper-emacs-state-mode-list): Add egg-status-buffer-mode.
19533 2013-07-07 Stefan Monnier <monnier@iro.umontreal.ca>
19535 * faces.el (tty-run-terminal-initialization): Run new tty-setup-hook.
19536 * emulation/viper-cmd.el (viper-envelop-ESC-key): Remove function.
19537 (viper-intercept-ESC-key): Simplify.
19538 * emulation/viper-keym.el (viper-ESC-key): Make it a constant,
19540 * emulation/viper.el (viper--tty-ESC-filter, viper--lookup-key)
19541 (viper-catch-tty-ESC, viper-uncatch-tty-ESC)
19542 (viper-setup-ESC-to-escape): New functions.
19543 (viper-go-away, viper-set-hooks): Call viper-setup-ESC-to-escape.
19544 (viper-set-hooks): Do not modify flyspell-mode-hook. (Bug#13793)
19546 2013-07-07 Eli Zaretskii <eliz@gnu.org>
19548 * simple.el (default-font-height, window-screen-lines):
19550 (line-move, line-move-partial): Use them instead of
19551 frame-char-height and window-text-height. This makes scrolling
19552 text smoother when the buffer's default face uses a font that is
19553 different from the frame's default font.
19555 2013-07-06 Jan Djärv <jan.h.d@swipnet.se>
19557 * files.el (write-file): Do not display confirm dialog for NS,
19558 it does its own dialog, which can't be canceled (Bug#14578).
19560 2013-07-06 Eli Zaretskii <eliz@gnu.org>
19562 * simple.el (line-move-partial): Adjust the row returned by
19563 posn-at-point for the current window-vscroll. (Bug#14567)
19565 2013-07-06 Michael Albinus <michael.albinus@gmx.de>
19567 * net/tramp-sh.el (tramp-sh-file-gvfs-monitor-dir-process-filter)
19568 (tramp-sh-file-inotifywait-process-filter): Handle file names with
19571 2013-07-06 Martin Rudalics <rudalics@gmx.at>
19573 * window.el (window-state-put-stale-windows): New variable.
19574 (window--state-put-2): Save list of windows without matching buffer.
19575 (window-state-put): Remove "bufferless" windows if possible.
19577 2013-07-06 Juanma Barranquero <lekktu@gmail.com>
19579 * simple.el (alternatives-define): Remove leftover :group keyword.
19582 2013-07-06 Leo Liu <sdl.web@gmail.com>
19584 * ido.el (ido-use-virtual-buffers): Allow new value 'auto.
19585 (ido-enable-virtual-buffers): New variable.
19586 (ido-buffer-internal, ido-toggle-virtual-buffers)
19587 (ido-make-buffer-list): Use it.
19588 (ido-exhibit): Support turning on and off virtual buffers
19591 2013-07-06 Juanma Barranquero <lekktu@gmail.com>
19593 * simple.el (alternatives-define): New macro.
19595 2013-07-06 Stefan Monnier <monnier@iro.umontreal.ca>
19597 * subr.el (read-quoted-char): Use read-key.
19598 (sit-for): Let read-event decode tty input (bug#14782).
19600 2013-07-05 Stephen Berman <stephen.berman@gmx.net>
19602 * calendar/todo-mode.el: Add handling of file deletion, both by
19603 mode command and externally. Fix various related bugs.
19604 Clarify Commentary and improve some documentation strings and code.
19605 (todo-delete-file): New command.
19606 (todo-check-file): New function.
19607 (todo-show): Handle external deletion of the file we're trying to
19608 show (bug#14688). Replace called-interactively-p by an optional
19609 prefix argument to avoid problematic interaction with catch form
19610 when byte compiled (bug#14702).
19611 (todo-quit): Handle external deletion of the archive's todo file.
19612 Make sure the buffer that was visiting the archive file is still
19613 live before trying to bury it.
19614 (todo-category-completions): Handle external deletion of any
19615 category completion files.
19616 (todo-jump-to-category, todo-basic-insert-item): Recalculate list
19617 of todo files, in case of external deletion.
19618 (todo-add-file): Replace unnecessary setq by let-binding.
19619 (todo-find-archive): Check whether there are any archives.
19620 Replace unnecessary setq by let-binding.
19621 (todo-archive-done-item): Use find-file-noselect to get the
19622 archive buffer whether or not the archive already exists.
19623 Remove superfluous code. Use file size instead of buffer-file-name to
19624 check if the archive is new; if it is, update list of archives.
19625 (todo-default-todo-file): Allow nil to be a valid value for when
19626 there are no todo files.
19627 (todo-reevaluate-default-file-defcustom): Use corrected definition
19628 of todo-default-todo-file.
19629 (todo-key-bindings-t+a+f): Add key binding for todo-delete-file.
19630 (todo-delete-category, todo-show-categories-table)
19631 (todo-category-number): Clarify comment.
19632 (todo-filter-items): Clarify documentation string.
19633 (todo-show-current-file, todo-display-as-todo-file)
19634 (todo-reset-and-enable-done-separator): Tweak documentation string.
19635 (todo-done-separator): Make separator length window-width, since
19636 bug#2749 is now fixed.
19638 2013-07-05 Michael Albinus <michael.albinus@gmx.de>
19640 * net/tramp-sh.el (tramp-sh-handle-file-notify-add-watch):
19641 Support both "gvfs-monitor-dir" and "inotifywait".
19642 (tramp-sh-file-inotifywait-process-filter): Rename from
19643 `tramp-sh-file-notify-process-filter'.
19644 (tramp-sh-file-gvfs-monitor-dir-process-filter)
19645 (tramp-get-remote-gvfs-monitor-dir): New defuns.
19647 2013-07-05 Leo Liu <sdl.web@gmail.com>
19649 * autoinsert.el (auto-insert-alist): Default to lexical-binding.
19651 2013-07-04 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
19653 * frame.el (display-pixel-height, display-pixel-width)
19654 (display-mm-height, display-mm-width): Mention behavior on
19655 multi-monitor setups in docstrings.
19656 (w32-display-monitor-attributes-list): Declare function.
19657 (display-monitor-attributes-list): Use it.
19659 2013-07-04 Michael Albinus <michael.albinus@gmx.de>
19661 * filenotify.el: New package.
19663 * autorevert.el (top): Require filenotify.el.
19664 (auto-revert-notify-enabled): Remove. Use `file-notify-support'
19666 (auto-revert-notify-rm-watch, auto-revert-notify-add-watch)
19667 (auto-revert-notify-handler): Use `file-notify-*' functions.
19669 * subr.el (file-notify-handle-event): Move function to filenotify.el.
19671 * net/tramp.el (tramp-file-name-for-operation):
19672 Handle `file-notify-add-watch' and `file-notify-rm-watch'.
19674 * net/tramp-sh.el (tramp-sh-file-name-handler-alist): Add handler
19675 for `file-notify-add-watch' and `file-notify-rm-watch'.
19676 (tramp-process-sentinel): Improve trace.
19677 (tramp-sh-handle-file-notify-add-watch)
19678 (tramp-sh-file-notify-process-filter)
19679 (tramp-sh-handle-file-notify-rm-watch)
19680 (tramp-get-remote-inotifywait): New defuns.
19682 2013-07-03 Juri Linkov <juri@jurta.org>
19684 * buff-menu.el (Buffer-menu-multi-occur): Add args and move the
19685 call of `occur-read-primary-args' to interactive spec.
19687 * ibuffer.el (ibuffer-mode-map): Bind "M-s a C-o" to
19688 `ibuffer-do-occur' like in buff-menu.el. (Bug#14673)
19690 2013-07-03 Matthias Meulien <orontee@gmail.com>
19692 * buff-menu.el (Buffer-menu-mode-map): Bind "M-s a C-o" to
19693 `Buffer-menu-multi-occur'. Add it to the menu.
19694 (Buffer-menu-mode): Document it in docstring.
19695 (Buffer-menu-multi-occur): New command. (Bug#14673)
19697 2013-07-03 Dmitry Gutov <dgutov@yandex.ru>
19699 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Highlight more
19700 keywords and built-ins.
19702 2013-07-03 Glenn Morris <rgm@gnu.org>
19704 * subr.el (y-or-n-p): Handle empty prompts. (Bug#14770)
19706 Make info-xref checks case-sensitive by default
19707 * info.el (Info-find-node, Info-find-in-tag-table)
19708 (Info-find-node-in-buffer, Info-find-node-2, Info-goto-node):
19709 Add option for exact case matching of nodes.
19710 * info-xref.el (info-xref): New custom group.
19711 (info-xref-case-fold): New option.
19712 (info-xref-goto-node-p): Pass info-xref-case-fold to Info-goto-node.
19714 2013-07-03 Leo Liu <sdl.web@gmail.com>
19716 * ido.el (ido-delete-file-at-head): Respect delete-by-moving-to-trash.
19718 2013-07-03 Dmitry Gutov <dgutov@yandex.ru>
19720 * progmodes/ruby-mode.el (ruby-move-to-block): When we're at a
19721 middle of block statement initially, lower the depth. Remove
19722 FIXME comment, not longer valid. Remove middle of block statement
19723 detection, no need to do that anymore since we've been using
19724 `ruby-parse-region' here.
19726 2013-07-02 Jan Djärv <jan.h.d@swipnet.se>
19728 * term/ns-win.el (display-format-alist): Use .* (Bug#14765).
19730 2013-07-01 Katsumi Yamaoka <yamaoka@jpl.org>
19732 * wid-edit.el (widget-default-get): Don't modify widget (Bug#14738).
19734 2013-07-01 Juanma Barranquero <lekktu@gmail.com>
19736 * desktop.el (desktop-restore-frames): Rename from desktop-save-windows.
19737 (desktop-restore-in-current-display): New customization option.
19738 (desktop--excluded-frame-parameters): Add `font'.
19739 (desktop--save-frames): Rename from desktop--save-windows.
19740 (desktop--restore-in-this-display-p): New function.
19741 (desktop--make-full-frame): Remove unwanted width/height from
19742 full(width|height) frames.
19743 (desktop--restore-frames): Rename from desktop--restore-windows.
19744 Obey desktop-restore-current-display. Do not delete old frames or
19745 select a new frame unless we were able to restore at least one frame.
19747 2013-06-30 Michal Nazarewicz <mina86@mina86.com>
19749 * files.el (find-file-noselect): Simplify conditional expression.
19751 * textmodes/remember.el (remember-append-to-file):
19752 Don't mix `find-buffer-visiting' and `get-file-buffer'.
19754 Add `remember-notes' function to store random notes across Emacs
19756 * textmodes/remember.el (remember-data-file): Add :set callback to
19757 affect notes buffer (if any).
19758 (remember-notes): New command.
19759 (remember-notes-buffer-name, bury-remember-notes-on-kill):
19760 New defcustoms for the `remember-notes' function.
19761 (remember-notes-save-and-bury-buffer): New command.
19762 (remember-notes-mode-map): New variable.
19763 (remember-mode): New minor mode.
19764 (remember-notes--kill-buffer-query): New function.
19765 * startup.el (initial-buffer-choice): Add notes to custom type.
19767 2013-06-30 Eli Zaretskii <eliz@gnu.org>
19769 * bindings.el (right-char, left-char): Don't call sit-for, this is
19770 no longer needed. Use arithmetic comparison only for numerical
19773 * international/mule-cmds.el (select-safe-coding-system):
19774 Handle the case of FROM being a string correctly. (Bug#14755)
19776 2013-06-30 Lars Magne Ingebrigtsen <larsi@gnus.org>
19778 * net/shr.el (shr-make-table-1): Add a sanity check that allows
19779 progression on degenerate tables.
19780 (shr-rescale-image): ImageMagick animated images currently don't work.
19782 2013-06-30 Juanma Barranquero <lekktu@gmail.com>
19784 Some fixes and improvements for desktop frame restoration.
19785 It is still experimental and disabled by default.
19786 * desktop.el (desktop--save-windows): Put the selected frame at
19787 the head of the list.
19788 (desktop--make-full-frame): New function.
19789 (desktop--restore-windows): Try to re-select the frame that was
19790 selected upon saving. Do not abort if some frames fail to restore,
19791 just show an error message and continue. Set up maximized frames
19792 so they have default non-maximized dimensions.
19794 2013-06-30 Dmitry Gutov <dgutov@yandex.ru>
19796 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
19797 Don't start heredoc inside a string or comment.
19799 2013-06-29 Eli Zaretskii <eliz@gnu.org>
19801 * bindings.el (visual-order-cursor-movement): New defcustom.
19802 (right-char, left-char): Provide visual-order cursor motion by
19803 calling move-point-visually. Update the doc strings.
19805 2013-06-28 Kenichi Handa <handa@gnu.org>
19807 * international/mule.el (define-coding-system): New coding system
19808 properties :inhibit-null-byte-detection,
19809 :inhibit-iso-escape-detection, and :prefer-utf-8.
19810 (set-buffer-file-coding-system): If :charset-list property of
19811 CODING-SYSTEM is `emacs', do not check if CODING-SYSTEM is
19812 appropriate for setting.
19814 * international/mule-cmds.el (select-safe-coding-system):
19815 If DEFAULT-CODING-SYSTEM is prefer-utf-8 and the buffer contains
19816 multibyte characters, return utf-8 (or one of its siblings).
19818 * international/mule-conf.el (prefer-utf-8): New coding system.
19819 (file-coding-system-alist): Use prefer-utf-8 as default for Elisp
19822 2013-06-28 Ivan Kanis <ivan@kanis.fr>
19824 * net/shr.el (shr-render-region): New function.
19826 * net/eww.el: Autoload `eww-browse-url'.
19828 2013-06-27 Dmitry Gutov <dgutov@yandex.ru>
19830 * emacs-lisp/package-x.el (package-upload-buffer-internal):
19831 Adapt to `package-desc-version' being a list.
19832 Use `package--ac-desc-version' to retrieve version from a package
19835 2013-06-27 Juanma Barranquero <lekktu@gmail.com>
19837 New experimental feature to save&restore window and frame setup.
19838 * desktop.el (desktop-save-windows): New defcustom.
19839 (desktop--saved-states): New var.
19840 (desktop--excluded-frame-parameters): New defconst.
19841 (desktop--filter-frame-parms, desktop--find-frame-in-display)
19842 (desktop--restore-windows, desktop--save-windows): New functions.
19843 (desktop-save): Call `desktop--save-windows'.
19844 (desktop-read): Call `desktop--restore-windows'.
19846 2013-06-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
19848 * net/shr.el (add-face-text-property): Remove compat definition.
19850 2013-06-27 Stephen Berman <stephen.berman@gmx.net>
19852 * info.el (Info-try-follow-nearest-node): Move search for footnote
19853 above search for node name to prevent missing a footnote (bug#14717).
19855 2013-06-27 Stephen Berman <stephen.berman@gmx.net>
19857 * obsolete/otodo-mode.el: Add obsolescence info to file header.
19859 2013-06-27 Leo Liu <sdl.web@gmail.com>
19861 * net/eww.el (eww-read-bookmarks): Check file size.
19863 2013-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
19865 * emacs-lisp/nadvice.el (advice--defalias-fset): Move advice back to
19866 advice--pending if newdef is nil or an autoload (bug#13820).
19867 (advice-mapc): New function.
19869 2013-06-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
19871 * net/eww.el (eww-mode): Undo isn't necessary in eww buffers,
19873 (eww-mode-map): Add a menu bar.
19874 (eww-add-bookmark): New command.
19875 (eww-bookmark-mode): New mode and commands.
19876 (eww-add-bookmark): Remove newlines from the title.
19877 (eww-bookmark-browse): Don't bug out if it's the only window.
19879 2013-06-26 Glenn Morris <rgm@gnu.org>
19881 * htmlfontify.el (hfy-triplet): Handle unspecified-fg, bg.
19882 (hfy-size): Handle ttys. (Bug#14668)
19884 * info-xref.el: Update for Texinfo 5 change in *note format.
19885 (info-xref-node-re, info-xref-note-re): New constants.
19886 (info-xref-check-buffer): Use info-xref-note-re.
19888 2013-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
19890 * simple.el (set-variable): Use read-from-minibuffer (bug#14710).
19892 * emacs-lisp/package.el (package--add-to-archive-contents): Add missing
19893 nil terminate the loop (bug#14718).
19895 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
19897 * net/eww.el: Rework history traversal. When going forward/back,
19898 put these actions into the history, too, so that they can be
19900 (eww-render): Move the history reset to the correct buffer.
19902 2013-06-25 Juri Linkov <juri@jurta.org>
19904 * files-x.el (modify-dir-local-variable): Change the header comment
19905 in the file with directory local variables. (Bug#14692)
19907 * files-x.el (read-file-local-variable-value): Add `default'.
19910 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
19912 * net/eww.el (eww-make-unique-file-name): Create a unique file
19913 name before saving to entering `y' accidentally asynchronously.
19915 2013-06-25 Ivan Kanis <ivan@kanis.fr>
19917 * net/eww.el (eww-download): New command and keystroke.
19919 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
19921 * net/eww.el (eww-copy-page-url): Change name of command.
19923 * net/shr.el (shr-map): Change `shr-copy-url' from `u' to `w' to
19924 be more consistent with Info and dired.
19926 * net/eww.el (eww-mode-map): Ditto.
19928 2013-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
19930 * emacs-lisp/package.el: Use lexical-binding. Include obsolete
19931 packages from archives.
19932 (package-archive-contents): Change format; include obsolete packages.
19933 (package-desc): Use `dir' to mark builtin packages.
19934 (package--from-builtin): Set the `dir' field to `builtin'.
19935 (generated-autoload-file, version-control): Declare.
19936 (package-compute-transaction): Change first arg and return value to be
19937 lists of package-descs. Adjust to new package-archive-contents format.
19938 (package--add-to-archive-contents): Adjust to new
19939 package-archive-contents format.
19940 (package-download-transaction): Arg is now a list of package-descs.
19941 (package-install): If `pkg' is a package name, pass it as
19942 a requirement, so it is subject to the usual (e.g. disabled) checks.
19943 (describe-package): Accept package-desc as well.
19944 (describe-package-1): Describe a specific package-desc. Add links to
19945 other package-descs for the same package name.
19946 (package-menu-describe-package): Pass the actual package-desc.
19947 (package-menu-mode): Add to tabulated-list-revert-hook so revert-buffer
19949 (package-desc-status): New function.
19950 (package-menu--refresh): New function, extracted
19951 from package-menu--generate.
19952 (package-menu--generate): Use it.
19953 (package-delete): Update package-alist.
19954 (package-menu-execute): Don't call package-initialize.
19956 * progmodes/idlw-toolbar.el, progmodes/idlw-shell.el,
19957 progmodes/idlw-help.el, progmodes/idlw-complete-structtag.el,
19958 progmodes/ebnf-yac.el, progmodes/ebnf-otz.el, progmodes/ebnf-iso.el,
19959 progmodes/ebnf-ebx.el, progmodes/ebnf-dtd.el, progmodes/ebnf-bnf.el,
19960 progmodes/ebnf-abn.el, emacs-lisp/package-x.el, emacs-lisp/cl-seq.el,
19961 emacs-lisp/cl-macs.el: Neuter the "Version:" header.
19963 2013-06-25 Martin Rudalics <rudalics@gmx.at>
19965 * window.el (window--state-get-1): Workaround for bug#14527.
19966 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00941.html
19968 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
19970 * net/eww.el (eww-back-url): Implement the history by stashing all
19971 the data into a list.
19972 (eww-forward-url): Allow going forward in the history, too.
19974 2013-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
19976 * files-x.el (read-file-local-variable-value): Use read-from-minibuffer
19977 for values and use read--expression for expressions (bug#14710).
19978 (read-file-local-variable): Avoid setq.
19979 (read-file-local-variable-mode): Use minor-mode-list.
19981 2013-06-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
19983 * textmodes/bibtex.el (bibtex-generate-url-list): Add support
19986 2013-06-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
19988 * textmodes/bibtex.el (bibtex-mode, bibtex-set-dialect):
19989 Update imenu-support when dialect changes.
19991 2013-06-25 Leo Liu <sdl.web@gmail.com>
19993 * ido.el (ido-read-internal): Allow forward slash on windows.
19995 2013-06-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
19997 * net/eww.el (eww): Start of strings is \\`, not ^.
19999 2013-06-24 Ivan Kanis <ivan@kanis.fr>
20001 * net/shr.el (shr-browse-url): Fix interactive spec.
20003 * net/eww.el (eww): Add a trailing slash to domain names.
20005 2013-06-24 Juanma Barranquero <lekktu@gmail.com>
20007 * faces.el (face-spec-recalc): Revert part of 2013-06-23T20:29:18Z!lekktu@gmail.com (bug#14705).
20009 2013-06-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
20011 * net/shr.el (shr-browse-url): Use an external browser if given a
20014 * net/eww.el (eww-external-browser): Move to shr.
20016 2013-06-24 Ivan Kanis <ivan@kanis.fr>
20018 * net/eww.el (eww): Work more correctly for file: URLs.
20019 (eww-detect-charset): Allow quoted charsets.
20020 (eww-yank-page-url): New command and keystroke.
20022 2013-06-24 Daiki Ueno <ueno@gnu.org>
20024 * epg.el (epg-make-context): Check if PROTOCOL is valid; embed the
20025 file name of gpg executable.
20026 (epg-context-program): New function.
20027 (epg-context-home-directory): New function.
20028 (epg-context-set-program): New function.
20029 (epg-context-set-home-directory): New function.
20030 (epg--start): Use `epg-context-program' instead of
20032 (epg--list-keys-1): Likewise.
20034 2013-06-24 Leo Liu <sdl.web@gmail.com>
20036 * ido.el (ido-read-internal): Fix bug#14620.
20038 2013-06-23 Juanma Barranquero <lekktu@gmail.com>
20040 * faces.el (face-documentation): Simplify.
20041 (read-face-attribute, tty-find-type, x-resolve-font-name):
20042 Use `string-match-p'.
20043 (list-faces-display): Use `string-match-p'. Simplify.
20044 (face-spec-recalc): Check face to avoid face alias loops.
20045 (read-color): Use `string-match-p' and non-capturing parenthesis.
20047 2013-06-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
20049 * net/shr.el (shr-rescale-image): Use the new
20050 :max-width/:max-height functionality.
20052 2013-06-23 Ivan Kanis <ivan@kanis.fr>
20054 * net/eww.el (eww-search-prefix): New variable.
20056 (eww-external-browser): New variable.
20057 (eww-mode-map): New keystroke.
20058 (eww-browse-with-external-browser): New command.
20060 * net/eww.el: Bind `C-c C-c' to "submit" in all form keymaps.
20062 2013-06-23 Juanma Barranquero <lekktu@gmail.com>
20064 * emacs-lisp/tabulated-list.el (tabulated-list-init-header):
20065 Don't skip aligning the next header field when padding is 0;
20066 otherwise, field width is not respected unless the title is as
20069 2013-06-22 Stefan Monnier <monnier@iro.umontreal.ca>
20071 * emacs-lisp/package.el (package-el-version): Remove.
20072 (package-process-define-package): Fix inf-loop.
20073 (package-install): Allow symbols as arguments again.
20075 2013-06-22 Dmitry Gutov <dgutov@yandex.ru>
20077 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Move `catch',
20078 add some more keyword-like methods.
20079 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00911.html
20081 2013-06-22 Juanma Barranquero <lekktu@gmail.com>
20083 * bs.el (bs-buffer-show-mark): Make defvar-local.
20084 (bs-mode): Use setq-local.
20086 * emacs-lock.el (emacs-lock-mode, emacs-lock--old-mode)
20087 (emacs-lock--try-unlocking): Make defvar-local.
20089 2013-06-22 Glenn Morris <rgm@gnu.org>
20091 * play/cookie1.el (cookie-apropos): Minor simplification.
20093 * progmodes/gdb-mi.el (gdb-mapcar*): Remove, replace with cl-mapcar.
20095 2013-06-22 Dmitry Gutov <dgutov@yandex.ru>
20097 * progmodes/ruby-mode.el (auto-mode-alist): Do not use
20098 `regexp-opt', it breaks the build during dumping.
20100 2013-06-21 Dmitry Gutov <dgutov@yandex.ru>
20102 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
20103 Highlight keyword-like methods on Kernel and Module with
20104 font-lock-builtin-face.
20105 (auto-mode-alist): Consolidate different entries into one regexp
20106 and add more *file-s.
20108 2013-06-21 Stephen Berman <stephen.berman@gmx.net>
20110 * obsolete/otodo-mode.el: Move and rename from calendar/todo-mode.el.
20112 * calendar/diary-lib.el (diary-goto-entry-function): New variable.
20113 (diary-entry): Use it in the action of this button type instead of
20116 * calendar/todo-mode.el: New version.
20117 (todo-add-category): Append new category to end of file and give
20118 it the highest number, instead of putting it at the beginning and
20119 giving it 0. Incorporate noninteractive functionality.
20120 (todo-forward-category): Adapt to 1-based category numbering.
20121 Allow skipping over archived categories.
20122 (todo-backward-category): Derive from todo-forward-category.
20123 (todo-backward-item, todo-forward-item): Make noninteractive and
20124 delegate interactive part to new commands. Make sensitive to done items.
20125 (todo-categories): Make value an alist of category names and
20126 vectors of item counts.
20127 (todo-category-beg): Make a defconst.
20128 (todo-category-number): Use 1 instead of 0 as initial value.
20129 (todo-category-select): Make sensitive to overlays, optional item
20130 highlighting and done items.
20131 (todo-delete-item): Make sensitive to overlays and marked and done items.
20132 (todo-edit-item): Make sensitive to overlays and editing of
20133 date/time header optional. Add format checks.
20134 (todo-edit-multiline): Rename to todo-edit-multiline-item. Make a
20135 no-op if point is not on an item. Advertise using todo-edit-quit.
20136 (todo-edit-mode): Make sensitive to new format, font-locking, and
20137 multiple todo files.
20138 (todo-insert-item, todo-insert-item-here): Derive from
20139 todo-basic-insert-item and extend functionality.
20140 (todo-item-end, todo-item-start): Make sensitive to done items.
20141 (todo-item-string): Don't return text properties. Restore point.
20142 (todo-jump-to-category): Make sensitive to multiple todo files and
20143 todo archives. Use extended category completion.
20144 (todo-lower-item, todo-raise-item): Rename to *-priority and
20145 derive from todo-set-item-priority.
20146 (todo-mode): Derive from special-mode. Make sensitive to new
20147 format, font-locking and multiple todo files. Make read-only.
20148 (todo-mode-map): Don't suppress digit keys, so they can supply
20149 prefix arguments. Add many new key bindings.
20150 (todo-prefix): Insert as an overlay instead of file text.
20151 Change semantics from diary date expression to purely visual mark.
20152 (todo-print): Rename to todo-print-buffer. Make buffer display
20153 features printable. Remove option to restrict number of items
20154 printed. Add option to print to file.
20155 (todo-print-function): Rename to todo-print-buffer-function.
20156 (todo-quit): Extend to handle exiting new todo modes.
20157 (todo-remove-item): Make sensitive to overlays.
20158 (todo-save): Extend to buffers of filtered items.
20159 (todo-show): Make sensitive to done items, multiple todo files and
20160 new todo modes. Offer to convert legacy todo file before creating
20161 first new todo file.
20162 (todo-show-priorities): Rename to todo-top-priorities.
20163 Change semantics of value 0.
20164 (todo-top-priorities): Rename to todo-filter-top-priorities,
20165 derive from todo-filter-items and extend functionality.
20166 (todo-save-top-priorities): Rename to todo-save-filtered-items-buffer
20167 and extend functionality to other types of filtered items.
20168 (todo-add-item-non-interactively, todo-ask-p, todo-cat-slct)
20169 (todo-category-end, todo-category-sep, todo-cats, todo-cmd-back)
20170 (todo-cmd-done, todo-cmd-edit, todo-cmd-forw, todo-cmd-inst)
20171 (todo-cmd-kill, todo-cmd-lowr, todo-cmd-next, todo-cmd-prev)
20172 (todo-cmd-rais, todo-cmd-save, todo-completing-read, todo-cp)
20173 (todo-edit-mode-hook, todo-entry-prefix-function)
20174 (todo-entry-timestamp-initials, todo-file-do, todo-file-done)
20175 (todo-file-item, todo-file-top, todo-header, todo-initial-setup)
20176 (todo-initials, todo-insert-threshold, todo-item-string-start)
20177 (todo-line-string, todo-menu, todo-mode-hook)
20178 (todo-more-important-p, todo-previous-answer, todo-previous-line)
20179 (todo-print-priorities, todo-remove-separator)
20180 (todo-save-top-priorities-too, todo-string-count-lines)
20181 (todo-string-multiline-p, todo-time-string-format)
20182 (todo-tmp-buffer-name): Remove.
20183 (todo-add-file, todo-archive-done-item, todo-choose-archive)
20184 (todo-convert-legacy-files, todo-copy-item, todo-delete-category)
20185 (todo-edit-category-diary-inclusion)
20186 (todo-edit-category-diary-nonmarking, todo-edit-done-item-comment)
20187 (todo-edit-file, todo-edit-item-date-day)
20188 (todo-edit-item-date-day-name, todo-edit-item-date-from-calendar)
20189 (todo-edit-item-date-month, todo-edit-item-date-to-today)
20190 (todo-edit-item-date-year, todo-edit-item-diary-inclusion)
20191 (todo-edit-item-diary-nonmarking, todo-edit-item-header)
20192 (todo-edit-item-time, todo-edit-quit, todo-filter-diary-items)
20193 (todo-filter-diary-items-multifile, todo-filter-regexp-items)
20194 (todo-filter-regexp-items-multifile, todo-filter-top-priorities)
20195 (todo-filter-top-priorities-multifile, todo-find-archive)
20196 (todo-find-filtered-items-file, todo-go-to-source-item)
20197 (todo-insert-item-from-calendar, todo-item-done, todo-item-undone)
20198 (todo-jump-to-archive-category, todo-lower-category)
20199 (todo-mark-category, todo-marked-item-p, todo-merge-category)
20200 (todo-move-category, todo-move-item, todo-next-button)
20201 (todo-next-item, todo-padded-string, todo-powerset)
20202 (todo-previous-button, todo-previous-item)
20203 (todo-print-buffer-to-file, todo-raise-category)
20204 (todo-rename-category, todo-repair-categories-sexp, todo-search)
20205 (todo-set-category-number, todo-set-item-priority)
20206 (todo-set-top-priorities-in-category)
20207 (todo-set-top-priorities-in-file, todo-show-categories-table)
20208 (todo-sort-categories-alphabetically-or-numerically)
20209 (todo-sort-categories-by-archived, todo-sort-categories-by-diary)
20210 (todo-sort-categories-by-done, todo-sort-categories-by-todo)
20211 (todo-toggle-item-header, todo-toggle-item-highlighting)
20212 (todo-toggle-mark-item, todo-toggle-prefix-numbers)
20213 (todo-toggle-view-done-items, todo-toggle-view-done-only)
20214 (todo-unarchive-items, todo-unmark-category): New commands.
20215 (todo-absolute-file-name, todo-add-to-buffer-list)
20216 (todo-adjusted-category-label-length, todo-basic-edit-item-header)
20217 (todo-basic-insert-item, todo-category-completions)
20218 (todo-category-number, todo-category-string-matcher-1)
20219 (todo-category-string-matcher-2, todo-check-filtered-items-file)
20220 (todo-check-format, todo-clear-matches)
20221 (todo-comment-string-matcher, todo-convert-legacy-date-time)
20222 (todo-current-category, todo-date-string-matcher)
20223 (todo-define-insertion-command, todo-diary-expired-matcher)
20224 (todo-diary-goto-entry, todo-diary-item-p)
20225 (todo-diary-nonmarking-matcher, todo-display-as-todo-file)
20226 (todo-display-categories, todo-display-sorted, todo-done-item-p)
20227 (todo-done-item-section-p, todo-done-separator)
20228 (todo-done-string-matcher, todo-files, todo-filter-items)
20229 (todo-filter-items-1, todo-filter-items-filename, todo-find-item)
20230 (todo-gen-arglists, todo-get-count, todo-get-overlay, todo-indent)
20231 (todo-insert-category-line, todo-insert-item-from-calendar)
20232 (todo-insert-sort-button, todo-insert-with-overlays)
20233 (todo-insertion-command-name, todo-insertion-key-bindings)
20234 (todo-label-to-key, todo-longest-category-name-length)
20235 (todo-make-categories-list, todo-mode-external-set)
20236 (todo-mode-line-control, todo-modes-set-1, todo-modes-set-2)
20237 (todo-modes-set-3, todo-multiple-filter-files)
20238 (todo-nondiary-marker-matcher, todo-prefix-overlays)
20239 (todo-read-category, todo-read-date, todo-read-dayname)
20240 (todo-read-file-name, todo-read-time)
20241 (todo-reevaluate-category-completions-files-defcustom)
20242 (todo-reevaluate-default-file-defcustom)
20243 (todo-reevaluate-filelist-defcustoms)
20244 (todo-reevaluate-filter-files-defcustom)
20245 (todo-reset-and-enable-done-separator, todo-reset-comment-string)
20246 (todo-reset-done-separator, todo-reset-done-separator-string)
20247 (todo-reset-done-string, todo-reset-global-current-todo-file)
20248 (todo-reset-highlight-item, todo-reset-nondiary-marker)
20249 (todo-reset-prefix, todo-set-categories)
20250 (todo-set-date-from-calendar, todo-set-show-current-file)
20251 (todo-set-top-priorities, todo-short-file-name)
20252 (todo-show-current-file, todo-sort, todo-time-string-matcher)
20253 (todo-total-item-counts, todo-update-buffer-list)
20254 (todo-update-categories-display, todo-update-categories-sexp)
20255 (todo-update-count, todo-validate-name, todo-y-or-n-p):
20257 (todo-archive-mode, todo-categories-mode, todo-filtered-items-mode):
20259 (todo-categories, todo-display, todo-edit, todo-faces)
20260 (todo-filtered): New defgroups.
20261 (todo-archived-only, todo-button, todo-category-string, todo-date)
20262 (todo-diary-expired, todo-done, todo-done-sep, todo-comment)
20263 (todo-mark, todo-nondiary, todo-prefix-string, todo-search)
20264 (todo-sorted-column, todo-time, todo-top-priority): New deffaces.
20265 (todo-add-item-if-new-category, todo-always-add-time-string)
20266 (todo-categories-align, todo-categories-archived-label)
20267 (todo-categories-category-label, todo-categories-diary-label)
20268 (todo-categories-done-label, todo-categories-number-separator)
20269 (todo-categories-todo-label, todo-categories-totals-label)
20270 (todo-category-completions-files, todo-completion-ignore-case)
20271 (todo-default-todo-file, todo-diary-nonmarking, todo-directory)
20272 (todo-done-separator-string, todo-done-string)
20273 (todo-files-function, todo-filter-done-items, todo-filter-files)
20274 (todo-highlight-item, todo-include-in-diary, todo-indent-to-here)
20275 (todo-initial-category, todo-initial-file, todo-item-mark)
20276 (todo-legacy-date-time-regexp, todo-mode-line-function)
20277 (todo-nondiary-marker, todo-number-prefix)
20278 (todo-print-buffer-function, todo-show-current-file)
20279 (todo-show-done-only, todo-show-first, todo-show-with-done)
20280 (todo-skip-archived-categories, todo-top-priorities-overrides)
20281 (todo-undo-item-omit-comment, todo-use-only-highlighted-region)
20282 (todo-visit-files-commands, todo-wrap-lines, todo-y-with-space):
20284 (todo-category-done, todo-date-pattern, todo-date-string-start)
20285 (todo-diary-items-buffer, todo-done-string-start)
20286 (todo-filtered-items-buffer, todo-item-start)
20287 (todo-month-abbrev-array, todo-month-name-array)
20288 (todo-nondiary-end, todo-nondiary-start, todo-regexp-items-buffer)
20289 (todo-top-priorities-buffer): New defconsts.
20290 (todo-archive-mode-map, todo-archives, todo-categories-mode-map)
20291 (todo-categories-with-marks, todo-category-string-face)
20292 (todo-comment-face, todo-comment-string, todo-current-todo-file)
20293 (todo-date-face, todo-date-from-calendar, todo-descending-counts)
20294 (todo-diary-expired-face, todo-done-face, todo-done-sep-face)
20295 (todo-done-separator, todo-edit-buffer, todo-edit-mode-map)
20296 (todo-file-buffers, todo-files, todo-filtered-items-mode-map)
20297 (todo-font-lock-keywords, todo-global-current-todo-file)
20298 (todo-insertion-commands, todo-insertion-commands-arg-key-list)
20299 (todo-insertion-commands-args)
20300 (todo-insertion-commands-args-genlist)
20301 (todo-insertion-commands-names, todo-insertion-map)
20302 (todo-key-bindings-t, todo-key-bindings-t+a)
20303 (todo-key-bindings-t+a+f, todo-key-bindings-t+f, todo-mode-map)
20304 (todo-multiple-filter-files, todo-multiple-filter-files-widget)
20305 (todo-nondiary-face, todo-print-buffer, todo-time-face)
20306 (todo-visited): New variables.
20308 2013-06-21 Glenn Morris <rgm@gnu.org>
20310 * play/cookie1.el (cookie-apropos): Add optional display argument.
20311 * obsolete/yow.el (apropos-zippy): Use cookie-apropos.
20312 (psychoanalyze-pinhead): Use cookie-doctor.
20314 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
20316 * emacs-lisp/package.el (tar-get-file-descriptor)
20317 (tar--extract): Declare.
20319 2013-06-21 Eduard Wiebe <usenet@pusto.de>
20321 Extend flymake's warning predicate to be a function (bug#14217).
20322 * progmodes/flymake.el (flymake-warning-predicate): New.
20323 (flymake-parse-line): Use it.
20324 (flymake-warning-re): Make obsolete alias to
20325 `flymake-warning-predicate'.
20327 2013-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
20329 * emacs-lisp/package.el (package-alist): Include obsolete packages.
20330 (package-obsolete-list): Remove.
20331 (package-activate): Remove min-version argument. Add `force' argument.
20332 Adjust to new package-alist format.
20333 (package-mark-obsolete): Remove.
20334 (package-unpack): Force reload of the package's autoloads.
20335 (package-installed-p): Check builtins if the installed package is not
20337 (package-initialize): Don't reset package-obsolete-list.
20338 Don't specify which package version to activate.
20339 (package-process-define-package, describe-package-1)
20340 (package-menu--generate): Adjust to new package-alist format.
20342 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
20344 * allout-widgets.el (allout-widgets-mode-off)
20345 (allout-widgets-mode-on, allout-widgets-pre-command-business)
20346 (allout-widgets-post-command-business)
20347 (allout-widgets-after-copy-or-kill-function)
20348 (allout-widgets-after-undo-function, allout-test-range-overlaps)
20349 (allout-decorate-item-and-context)
20350 (allout-graphics-modification-handler): Fix typos in docstrings.
20351 (allout-get-or-create-parent-widget): Use `looking-at-p'.
20353 * cmuscheme.el (scheme-start-file): Doc fix.
20354 (inferior-scheme-mode, switch-to-scheme): Fix typos in docstrings.
20355 (scheme-input-filter): Use `string-match-p'.
20357 * composite.el (compose-gstring-for-terminal): Fix typo in docstring.
20359 * dired-x.el: Use Dired consistently in docstrings.
20361 * dired.el: Use Dired consistently in docstrings.
20362 (dired-readin, dired-mode): Use `setq-local'.
20363 (dired-switches-alist): Make defvar-local.
20364 (dired-buffers-for-dir): Use `zerop'.
20365 (dired-safe-switches-p, dired-switches-escape-p)
20366 (dired-insert-old-subdirs, dired-move-to-end-of-filename)
20367 (dired-glob-regexp, dired-in-this-tree, dired-goto-file-1)
20368 (dired-sort-set-mode-line, dired-sort-toggle, dired-sort-R-check)
20369 (dired-goto-next-nontrivial-file): Use `string-match-p'.
20370 (dired-align-file, dired-insert-directory, dired-mark-files-in-region)
20371 (dired-toggle-marks, dired-mark-files-containing-regexp)
20372 (dired-mark-symlinks, dired-mark-directories, dired-mark-executables)
20373 (dired-flag-auto-save-files, dired-flag-backup-files):
20374 Use `looking-at-p'.
20375 (dired-mark-files-regexp, dired-build-subdir-alist):
20376 Use `string-match-p', `looking-at-p'.
20378 * dos-w32.el (untranslated-canonical-name, untranslated-file-p)
20379 (direct-print-region-helper): Use `string-match-p'.
20381 2013-06-21 Leo Liu <sdl.web@gmail.com>
20383 * comint.el (comint-redirect-results-list-from-process):
20386 2013-06-21 Lars Magne Ingebrigtsen <larsi@gnus.org>
20388 * net/eww.el (eww-update-header-line-format): Quote % characters.
20390 2013-06-21 Glenn Morris <rgm@gnu.org>
20392 * play/cookie1.el (cookie): New custom group.
20393 (cookie-file): New option.
20394 (cookie-check-file): New function.
20395 (cookie): Make it interactive. Make start and end messages optional.
20396 Interactively, display the result. Default to cookie-file.
20397 (cookie-insert): Default to cookie-file.
20398 (cookie-snarf): Make start and end messages optional.
20399 Default to cookie-file. Use with-temp-buffer.
20400 (cookie-read): Rename from read-cookie.
20401 Make start and end messages optional. Default to cookie-file.
20402 (cookie-shuffle-vector): Rename from shuffle-vector. Use dotimes.
20403 Do not autoload it.
20404 (cookie-apropos, cookie-doctor): New functions, copied from yow.el
20405 * obsolete/yow.el (read-zippyism): Use new name for read-cookie.
20407 2013-06-21 Leo Liu <sdl.web@gmail.com>
20409 * progmodes/octave.el (octave-mode): Backward compatibility fix.
20411 2013-06-21 Glenn Morris <rgm@gnu.org>
20413 * font-lock.el (lisp-font-lock-keywords-2): Add with-eval-after-load.
20415 2013-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
20416 Daniel Hackney <dan@haxney.org>
20418 * emacs-lisp/package.el: Use tar-mode rather than tar executable.
20419 Consolidate the single-file vs tarball code.
20420 (package-desc-suffix): New function.
20421 (package-desc-full-name): Don't bother inlining it.
20422 (package-load-descriptor): Return the new package-desc.
20423 (package-mark-obsolete): Remove unused arg `package'.
20424 (package-unpack): Make it work for single files as well.
20425 Make it update package-alist.
20426 (package--make-autoloads-and-stuff): Rename from
20427 package--make-autoloads-and-compile. Don't compile any more.
20428 (package--compile): New function.
20429 (package-generate-description-file): New function, extracted from
20430 package-unpack-single.
20431 (package-unpack-single): Remove.
20432 (package--with-work-buffer): Add indentation and debugging info.
20433 (package-download-single): Remove.
20434 (package-install-from-archive): Rename from package-download-tar, make
20435 it take a pkg-desc, and make it work for single files as well.
20436 (package-download-transaction): Simplify.
20437 (package-tar-file-info): Remove `file' arg. Rewrite not to use an
20438 external tar program.
20439 (package-install-from-buffer): Remove `pkg-desc' argument.
20440 Use package-tar-file-info for tar-mode buffers.
20441 (package-install-file): Simplify accordingly.
20442 (package-archive-base): Change to take a pkg-desc.
20443 * tar-mode.el (tar--check-descriptor): New function, extracted from
20444 tar-get-descriptor.
20445 (tar-get-descriptor): Use it.
20446 (tar-get-file-descriptor): New function.
20447 (tar--extract): New function, extracted from tar-extract.
20448 (tar--extract): Use it.
20449 * emacs-lisp/package-x.el (package-upload-file): Decode the file, in
20450 case the summary uses non-ascii. Adjust to new calling convention of
20451 package-tar-file-info.
20453 2013-06-21 Leo Liu <sdl.web@gmail.com>
20455 * comint.el (comint-redirect-results-list-from-process):
20456 Fix random delay. (Bug#14681)
20458 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
20460 * profiler.el (profiler-format-number): Use log, not log10.
20462 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
20464 * term/x-win.el (emacs-session-filename): Use `locate-user-emacs-file'.
20466 2013-06-20 Stefan Monnier <monnier@iro.umontreal.ca>
20468 * emacs-lisp/cl-loaddefs.el: Don't version-control any more.
20469 * emacs-lisp/cl-lib.el: Load cl-macs when cl-loaddefs is not
20471 * Makefile.in (AUTOGEN_VCS): Move cl-loaddefs.el...
20472 (AUTOGENEL): ... here.
20473 * emacs-lisp/cl-macs.el (cl--sublis): New function.
20474 (cl--defsubst-expand): Use it.
20476 2013-06-20 Paul Eggert <eggert@cs.ucla.edu>
20478 * subr.el (log10): Move here from C code, and declare as obsolete.
20479 All uses of (log10 X) replaced with (log X 10).
20481 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
20483 * emacs-lisp/tabulated-list.el (tabulated-list-format): Fix typo.
20484 Declare with `defvar-local'.
20485 (tabulated-list-use-header-line, tabulated-list-entries)
20486 (tabulated-list-padding, tabulated-list-printer)
20487 (tabulated-list-sort-key): Declare with `defvar-local'.
20488 (tabulated-list-init-header, tabulated-list-print-fake-header):
20491 2013-06-20 Michael Albinus <michael.albinus@gmx.de>
20493 * arc-mode.el (archive-mode): Add `archive-write-file' to
20494 `write-contents-functions' also for remote files. (Bug#14652)
20496 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
20498 * cus-edit.el (custom-commands): Fix typos.
20499 (custom-display): Fix tooltip text.
20500 (custom-magic-alist, custom-filter-face-spec, custom-group-members):
20501 Fix typos in docstrings.
20502 (custom--initialize-widget-variables, Custom-mode): Use `setq-local'.
20503 (custom-unlispify-menu-entry, custom-magic-value-create)
20504 (custom-add-see-also, custom-group-value-create): Use ?\s.
20505 (custom-guess-type, customize-apropos, editable-field)
20506 (custom-face-value-create): Use `string-match-p'.
20507 (custom-save-variables, custom-save-faces): Use `looking-at-p'.
20509 * custom.el (custom-load-symbol): Use `string-match-p'.
20511 * ansi-color.el: Convert to lexical binding.
20512 (ansi-colors): Fix URL.
20513 (ansi-color-context, ansi-color-context-region): Use defvar-local.
20514 (ansi-color-apply-sequence, ansi-color-map): Fix typos in docstrings.
20515 (ansi-color-make-color-map): Rename local var ansi-color-map to map.
20517 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
20519 * net/eww.el (eww-process-text-input): Display passwords as asterisks.
20521 * net/shr.el (shr-make-table-1): Protect against invalid column-spans.
20523 2013-06-19 Tom Tromey <tromey@redhat.com>
20525 * net/eww.el (eww-top-url): Remove.
20526 (eww-home-url, eww-start-url, eww-contents-url): New defvars.
20527 (eww-render): Set new variables. Don't set eww-top-url.
20528 (eww-handle-link): Handle "prev", "home", and "contents".
20529 Downcase the rel text.
20530 (eww-top-url): Choose best top URL.
20532 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
20534 * net/eww.el: Rewrite to implement form elements "by hand" instead of
20535 relying in widget.el. Using widget.el leads to too many
20536 user interface inconsistencies.
20537 (eww-self-insert): Implement entering commands in text fields.
20538 (eww-process-text-input): New function to make text input field editing
20540 (eww-submit): Rewrite to use the new-style form methods.
20541 (eww-select-display): Display the correct selected item.
20542 (eww-change-select): Implement changing the select value.
20543 (eww-toggle-checkbox): Implement radio/checkboxes.
20544 (eww-update-field): Fix compilation error.
20545 (eww-tag-textarea): Implement <textarea>.
20547 * net/shr.el (shr-urlify): Use `keymap' instead of `local-map' so that
20548 we don't shadow mode-specific bindings.
20550 * net/eww.el (eww-browse-url): Don't push stuff onto history if there's
20553 * net/shr.el (shr-map): Bind [down-mouse-1] to browse URLs.
20555 2013-06-19 Glenn Morris <rgm@gnu.org>
20557 * emacs-lisp/eieio.el (defclass): Make it eval-and-compile once more.
20559 2013-06-19 Michael Albinus <michael.albinus@gmx.de>
20561 * net/tramp-adb.el (tramp-adb-get-toolbox): Remove function, it is
20564 * net/tramp-sh.el (tramp-find-shell): Don't set "busybox" property.
20566 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
20568 * net/browse-url.el (browse-url-browser-function):
20569 `eww-browse-url' has the right calling signature, `eww' does not.
20571 2013-06-19 Glenn Morris <rgm@gnu.org>
20573 * emacs-lisp/bytecomp.el (byte-compile-file-form-autoload):
20574 Only eval autoloaded macros.
20575 (byte-compile-autoload): Only give the macro warning for macros.
20577 * progmodes/cperl-mode.el (ps-bold-faces, ps-italic-faces)
20578 (ps-underlined-faces): Declare.
20580 * progmodes/idlwave.el (func-menu): Only set it up on XEmacs.
20581 (speedbar-add-supported-extension): Declare.
20583 * international/titdic-cnv.el (tit-process-header, miscdic-convert):
20584 Don't include a date stamp in the header of the generated file;
20585 it leads to needless differences between output files.
20587 2013-06-19 Michael Albinus <michael.albinus@gmx.de>
20589 * net/secrets.el (secrets-struct-secret-content-type):
20590 Replace check of introspection data by a test call of "CreateItem".
20591 Some servers do not offer introspection.
20593 2013-06-19 Stefan Monnier <monnier@iro.umontreal.ca>
20595 * electric.el (electric-pair-mode): Improve interaction with
20596 electric-layout-mode.
20597 (electric-pair-default-inhibit): Don't assume (eq char (char-before)).
20598 (electric-pair-syntax): Use text-mode-syntax-table in comments
20600 (electric-pair--insert): New function.
20601 (electric-pair-post-self-insert-function): Use it and
20602 electric--after-char-pos.
20604 2013-06-19 Leo Liu <sdl.web@gmail.com>
20606 * progmodes/octave.el (octave-help): Fix regexp.
20608 2013-06-18 Lars Magne Ingebrigtsen <larsi@gnus.org>
20610 * net/shr.el (shr-make-table-1): Implement <td rowspan>.
20611 (shr-table-horizontal-line): Allow nil as a value, and change the
20613 (shr-insert-table-ruler): Respect the nil value.
20615 2013-06-18 Tom Tromey <tromey@barimba>
20617 * net/eww.el (eww-next-url, eww-previous-url, eww-up-url, eww-top-url):
20619 (eww-open-file): New defun.
20620 (eww-render): Initialize new variables.
20621 (eww-display-html): Handle "link" and "a".
20622 (eww-handle-link, eww-tag-link, eww-tag-a): New defuns.
20623 (eww-mode-map): Move "p" to "l". Bind "p", "n", "t", and "u".
20624 (eww-back-url): Rename from eww-previous-url.
20625 (eww-next-url, eww-previous-url, eww-up-url, eww-top-url):
20628 2013-06-18 Dmitry Gutov <dgutov@yandex.ru>
20630 * progmodes/ruby-mode.el (ruby-syntax-before-regexp-re):
20631 Distinguish ternary operator tokens from slash symbol and slash
20634 2013-06-18 Juanma Barranquero <lekktu@gmail.com>
20636 Convert symbol prettification into minor mode and global minor mode.
20638 * progmodes/prog-mode.el (prettify-symbols-alist): Rename from
20639 `prog-prettify-symbols', and make a local defvar instead of defcustom.
20640 (prettify-symbols--keywords): Rename from
20641 `prog-prettify-symbols-alist' and make a local defvar.
20642 (prettify-symbols--compose-symbol): Rename from
20643 `prog--prettify-font-lock-compose-symbol'.
20644 (prettify-symbols--make-keywords): Rename from
20645 `prog-prettify-font-lock-symbols-keywords' and simplify.
20646 (prog-prettify-install): Remove.
20647 (prettify-symbols-mode): New minor mode, based on
20648 `prog-prettify-install'.
20649 (turn-on-prettify-symbols-mode): New function.
20650 (global-prettify-symbols-mode): New globalized minor mode.
20652 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
20653 * progmodes/cfengine.el (cfengine3-mode):
20654 * progmodes/perl-mode.el (perl-mode): Don't call
20655 `prog-prettify-install'; set `prettify-symbols-alist' instead.
20657 2013-06-18 Juri Linkov <juri@jurta.org>
20659 * files-x.el (modify-file-local-variable-message): New function.
20660 (modify-file-local-variable)
20661 (modify-file-local-variable-prop-line): Add arg INTERACTIVE
20662 and call `modify-file-local-variable-message' when it's non-nil.
20663 (add-file-local-variable, delete-file-local-variable)
20664 (add-file-local-variable-prop-line)
20665 (delete-file-local-variable-prop-line): Add arg INTERACTIVE
20666 and use it. (Bug#9820)
20668 2013-06-18 Juri Linkov <juri@jurta.org>
20670 * emulation/vi.el (vi-shell-op):
20671 * emulation/vip.el (vip-execute-com, ex-command):
20672 * emulation/viper-cmd.el (viper-exec-bang):
20673 * emulation/viper-ex.el (ex-command): Add non-nil arg REPLACE to
20674 the call of `shell-command-on-region'. (Bug#14637)
20676 * simple.el (shell-command-on-region): Doc fix.
20678 2013-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
20680 * emacs-lisp/eieio-custom.el: Remove misleading Version: header
20683 2013-06-18 Glenn Morris <rgm@gnu.org>
20685 * net/eww.el, net/shr.el, net/shr-color.el: Move here from gnus/.
20687 * newcomment.el (comment-search-forward, comment-search-backward):
20688 Doc fix. (Bug#14376)
20690 2013-06-18 Juanma Barranquero <lekktu@gmail.com>
20692 * face-remap.el (buffer-face-toggle): Fix typo in docstring.
20693 (buffer-face-mode-invoke): Doc fix.
20695 2013-06-18 Matthias Meulien <orontee@gmail.com>
20697 * tabify.el (untabify, tabify): With prefix, apply to entire buffer.
20698 <http://lists.gnu.org/archive/html/emacs-devel/2013-03/msg00545.html>
20700 2013-06-18 Glenn Morris <rgm@gnu.org>
20702 * generic-x.el (bat-generic-mode, rc-generic-mode, rul-generic-mode):
20703 Replace obsolete function generic-make-keywords with its expansion.
20705 * progmodes/python.el (ffap-alist): Declare.
20707 * textmodes/reftex.el (bibtex-mode-map): Declare.
20709 2013-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
20711 * emacs-lisp/package.el: Update package-alist after install (bug#14632).
20712 (package-unpack, package-unpack-single): Return the pkg-dir.
20713 (package-download-transaction): Use it to update package-alist.
20715 2013-06-17 Lars Magne Ingebrigtsen <larsi@gnus.org>
20717 * net/browse-url.el (browse-url-browser-function): Add `eww' as a
20720 2013-06-17 Juri Linkov <juri@jurta.org>
20722 * net/webjump.el (webjump-sample-sites): Add DuckDuckGo.
20724 2013-06-17 Dmitry Gutov <dgutov@yandex.ru>
20726 * emacs-lisp/package.el (package-load-descriptor):
20727 Remove `with-syntax-table' call, `read' doesn't need it.
20728 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00539.html
20730 2013-06-17 Juanma Barranquero <lekktu@gmail.com>
20732 * startup.el (command-line): Expand package name returned by
20733 `package--description-file' (bug#14639).
20735 2013-06-17 Dmitry Gutov <dgutov@yandex.ru>
20737 * emacs-lisp/package.el (package-load-descriptor): Do not call
20738 `emacs-lisp-mode', just use its syntax table.
20740 2013-06-17 Juanma Barranquero <lekktu@gmail.com>
20742 * progmodes/prog-mode.el (prog-prettify-install): Add `composition' to
20743 `font-lock-extra-managed-props' if any prettifying keyword is added.
20744 (prog--prettify-font-lock-compose-symbol): Use ?\s instead of ?\ .
20745 (prog-mode): Use `setq-local'.
20747 2013-06-17 Stefan Monnier <monnier@iro.umontreal.ca>
20749 * international/characters.el (standard-case-table): Set syntax of ?»
20750 and ?« to punctuation.
20752 2013-06-16 Juanma Barranquero <lekktu@gmail.com>
20754 * progmodes/prog-mode.el (prog--prettify-font-lock-compose-symbol):
20755 Save relevant match data before calling `syntax-ppss' (bug#14595).
20757 2013-06-15 Juri Linkov <juri@jurta.org>
20759 * files-x.el (modify-file-local-variable-prop-line): Add local
20760 variables to the end of the existing comment on the first line.
20761 Use `file-auto-mode-skip' to skip interpreter magic line,
20762 and also skip XML declaration.
20764 2013-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
20766 * startup.el (package--builtin-versions): New var.
20767 (package-subdirectory-regexp): Remove.
20768 (package--description-file): Hard code its value instead.
20770 * emacs-lisp/package.el: Don't activate packages older than builtin.
20771 (package-obsolete-list): Rename from package-obsolete-alist, and make
20772 it into a simple list of package-desc.
20773 (package-strip-version): Remove.
20774 (package-built-in-p): Use package--builtin-versions.
20775 (package-mark-obsolete): Simplify.
20776 (package-process-define-package): Mark it obsolete if older than the
20778 (package-handle-response): Use line-end-position.
20779 (package-read-archive-contents, package--download-one-archive):
20781 (package--add-to-archive-contents): Skip if older than the builtin or
20783 (package-menu-describe-package): Fix last change.
20784 (package-list-unversioned): New var.
20785 (package-menu--generate): Use it.
20787 * emacs-lisp/autoload.el: Manage package--builtin-versions.
20788 (autoload--insert-text, autoload--insert-cookie-text): New functions.
20789 (autoload-builtin-package-versions): New variable.
20790 (autoload-generate-file-autoloads): Use them.
20791 Remove the list of autoloaded functions/macros from the
20792 (autoload...) comments.
20794 * Makefile.in (autoloads): Set autoload-builtin-package-versions.
20796 2013-06-15 Eli Zaretskii <eliz@gnu.org>
20798 * simple.el (line-move-partial): Don't jump to the next screen
20799 line as soon as it becomes visible. Instead, continue enlarging
20800 the vscroll until the portion of a tall screen line that's left on
20801 display is about the height of the frame's default font.
20804 2013-06-15 Glenn Morris <rgm@gnu.org>
20806 * vc/vc-dispatcher.el (vc-compilation-mode): Avoid making
20807 compilation-error-regexp-alist void, or local while let-bound.
20809 * progmodes/make-mode.el (makefile-mode-syntax-table):
20810 Treat "=" as punctuation. (Bug#14614)
20812 2013-06-15 Juanma Barranquero <lekktu@gmail.com>
20814 * help-fns.el (describe-variable):
20815 Add extra line for permanent-local variables.
20817 2013-06-15 Simen Heggestøyl <simenheg@ifi.uio.no> (tiny change)
20819 * progmodes/scheme.el (scheme-font-lock-keywords-2):
20820 Add export, import, library. (Bug#9164)
20821 (library): Set indent function.
20823 2013-06-14 Glenn Morris <rgm@gnu.org>
20825 * term/xterm.el (xterm--query):
20826 Stop after first matching handler. (Bug#14615)
20828 2013-06-14 Ivan Kanis <ivan@kanis.fr>
20830 Add support for dired in saveplace.
20831 * dired.el (dired-initial-position-hook): New variable.
20832 (dired-initial-position): Call hook to place cursor position.
20833 * saveplace.el (save-place-to-alist): Add dired position.
20834 (save-place-dired-hook): New function.
20836 2013-06-14 Stefan Monnier <monnier@iro.umontreal.ca>
20838 * subr.el (eval-after-load, set-temporary-overlay-map): Use indirection
20839 through a symbol rather than letrec.
20841 * emacs-lisp/package.el: Don't recompute dir. Use pkg-descs more.
20842 (package-desc): Add `dir' field.
20843 (package-desc-full-name): New function.
20844 (package-load-descriptor): Combine the two arguments. Don't use `load'.
20845 (package-maybe-load-descriptor): Remove.
20846 (package-load-all-descriptors): Just call package-load-descriptor.
20847 (package--disabled-p): New function.
20848 (package-desc-vers, package-desc-doc): Remove aliases.
20849 (package--dir): Remove function.
20850 (package-activate): Check if a package is disabled.
20851 (package-process-define-package): New function, extracted from
20853 (define-package): Turn into a place holder.
20854 (package-unpack-single, package-tar-file-info):
20855 Use package--description-file.
20856 (package-compute-transaction): Use package--disabled-p.
20857 (package-download-transaction): Don't call
20858 package-maybe-load-descriptor since they're all loaded anyway.
20859 (package-install): Change argument to be a pkg-desc.
20860 (package-delete): Use a single pkg-desc argument.
20861 (describe-package-1): Use package-desc-dir instead of package--dir.
20862 Use package-desc property instead of package-symbol.
20863 (package-install-button-action): Adjust accordingly.
20864 (package--push): Rewrite.
20865 (package-menu--print-info): Adjust accordingly. Change the ID format
20867 (package-menu-describe-package, package-menu-get-status)
20868 (package-menu--find-upgrades, package-menu-mark-upgrades)
20869 (package-menu-execute, package-menu--name-predicate):
20870 Adjust accordingly.
20871 * startup.el (package--description-file): New function.
20872 (command-line): Use it.
20873 * emacs-lisp/package-x.el (package-upload-buffer-internal):
20874 Use package-desc-version.
20876 * emacs-lisp/bytecomp.el (byte-compile-force-lexical-warnings): New var.
20877 (byte-compile-preprocess): Use it.
20878 (byte-compile-file-form-defalias): Try a bit harder to use macros we
20879 can't quite recognize.
20880 (byte-compile-add-to-list): Remove.
20881 * emacs-lisp/cconv.el (cconv-warnings-only): New function.
20882 (cconv-closure-convert): Add assertion.
20884 * emacs-lisp/map-ynp.el: Use lexical-binding.
20885 (map-y-or-n-p): Remove unused vars `tail' and `object'.
20886 Factor out some repeated code.
20888 2013-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
20890 * subr.el (with-eval-after-load): New macro.
20891 (eval-after-load): Allow form to be a function.
20892 take advantage of lexical-binding.
20893 (do-after-load-evaluation): Use dolist and adjust to new format.
20894 * simple.el (bad-packages-alist): Use dolist and with-eval-after-load.
20896 2013-06-13 Juri Linkov <juri@jurta.org>
20898 * replace.el (perform-replace): Display "symbol " and other search
20899 modes from `isearch-message-prefix' in the *Help* buffer.
20901 * isearch.el (isearch-query-replace): Add " symbol" and other
20902 possible search modes from `isearch-message-prefix' to the prompt.
20903 (isearch-occur): Use `with-isearch-suspended' to not exit Isearch
20904 when reading a regexp to collect.
20906 2013-06-13 Juri Linkov <juri@jurta.org>
20908 * isearch.el (word-search-regexp): Match whitespace if the search
20909 string begins or ends in whitespace. The LAX arg is applied to
20910 both ends of the search string. Use `regexp-quote' and explicit
20911 \< and \> instead of \b. Use \` and \' instead of ^ and $.
20912 (isearch-symbol-regexp): Sync with `word-search-regexp' where word
20913 boundaries are replaced with symbol boundaries, and characters
20914 between symbols match non-word non-symbol syntax. (Bug#14602)
20916 2013-06-13 Juri Linkov <juri@jurta.org>
20918 * isearch.el (isearch-del-char): Don't exceed the length of
20919 `isearch-string' by the prefix arg. (Bug#14563)
20921 2013-06-13 Juri Linkov <juri@jurta.org>
20923 * isearch.el (isearch-yank-word, isearch-yank-line)
20924 (isearch-char-by-name, isearch-quote-char)
20925 (isearch-printing-char, isearch-process-search-char):
20926 Add optional count prefix arg. (Bug#14563)
20928 * international/isearch-x.el
20929 (isearch-process-search-multibyte-characters):
20930 Add optional count prefix arg.
20932 2013-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
20934 * subr.el (internal-push-keymap, internal-pop-keymap): New functions.
20935 (set-temporary-overlay-map): Use them (bug#14095); and take advantage of
20938 2013-06-13 Vitalie Spinu <spinuvit@gmail.com>
20940 * subr.el (set-temporary-overlay-map): Add on-exit argument.
20942 2013-06-13 Glenn Morris <rgm@gnu.org>
20944 * startup.el (tty-handle-args):
20945 Don't just discard "--" and anything after. (Bug#14608)
20947 * emacs-lisp/lisp.el (forward-sexp, backward-sexp): Doc fixes.
20949 2013-06-13 Michael Albinus <michael.albinus@gmx.de>
20951 Implement changes in Secret Service API. Make it backward compatible.
20952 * net/secrets.el (secrets-struct-secret-content-type): New defonst.
20953 (secrets-create-item): Use it. Prefix properties with interface.
20955 2013-06-13 Michael Hoffman <9qobl2n02@sneakemail.com> (tiny change)
20957 * term.el (term-suppress-hard-newline): New option. (Bug#12017)
20958 (term-emulate-terminal): Respect term-suppress-hard-newline.
20960 2013-06-13 E Sabof <esabof@gmail.com> (tiny change)
20962 * image-dired.el (image-dired-dired-toggle-marked-thumbs):
20963 Only remove a `thumb-file' overlay. (Bug#14548)
20965 2013-06-12 Grégoire Jadi <daimrod@gmail.com>
20967 * mail/reporter.el (reporter-submit-bug-report):
20968 Handle missing package-name. (Bug#14600)
20970 2013-06-12 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
20972 * textmodes/reftex-cite.el (reftex-cite-regexp-hist)
20973 (reftex-citation-prompt, reftex-default-bibliography)
20974 (reftex-bib-or-thebib, reftex-get-bibfile-list)
20975 (reftex-pop-to-bibtex-entry, reftex-extract-bib-entries)
20976 (reftex-bib-sort-author, reftex-bib-sort-year)
20977 (reftex-bib-sort-year-reverse, reftex-get-crossref-alist)
20978 (reftex-extract-bib-entries-from-thebibliography)
20979 (reftex-get-bibkey-default, reftex-get-bib-names)
20980 (reftex-parse-bibtex-entry, reftex-get-bib-field)
20981 (reftex-format-bib-entry, reftex-parse-bibitem)
20982 (reftex-format-bibitem, reftex-do-citation)
20983 (reftex-figure-out-cite-format, reftex-offer-bib-menu)
20984 (reftex-restrict-bib-matches, reftex-extract-bib-file)
20985 (reftex-insert-bib-matches, reftex-format-citation)
20986 (reftex-make-cite-echo-string, reftex-bibtex-selection-callback)
20987 (reftex-create-bibtex-file): Add docstrings, mostly by converting
20988 existing comments into docstrings.
20990 2013-06-12 Xue Fuqiao <xfq.free@gmail.com>
20992 * ibuf-ext.el (ibuffer-mark-help-buffers): Doc fix.
20994 2013-06-12 Andreas Schwab <schwab@suse.de>
20996 * international/mule.el (auto-coding-alist): Use utf-8-emacs-unix
20997 for auto-save files.
20999 2013-06-12 Glenn Morris <rgm@gnu.org>
21001 * ido.el (ido-delete-ignored-files): Remove.
21002 (ido-wide-find-dirs-or-files, ido-make-file-list-1):
21003 Go back to calling ido-ignore-item-p directly.
21005 2013-06-12 Eyal Lotem <eyal.lotem@gmail.com> (tiny change)
21007 * ido.el (ido-wide-find-dirs-or-files): Respect ido-case-fold.
21009 * ido.el (ido-delete-ignored-files): New function,
21010 split from ido-make-file-list-1.
21011 (ido-wide-find-dirs-or-files): Maybe ignore files. (Bug#13003)
21012 (ido-make-file-list-1): Use ido-delete-ignored-files.
21014 2013-06-12 Leo Liu <sdl.web@gmail.com>
21016 * progmodes/octave.el (inferior-octave-startup)
21017 (inferior-octave-completion-table)
21018 (inferior-octave-track-window-width-change)
21019 (octave-eldoc-function-signatures, octave-help)
21020 (octave-find-definition): Use single quoted strings.
21021 (inferior-octave-startup-args): Change default value.
21022 (inferior-octave-startup): Do not hard code "-i" and
21023 "--no-line-editing".
21024 (inferior-octave-resync-dirs): Add optional arg NOERROR.
21025 (inferior-octave-directory-tracker): Use it.
21026 (octave-goto-function-definition): Robustify.
21027 (octave-help): Support highlighting operators in 'See also'.
21028 (octave-find-definition): Find subfunctions only in Octave mode.
21030 2013-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
21032 * help-fns.el (help-fns--compiler-macro): If the handler function is
21033 named, then put a link to it.
21034 * help-mode.el (help-function-cmacro): Adjust regexp for cl-lib names.
21035 * emacs-lisp/cl-macs.el (cl--compiler-macro-typep): New function.
21036 (cl-typep): Use it.
21037 (cl-eval-when): Simplify debug spec.
21038 (cl-define-compiler-macro): Use eval-and-compile. Give a name to the
21039 compiler-macro function instead of setting `compiler-macro-file'.
21041 2013-06-12 Xue Fuqiao <xfq.free@gmail.com>
21043 * vc/vc-cvs.el (vc-cvs-stay-local): Doc fix.
21044 * vc/vc-hooks.el (vc-stay-local): Doc fix.
21046 2013-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
21047 Daniel Hackney <dan@haxney.org>
21049 First part of Daniel Hackney's patch to package.el.
21050 * emacs-lisp/package.el: Use defstruct.
21051 (package-desc): New, main struct.
21052 (package--bi-desc, package--ac-desc): New structs, used to describe the
21053 format in external files.
21054 (package-desc-vers): Replace with package-desc-version accessor.
21055 (package-desc-doc): Replace with package-desc-summary accessor.
21056 (package-activate-1): Remove `package' arg since the pkg-vec now
21058 (define-package): Use package-desc-from-define.
21059 (package-unpack-single): Change file-name arg to be a symbol.
21060 (package--add-to-archive-contents): Use package-desc-create and new
21061 accessor functions to package--ac-desc.
21062 (package-buffer-info, package-tar-file-info): Return a package-desc.
21063 (package-install-from-buffer): Remove `type' argument. Change pkg-info
21064 arg to be a package-desc.
21065 (package-install-file): Adjust accordingly. Use \' to match EOS.
21066 (package--from-builtin): New function.
21067 (describe-package-1, package-menu--generate): Use it.
21068 (package--make-autoloads-and-compile): Change name arg to be a symbol.
21069 (package-generate-autoloads): Idem and return the name of the file.
21070 * emacs-lisp/package-x.el (package-upload-buffer-internal):
21071 Change pkg-info arg to be a package-desc.
21072 Use package-make-ac-desc.
21073 (package-upload-file): Use \' to match EOS.
21074 * finder.el (finder-compile-keywords): Use package-make-builtin.
21076 2013-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
21078 * vc/vc.el (vc-deduce-fileset): Change error message.
21079 (vc-read-backend): New function.
21080 (vc-next-action): Use it.
21082 * subr.el (function-arity): Remove (mistakenly added) (bug#14590).
21084 * progmodes/prolog.el (prolog-make-keywords-regexp): Remove.
21085 (prolog-font-lock-keywords): Use regexp-opt instead.
21086 Don't manually highlight strings.
21087 (prolog-mode-variables): Simplify comment-start-skip.
21088 (prolog-consult-compile): Use display-buffer. Remove unused old-filter.
21090 * emacs-lisp/generic.el (generic--normalise-comments)
21091 (generic-set-comment-syntax, generic-set-comment-vars): New functions.
21092 (generic-mode-set-comments): Use them.
21093 (generic-bracket-support): Use setq-local.
21094 (generic-make-keywords-list): Declare obsolete.
21096 2013-06-11 Glenn Morris <rgm@gnu.org>
21098 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
21099 Prettify after setting font-lock-defaults. (Bug#14574)
21101 2013-06-11 Juanma Barranquero <lekktu@gmail.com>
21103 * replace.el (query-replace, occur-read-regexp-defaults-function)
21105 * subr.el (declare-function, number-sequence, local-set-key)
21106 (substitute-key-definition, locate-user-emacs-file)
21107 (with-silent-modifications, split-string, eval-after-load):
21108 Fix typos, remove unneeded backslashes and reflow some docstrings.
21110 2013-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
21112 * international/mule-conf.el (file-coding-system-alist): Use utf-8 as
21113 default for Elisp files.
21115 2013-06-11 Glenn Morris <rgm@gnu.org>
21117 * vc/log-view.el (log-view-mode-map): Inherit from special-mode-map,
21118 although define-derived-mode was doing this anyway. (Bug#14583)
21120 2013-06-10 Juanma Barranquero <lekktu@gmail.com>
21122 * allout.el (allout-encryption-plaintext-sanitization-regexps):
21123 Fix make-variable-buffer-local call to refer to the correct variable.
21125 2013-06-10 Aidan Gauland <aidalgol@amuri.net>
21127 * eshell/em-term.el (eshell-visual-commands)
21128 (eshell-visual-subcommands, eshell-visual-options):
21129 Add summary line to docstrings. Add cross-references.
21131 2013-06-10 Glenn Morris <rgm@gnu.org>
21133 * epa.el (epa-read-file-name): New function. (Bug#14510)
21134 (epa-decrypt-file): Make plain-file optional. Use epa-read-file-name.
21136 2013-06-09 Aidan Gauland <aidalgol@amuri.net>
21138 * eshell/em-term.el (eshell-visual-command-p): Fix bug that caused
21139 output redirection to be ignored with visual commands.
21141 2013-06-09 Aidan Gauland <aidalgol@amuri.net>
21143 * eshell/em-term.el (eshell-visual-command-p): New function.
21144 (eshell-term-initialize): Move long lambda to separate function
21145 eshell-visual-command-p.
21146 * eshell/em-dirs.el (eshell-dirs-initialize):
21147 * eshell/em-script.el (eshell-script-initialize):
21148 Add missing #' to lambda.
21150 2013-06-08 Leo Liu <sdl.web@gmail.com>
21152 * progmodes/octave.el (octave-add-log-current-defun): New function.
21153 (octave-mode): Set add-log-current-defun-function.
21154 (octave-goto-function-definition): Do not move point if not found.
21155 (octave-find-definition): Enhance to try subfunctions first.
21157 2013-06-08 Glenn Morris <rgm@gnu.org>
21159 * emacs-lisp/bytecomp.el (byte-compile-char-before)
21160 (byte-compile-backward-char, byte-compile-backward-word):
21161 Improve previous change, to handle non-explicit nil.
21163 2013-06-07 Stefan Monnier <monnier@iro.umontreal.ca>
21165 * emacs-lisp/smie.el: Improve show-paren-mode behavior.
21166 (smie--opener/closer-at-point): New function.
21167 (smie--matching-block-data): Use it. Don't match from right after an
21168 opener or right before a closer. Obey smie-blink-matching-inners.
21169 Don't signal a mismatch for repeated inners like "switch..case..case".
21171 2013-06-07 Leo Liu <sdl.web@gmail.com>
21173 * progmodes/octave.el (octave-mode): Set comment-use-global-state
21175 (octave-function-header-regexp): Fix. (Bug#14570)
21176 (octave-help-mode-finish-hook, octave-help-mode-finish):
21177 Remove. Just use temp-buffer-show-hook.
21179 * newcomment.el (comment-search-backward): Revert last change.
21182 * emacs-lisp/smie.el (smie--matching-block-data): Minor simplification.
21184 2013-06-07 Eli Zaretskii <eliz@gnu.org>
21186 * Makefile.in (TAGS TAGS-LISP): Pass the (long) list of *.el files
21187 through xargs, to avoid failure due to MS-Windows limitations on
21188 command-line length.
21190 2013-06-06 Glenn Morris <rgm@gnu.org>
21192 * font-lock.el (lisp-font-lock-keywords-2):
21193 Treat user-error like error.
21195 * emacs-lisp/bytecomp.el (byte-compile-char-before)
21196 (byte-compile-backward-char, byte-compile-backward-word):
21197 Handle explicit nil arguments. (Bug#14565)
21199 2013-06-05 Alan Mackenzie <acm@muc.de>
21201 * isearch.el (isearch-allow-prefix): New user option.
21202 (isearch-other-meta-char): Don't exit isearch when a prefix
21203 argument is typed whilst `isearch-allow-prefix' is non-nil.
21206 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
21208 * autorevert.el (auto-revert-notify-handler): Use memq.
21209 Hide assertion failure.
21211 * skeleton.el: Use cl-lib.
21212 (skeleton-further-elements): Use defvar-local.
21213 (skeleton-insert): Use cl-progv.
21215 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
21217 * progmodes/prog-mode.el (prog-prettify-symbols)
21218 (prog-prettify-install): Update docstrings.
21220 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
21222 * simple.el: Move all the prog-mode code to prog-mode.el.
21223 * progmodes/prog-mode.el: New file.
21224 * loadup.el: Add prog-mode.el.
21226 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
21228 * simple.el (prog-prettify-symbols): Add version.
21229 (prog-prettify-install): Add convenience function to prettify symbols.
21231 * progmodes/perl-mode.el (perl--augmented-font-lock-keywords)
21232 (perl--augmented-font-lock-keywords-1)
21233 (perl--augmented-font-lock-keywords-2, perl-mode): Remove unneeded
21234 variables and use it.
21236 * progmodes/cfengine.el (cfengine3--augmented-font-lock-keywords)
21237 (cfengine3-mode): Remove unneeded variable and use it.
21239 * emacs-lisp/lisp-mode.el (lisp--augmented-font-lock-keywords)
21240 (lisp--augmented-font-lock-keywords-1)
21241 (lisp--augmented-font-lock-keywords-2, lisp-mode-variables):
21242 Remove unneeded variables and use it.
21244 2013-06-05 João Távora <joaotavora@gmail.com>
21246 * net/tls.el (open-tls-stream): Remove unneeded buffer contents up
21247 to point when opening the connection. (Bug#14380)
21249 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
21251 * subr.el (load-history-regexp, load-history-filename-element)
21252 (eval-after-load, after-load-functions, do-after-load-evaluation)
21253 (eval-next-after-load, display-delayed-warnings)
21254 (collapse-delayed-warnings, delayed-warnings-hook): Move after the
21255 definition of save-match-data.
21256 (overriding-local-map): Remove accidental obsolescence declaration.
21258 * emacs-lisp/edebug.el (edebug-result): Move before first use.
21260 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
21262 Generalize symbol prettify support to prog-mode and implement it
21263 for perl-mode, cfengine3-mode, and emacs-lisp-mode.
21264 * simple.el (prog-prettify-symbols-alist, prog-prettify-symbols)
21265 (prog--prettify-font-lock-compose-symbol)
21266 (prog-prettify-font-lock-symbols-keywords): New variables and
21267 functions to support symbol prettification.
21268 * emacs-lisp/lisp-mode.el (lisp--augmented-font-lock-keywords)
21269 (lisp--augmented-font-lock-keywords-1)
21270 (lisp--augmented-font-lock-keywords-2, lisp-mode-variables)
21271 (lisp--prettify-symbols-alist): Implement prettify of lambda.
21272 * progmodes/cfengine.el (cfengine3--augmented-font-lock-keywords)
21273 (cfengine3--prettify-symbols-alist, cfengine3-mode):
21274 Implement prettify of -> => :: strings.
21275 * progmodes/perl-mode.el (perl-prettify-symbols)
21276 (perl--font-lock-compose-symbol)
21277 (perl--font-lock-symbols-keywords): Move to prog-mode.
21278 (perl--prettify-symbols-alist): Prettify -> => :: strings.
21279 (perl-font-lock-keywords-1)
21280 (perl-font-lock-keywords-2): Remove explicit prettify support.
21281 (perl--augmented-font-lock-keywords)
21282 (perl--augmented-font-lock-keywords-1)
21283 (perl--augmented-font-lock-keywords-2, perl-mode):
21284 Implement prettify support.
21286 2013-06-05 Leo Liu <sdl.web@gmail.com>
21288 Re-implement SMIE matching block highlight using
21289 show-paren-data-function. (Bug#14395)
21290 * emacs-lisp/smie.el (smie-matching-block-highlight)
21291 (smie--highlight-matching-block-overlay)
21292 (smie--highlight-matching-block-lastpos)
21293 (smie-highlight-matching-block)
21294 (smie-highlight-matching-block-mode): Remove.
21295 (smie--matching-block-data-cache): New variable.
21296 (smie--matching-block-data): New function.
21297 (smie-setup): Use smie--matching-block-data for
21298 show-paren-data-function.
21300 * progmodes/octave.el (octave-mode-menu): Fix.
21301 (octave-find-definition): Skip garbage lines.
21303 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
21305 Fix compilation error with simultaneous dynamic+lexical scoping.
21306 Add warning when a defvar appears after the first let-binding.
21307 * emacs-lisp/bytecomp.el (byte-compile-lexical-variables): New var.
21308 (byte-compile-close-variables): Initialize it.
21309 (byte-compile--declare-var): New function.
21310 (byte-compile-file-form-defvar)
21311 (byte-compile-file-form-define-abbrev-table)
21312 (byte-compile-file-form-custom-declare-variable): Use it.
21313 (byte-compile-make-lambda-lexenv): Change the argument. Simplify.
21314 (byte-compile-lambda): Share call to byte-compile-arglist-vars.
21315 (byte-compile-bind): Handle dynamic bindings that shadow
21317 (byte-compile-unbind): Make arg non-optional.
21318 (byte-compile-let): Simplify.
21319 * emacs-lisp/cconv.el (byte-compile-lexical-variables): Declare var.
21320 (cconv--analyse-function, cconv-analyse-form): Populate it.
21321 Protect byte-compile-bound-variables to limit the scope of defvars.
21322 (cconv-analyse-form): Add missing rule for (defvar <foo>).
21323 Remove unneeded rule for `declare'.
21325 * emacs-lisp/cl-macs.el (cl--compiler-macro-adjoin): Use macroexp-let2
21326 so as to avoid depending on cl-adjoin at run-time.
21327 * emacs-lisp/cl-lib.el (cl-pushnew): Use backquotes.
21329 * emacs-lisp/macroexp.el (macroexp--compiling-p): New function.
21330 (macroexp--warn-and-return): Use it.
21332 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
21334 * subr.el: Convert to lexical binding.
21335 (overriding-local-map): Make obsolete.
21336 (add-to-list): Doc fix. Add compiler macro.
21337 (read-key): Swap values of local maps.
21339 2013-06-05 Leo Liu <sdl.web@gmail.com>
21341 * eshell/esh-mode.el (eshell-mode): Fix key bindings.
21343 2013-06-04 Leo Liu <sdl.web@gmail.com>
21345 * progmodes/compile.el (compile-goto-error): Add optional arg NOMSG.
21346 (compilation-auto-jump): Suppress the "Mark set" message to give
21347 way to exit message.
21349 2013-06-04 Alan Mackenzie <acm@muc.de>
21351 Remove faulty optimization from indentation calculation.
21352 * progmodes/cc-engine.el (c-guess-basic-syntax): Don't calculate
21353 search limit based on 2000 characters back from indent-point.
21355 2013-06-03 Tassilo Horn <tsdh@gnu.org>
21357 * eshell/em-term.el (cl-lib): Require `cl-lib'.
21359 2013-06-03 Stefan Monnier <monnier@iro.umontreal.ca>
21361 * emacs-lisp/lisp.el: Use lexical-binding.
21362 (lisp--local-variables-1, lisp--local-variables): New functions.
21363 (lisp--local-variables-completion-table): New var.
21364 (lisp-completion-at-point): Use it complete let-bound vars.
21366 * emacs-lisp/lisp-mode.el (eval-sexp-add-defvars): Expand macros
21367 eagerly (bug#14422).
21369 2013-06-03 Michael Albinus <michael.albinus@gmx.de>
21371 * autorevert.el (auto-revert-notify-enabled)
21372 (auto-revert-notify-rm-watch, auto-revert-notify-add-watch)
21373 (auto-revert-notify-event-p, auto-revert-notify-event-file-name)
21374 (auto-revert-notify-handler): Handle also gfilenotify.
21376 * subr.el (file-notify-handle-event): New defun. Replacing ...
21377 (inotify-event-p, inotify-handle-event, w32notify-handle-event):
21380 2013-06-03 Juri Linkov <juri@jurta.org>
21382 * bindings.el (search-map): Bind `highlight-symbol-at-point' to
21383 `M-s h .'. (Bug#14427)
21385 * hi-lock.el (highlight-symbol-at-point): New alias for the new
21386 command `hi-lock-face-symbol-at-point'.
21387 (hi-lock-face-symbol-at-point): New command.
21388 (hi-lock-map): Bind `highlight-symbol-at-point' to `C-x w .'.
21389 (hi-lock-menu): Add `highlight-symbol-at-point'.
21390 (hi-lock-mode): Doc fix.
21392 * isearch.el (isearch-forward-symbol-at-point): New command.
21393 (search-map): Bind `isearch-forward-symbol-at-point' to `M-s .'.
21394 (isearch-highlight-regexp): Add a regexp which matches
21395 words/symbols for word/symbol mode.
21397 * subr.el (find-tag-default-bounds): New function with the body
21398 mostly moved from `find-tag-default'.
21399 (find-tag-default): Move most code to `find-tag-default-bounds',
21400 call it and apply `buffer-substring-no-properties' afterwards.
21402 2013-06-03 Tassilo Horn <tsdh@gnu.org>
21404 * eshell/em-term.el (eshell-term-initialize):
21405 Use `cl-intersection' rather than `intersection'.
21407 2013-06-02 Xue Fuqiao <xfq.free@gmail.com>
21409 * vc/log-view.el: Doc fix.
21410 (log-view-mode-map): Copy keymap from `special-mode-map'.
21412 2013-06-02 Eric Ludlam <zappo@gnu.org>
21414 * emacs-lisp/eieio.el (eieio--defalias, eieio-hook)
21415 (eieio-error-unsupported-class-tags, eieio-skip-typecheck)
21416 (eieio-optimize-primary-methods-flag, eieio-initializing-object)
21417 (eieio-unbound, eieio-default-superclass)
21418 (eieio--define-field-accessors, method-static, method-before)
21419 (method-primary, method-after, method-num-lists)
21420 (method-generic-before, method-generic-primary)
21421 (method-generic-after, method-num-slots)
21422 (eieio-specialized-key-to-generic-key)
21423 (eieio--check-type, class-v, class-p)
21424 (eieio-class-name, define-obsolete-function-alias)
21425 (eieio-class-parents-fast, eieio-class-children-fast)
21426 (same-class-fast-p, class-constructor, generic-p)
21427 (generic-primary-only-p, generic-primary-only-one-p)
21428 (class-option-assoc, class-option, eieio-object-p)
21429 (class-abstract-p, class-method-invocation-order)
21430 (eieio-defclass-autoload-map, eieio-defclass-autoload)
21431 (eieio-class-un-autoload, eieio-defclass)
21432 (eieio-eval-default-p, eieio-perform-slot-validation-for-default)
21433 (eieio-add-new-slot, eieio-copy-parents-into-subclass)
21434 (eieio--defgeneric-init-form, eieio-defgeneric-form)
21435 (eieio-defgeneric-reset-generic-form)
21436 (eieio-defgeneric-form-primary-only)
21437 (eieio-defgeneric-reset-generic-form-primary-only)
21438 (eieio-defgeneric-form-primary-only-one)
21439 (eieio-defgeneric-reset-generic-form-primary-only-one)
21440 (eieio-unbind-method-implementations)
21441 (eieio--defmethod, eieio--typep)
21442 (eieio-perform-slot-validation, eieio-validate-slot-value)
21443 (eieio-validate-class-slot-value, eieio-barf-if-slot-unbound)
21444 (eieio-oref, eieio-oref-default, eieio-default-eval-maybe)
21445 (eieio-oset, eieio-oset-default, eieio-slot-originating-class-p)
21446 (eieio-slot-name-index, eieio-class-slot-name-index)
21447 (eieio-set-defaults, eieio-initarg-to-attribute)
21448 (eieio-attribute-to-initarg, eieio-c3-candidate)
21449 (eieio-c3-merge-lists, eieio-class-precedence-c3)
21450 (eieio-class-precedence-dfs, eieio-class-precedence-bfs)
21451 (eieio-class-precedence-list, eieio-generic-call-methodname)
21452 (eieio-generic-call-arglst, eieio-generic-call-key)
21453 (eieio-generic-call-next-method-list)
21454 (eieio-pre-method-execution-functions, eieio-generic-call)
21455 (eieio-generic-call-primary-only, eieiomt-method-list)
21456 (eieiomt-optimizing-obarray, eieiomt-install)
21457 (eieiomt-add, eieiomt-next, eieiomt-sym-optimize)
21458 (eieio-generic-form, eieio-defmethod, make-obsolete)
21459 (eieio-defgeneric, make-obsolete): Move to eieio-core.el.
21460 (defclass): Remove `eval-and-compile' from macro.
21461 (call-next-method, shared-initialize): Instead of using
21462 `scoped-class' variable, use new eieio--scoped-class, and
21463 eieio--with-scoped-class.
21464 (initialize-instance): Rename local variable 'scoped-class' to
21465 'this-class' to remove ambiguitity from old global.
21467 * emacs-lisp/eieio-core.el: New file. Derived from key parts of
21469 (eieio--scoped-class-stack): New variable.
21470 (eieio--scoped-class): New fcn.
21471 (eieio--with-scoped-class): New scoping macro.
21472 (eieio-defclass): Use pushnew instead of add-to-list.
21473 (eieio-defgeneric-form-primary-only-one, eieio-oset-default)
21474 (eieio-slot-name-index, eieio-set-defaults, eieio-generic-call)
21475 (eieio-generic-call-primary-only, eieiomt-add): Instead of using
21476 `scoped-class' variable, use new eieio--scoped-class, and
21477 eieio--with-scoped-class.
21479 * emacs-lisp/eieio-base.el (cl-lib): Require during compile.
21481 2013-06-02 Tassilo Horn <tsdh@gnu.org>
21483 * eshell/esh-ext.el (eshell-external-command): Pass args to
21484 `eshell-find-interpreter'.
21485 (eshell-find-interpreter): Add new second parameter ARGS.
21487 * eshell/em-script.el (eshell-script-initialize): Add second arg
21488 to the function added as MATCH to `eshell-interpreter-alist'.
21490 * eshell/em-dirs.el (eshell-dirs-initialize): Add second arg to
21491 the function added as MATCH to `eshell-interpreter-alist'.
21493 * eshell/em-term.el (eshell-visual-subcommands): New defcustom.
21494 (eshell-visual-options): New defcustom.
21495 (eshell-escape-control-x): Adapt docstring.
21496 (eshell-term-initialize): Test `eshell-visual-subcommands' and
21497 `eshell-visual-options' in addition to `eshell-visual-commands'.
21498 (eshell-exec-visual): Pass args to `eshell-find-interpreter'.
21500 2013-06-01 Fabián Ezequiel Gallina <fgallina@gnu.org>
21502 * progmodes/python.el (python-indent-block-enders): Add break,
21503 continue and raise keywords.
21505 2013-06-01 Glenn Morris <rgm@gnu.org>
21507 * pcmpl-gnu.el (pcomplete/tar): Check obsolete variable is bound.
21509 Plain (f)boundp silences compilation warnings since Emacs 22.1.
21510 * progmodes/cc-cmds.el (delete-forward-p):
21511 * progmodes/cc-defs.el (buffer-syntactic-context-depth):
21512 * progmodes/cc-engine.el (buffer-syntactic-context):
21513 * progmodes/cc-fonts.el (face-property-instance):
21514 * progmodes/cc-mode.el (set-keymap-parents):
21515 * progmodes/cc-vars.el (get-char-table): No need for cc-bytecomp-defun.
21516 * progmodes/cc-defs.el (c-set-region-active, c-beginning-of-defun-1)
21517 * progmodes/cc-mode.el (c-make-inherited-keymap): Use plain fboundp.
21518 * progmodes/cc-defs.el (zmacs-region-stays, zmacs-regions)
21519 (lookup-syntax-properties): Remove unecessary cc-bytecomp-defvar.
21521 * progmodes/cc-vars.el (other): Emacs has this widget since
21522 at least 21.1, so don't (re)define it.
21524 * eshell/em-cmpl.el (eshell-cmpl-initialize):
21525 Replace the obsolete alias pcomplete-arg-quote-list.
21527 2013-06-01 Leo Liu <sdl.web@gmail.com>
21529 * progmodes/octave.el (octave-mode-syntax-table): Give `.'
21530 punctuation syntax.
21531 (inferior-octave-minimal-columns)
21532 (inferior-octave-last-column-width): New variables.
21533 (inferior-octave-track-window-width-change): New function.
21534 (inferior-octave-mode): Adjust column width so that Octave output,
21535 for example from 'ls', can fit into the window nicely.
21537 2013-05-31 Dmitry Gutov <dgutov@yandex.ru>
21539 * progmodes/ruby-mode.el (ruby-syntax-expansion-allowed-p):
21540 Highlight expansions inside regexp literals.
21542 2013-05-31 Glenn Morris <rgm@gnu.org>
21544 * obsolete/sym-comp.el (symbol-complete):
21545 Replace obsolete completion-annotate-function.
21547 * progmodes/cc-vars.el (c-make-macro-with-semi-re): Silence compiler.
21549 2013-05-31 Dmitry Gutov <dgutov@yandex.ru>
21551 * progmodes/ruby-mode.el (ruby-syntax-expansion-allowed-p):
21552 New function, checks if point is inside a literal that allows
21553 expression expansion.
21554 (ruby-syntax-propertize-expansion): Use it.
21555 (ruby-syntax-propertize-function): Bind `case-fold-search' to nil
21558 2013-05-30 Juri Linkov <juri@jurta.org>
21560 * isearch.el (isearch-mode-map): Bind `isearch-toggle-invisible'
21562 (isearch-invisible): New variable.
21563 (isearch-forward): Doc fix.
21564 (isearch-mode): Set `isearch-invisible'
21565 to the value of `search-invisible'.
21566 (isearch-toggle-case-fold): Doc fix.
21567 (isearch-toggle-invisible): New command.
21568 (isearch-query-replace): Let-bind `search-invisible'
21569 to the value of `isearch-invisible'.
21570 (isearch-search): Use `isearch-invisible' instead of
21571 `search-invisible'. Let-bind `search-invisible'
21572 to the value of `isearch-invisible'. (Bug#11378)
21574 2013-05-30 Juri Linkov <juri@jurta.org>
21576 * replace.el (perform-replace): Avoid `isearch-range-invisible'
21577 call when `query-flag' is nil and `search-invisible' is non-nil.
21580 2013-05-30 Glenn Morris <rgm@gnu.org>
21582 * progmodes/gdb-mi.el (gdb-wait-for-pending): Fix typo.
21584 * progmodes/cc-bytecomp.el (cc-bytecomp-noruntime-functions): New.
21585 (cc-require): Suppress spurious "noruntime" warnings.
21586 (cc-require-when-compile): Use fboundp, for sake of compiler.
21588 * progmodes/cc-mode.el: Move load of cc-vars before that of
21589 cc-langs (which in turn loads cc-vars), to quieten compiler.
21591 2013-05-30 Stefan Monnier <monnier@iro.umontreal.ca>
21593 * paren.el: Simplify the code.
21594 (show-paren-mode): Always start the timer.
21595 (show-paren--idle-timer): Rename from show-paren-idle-timer.
21596 (show-paren--overlay, show-paren--overlay-1): Rename from
21597 show-paren-overlay and show-paren-overlay-1, and initialize to an
21598 overlay rather than to nil.
21599 (show-paren-function): Misc cleanup and simplifications.
21601 2013-05-30 Stefan Monnier <monnier@iro.umontreal.ca>
21603 * paren.el (show-paren-data-function): New hook.
21604 (show-paren--default): New function, extracted from show-paren-function.
21605 (show-paren-function): Use show-paren-data-function.
21607 2013-05-30 Glenn Morris <rgm@gnu.org>
21609 * ielm.el (ielm-map, ielm-complete-symbol):
21610 Use completion-at-point rather than obsolete functions.
21611 (inferior-emacs-lisp-mode): Doc fix.
21612 Set completion-at-point-functions, rather than
21613 comint-dynamic-complete-functions.
21615 * eshell/em-cmpl.el (eshell-complete-lisp-symbol): New function.
21616 (eshell-cmpl-initialize, eshell-complete-parse-arguments):
21617 Replace obsolete lisp-complete-symbol with eshell-complete-lisp-symbol.
21619 * image.el (image-animated-p): Tweak definition.
21621 * net/rlogin.el (rlogin-program, rlogin-explicit-args): Default to ssh.
21622 (rlogin-process-connection-type): Tweak default. Add set-after.
21623 (rlogin-host): Doc fix.
21624 (rlogin): Tweak prompt.
21625 (rlogin-tab-or-complete): Use completion-at-point rather than alias.
21627 * net/net-utils.el (nslookup-mode-map, ftp-mode-map):
21628 * progmodes/tcl.el (inferior-tcl-mode-map):
21629 Use completion-at-point rather than obsolete alias.
21631 * emacs-lisp/eieio.el (eieio-eval-default-p): Move before use.
21633 * minibuffer.el (read-file-name-completion-ignore-case):
21634 Move before completion--in-region, for eager macro expansion.
21636 2013-05-29 Juri Linkov <juri@jurta.org>
21638 * replace.el (occur-engine): Rename `globalcount' to `global-lines'
21639 for total count of matching lines. Add `global-matches' for total
21640 count of matches. Rename `matches' to `lines' for count of
21641 matching lines. Add `matches' for count of matches.
21642 Rename `lines' to `curr-line' for line count. Rename `prev-lines'
21643 to `prev-line' for line number of prev match endpt.
21644 Increment `matches' for every match. Print the number of
21645 matching lines in the header.
21646 (occur-context-lines): Rename `lines' to `curr-line'.
21647 Rename `prev-lines' to `prev-line'. (Bug#14017)
21649 2013-05-29 Juri Linkov <juri@jurta.org>
21651 * replace.el (perform-replace): Add `skip-read-only-count',
21652 `skip-filtered-count', `skip-invisible-count' let-bound to 0.
21653 Increment them for corresponding conditions and report the number
21654 of skipped occurrences in the final message. (Bug#11746)
21655 (query-replace, query-replace-regexp, query-replace-regexp-eval)
21656 (replace-string, replace-regexp): Doc fix.
21658 2013-05-29 Stefan Monnier <monnier@iro.umontreal.ca>
21660 * emacs-lisp/trace.el (trace--read-args): Provide a default.
21662 * emacs-lisp/lisp-mode.el (lisp-mode-shared-map): Inherit from
21663 prog-mode-map (bug#14504).
21665 2013-05-29 Leo Liu <sdl.web@gmail.com>
21667 * progmodes/octave.el (octave-indent-comment): Tweak regexps.
21668 (octave-help): Small simplification.
21670 * emacs-lisp/smie.el (smie-highlight-matching-block): Always turn
21671 off the highlight first.
21673 2013-05-29 Glenn Morris <rgm@gnu.org>
21675 * progmodes/idlwave.el (idlwave-concatenate-rinfo-lists):
21676 Handle idlwave-last-system-routine-info-cons-cell being nil.
21678 * progmodes/idlwave.el (idlwave-scan-user-lib-files)
21679 (idlwave-write-paths): Simplify via with-temp-buffer.
21681 * emulation/cua-gmrk.el: Also load cua-base, cua-rect at run time.
21682 * emulation/cua-rect.el: Also load cua-base at run time.
21684 * progmodes/cperl-mode.el (imenu-choose-buffer-index)
21685 (file-of-tag, etags-snarf-tag, etags-goto-tag-location): Declare.
21686 (cperl-imenu-on-info): Require imenu.
21688 2013-05-28 Alan Mackenzie <acm@muc.de>
21690 Handle "capitalised keywords" correctly.
21691 * progmodes/cc-mode.el (c-after-change): Bind case-fold-search to nil.
21693 2013-05-28 Aidan Gauland <aidalgol@amuri.net>
21695 * eshell/em-unix.el: Add -r option to cp.
21697 2013-05-28 Glenn Morris <rgm@gnu.org>
21699 * vc/vc-arch.el (vc-exec-after): Declare.
21700 (vc-switches): Autoload.
21701 * vc/vc-bzr.el: No need to require vc when compiling.
21702 (vc-exec-after, vc-set-async-update, vc-default-dir-printer)
21703 (vc-resynch-buffer, vc-dir-refresh): Declare.
21704 (vc-setup-buffer, vc-switches): Autoload.
21705 * vc/vc-cvs.el (vc-exec-after, vc-coding-system-for-diff)
21706 (vc-resynch-buffer): Declare.
21707 (vc-switches, vc-default-revert, vc-version-backup-file): Autoload.
21708 * vc/vc-dir.el (desktop-missing-file-warning): Declare.
21709 * vc/vc-git.el (vc-exec-after, vc-set-async-update)
21710 (grep-read-regexp, grep-read-files, grep-expand-template)
21711 (vc-dir-refresh): Declare.
21712 (vc-setup-buffer, vc-switches, vc-resynch-buffer): Autoload.
21713 * vc/vc-hg.el (vc-exec-after, vc-set-async-update): Declare.
21714 (vc-setup-buffer, vc-switches, vc-do-async-command): Autoload.
21715 * vc/vc-mtn.el (vc-exec-after): Declare.
21716 (vc-switches): Autoload.
21717 * vc/vc-rcs.el (vc-expand-dirs, vc-switches)
21718 (vc-tag-precondition, vc-buffer-sync, vc-rename-master): Autoload.
21719 (vc-file-tree-walk): Declare.
21720 * vc/vc-sccs.el (vc-file-tree-walk): Declare.
21721 (vc-expand-dirs, vc-switches, vc-setup-buffer, vc-delistify)
21722 (vc-tag-precondition, vc-rename-master): Autoload.
21723 * vc/vc-svn.el (vc-exec-after): Declare.
21724 (vc-switches, vc-setup-buffer): Autoload.
21725 * obsolete/vc-mcvs.el (vc-checkout, vc-switches, vc-default-revert):
21727 (vc-resynch-buffer): Declare.
21729 * obsolete/fast-lock.el (byte-compile-warnings):
21730 Don't warn about obsolete features in this obsolete file.
21732 * progmodes/cc-vars.el (c-macro-names-with-semicolon):
21733 Move definition before use.
21735 * play/dunnet.el (byte-compile-warnings): Don't disable them all.
21736 (dun-unix-verbs): Remove dun-zippy.
21737 (dun-zippy): Remove function.
21739 * emacs-lisp/bytecomp.el (byte-compile-warnings): Doc fix.
21741 2013-05-27 Juri Linkov <juri@jurta.org>
21743 * replace.el (replace-search): New function with code moved out
21744 from `perform-replace'.
21745 (replace-highlight, replace-dehighlight): Move function definitions
21746 up closer to `replace-search'. (Bug#11746)
21748 2013-05-27 Juri Linkov <juri@jurta.org>
21750 * replace.el (perform-replace): Ignore invisible matches.
21751 In addition to checking `query-replace-skip-read-only', also
21752 filter out matches by calling `run-hook-with-args-until-failure'
21753 on `isearch-filter-predicates', and also check `search-invisible'
21754 for t or call `isearch-range-invisible'.
21755 (replace-dehighlight): Call `isearch-clean-overlays'. (Bug#11746)
21757 2013-05-27 Juri Linkov <juri@jurta.org>
21759 * isearch.el (isearch-filter-predicates): Rename from
21760 `isearch-filter-predicate'. Doc fix. (Bug#11378)
21761 (isearch-message-prefix): Display text from the property
21762 `isearch-message-prefix' of the currently active filters.
21763 (isearch-search): Don't compare `isearch-filter-predicate' with
21764 `isearch-filter-visible'. Call `run-hook-with-args-until-failure'
21765 on `isearch-filter-predicates'. Also check `search-invisible' for t
21766 or call `isearch-range-invisible'.
21767 (isearch-filter-visible): Make obsolete.
21768 (isearch-lazy-highlight-search):
21769 Call `run-hook-with-args-until-failure' on
21770 `isearch-filter-predicates' and use `isearch-range-invisible'.
21772 * info.el (Info-search): Call `run-hook-with-args-until-failure' on
21773 `isearch-filter-predicates' instead of `funcall'ing
21774 `isearch-filter-predicate'.
21775 (Info-mode): Set `Info-isearch-filter' to
21776 `isearch-filter-predicates' instead of `isearch-filter-predicate'.
21778 * dired-aux.el (dired-isearch-filter-predicate-orig):
21780 (dired-isearch-filenames-toggle, dired-isearch-filenames-setup)
21781 (dired-isearch-filenames-end): Add and remove
21782 `dired-isearch-filter-filenames' in `isearch-filter-predicates'
21783 instead of changing the value of `isearch-filter-predicate'.
21784 Rebind `dired-isearch-filenames-toggle' from "\M-sf" to "\M-sff".
21785 (dired-isearch-filter-filenames): Don't use `isearch-filter-visible'.
21786 Put property `isearch-message-prefix' to "filename " on
21787 `dired-isearch-filter-filenames'.
21789 * wdired.el (wdired-change-to-wdired-mode):
21790 Add `isearch-filter-predicates' to `wdired-isearch-filter-read-only'
21791 locally instead of changing `isearch-filter-predicate'.
21792 (wdired-isearch-filter-read-only): Don't use `isearch-filter-visible'.
21794 2013-05-27 Dmitry Gutov <dgutov@yandex.ru>
21796 * vc/vc-git.el (vc-git-working-revision): When in detached mode,
21797 return the commit hash (Bug#14459). Also set the
21798 `vc-git-detached' property.
21799 (vc-git--rev-parse): Extract from `vc-git-previous-revision'.
21800 (vc-git-mode-line-string): Use the same help-echo format whether
21801 in detached mode or not, because we know the actual revision now.
21802 When in detached mode, shorten the revision to 7 chars.
21804 2013-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
21806 * emacs-lisp/easy-mmode.el (define-minor-mode):
21807 * emacs-lisp/derived.el (define-derived-mode): Always defvar the
21808 mode hook and provide a docstring.
21810 2013-05-27 Alan Mackenzie <acm@muc.de>
21812 Remove spurious syntax-table text properties inserted by C-y.
21813 * progmodes/cc-mode.el (c-after-change): Also clear hard
21814 syntax-table property with value nil.
21816 2013-05-27 Michael Albinus <michael.albinus@gmx.de>
21818 * net/dbus.el (dbus-call-method): Let-bind `inhibit-redisplay'
21819 when reading the events; the buffer layout shall not be changed.
21821 2013-05-27 Leo Liu <sdl.web@gmail.com>
21823 * progmodes/octave.el (inferior-octave-directory-tracker-resync):
21825 (inferior-octave-directory-tracker): Automatically re-sync
21827 (octave-help): Improve handling of 'See also'.
21829 2013-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
21831 * doc-view.el: Minor naming convention tweaks.
21832 (desktop-buffer-mode-handlers): Don't add to it repeatedly.
21834 * image-mode.el (image-mode-reapply-winprops): Call image-mode-winprops
21835 even if there's no `display' property yet (bug#14435).
21837 2013-05-25 Eli Zaretskii <eliz@gnu.org>
21839 * subr.el (unmsys--file-name): Rename from reveal-filename.
21841 * Makefile.in (custom-deps, finder-data, autoloads)
21842 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
21843 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
21844 ($(CAL_DIR)/hol-loaddefs.el): All users changed.
21846 2013-05-25 Stefan Monnier <monnier@iro.umontreal.ca>
21848 * emacs-lisp/lisp.el (lisp-completion-at-point): Don't use
21849 error-completion on the first 2 args of condition-case (bug#14446).
21852 2013-05-25 Leo Liu <sdl.web@gmail.com>
21854 * comint.el (comint-previous-matching-input): Do not flood the
21855 *Messages* buffer with trivial messages.
21857 2013-05-25 Stefan Monnier <monnier@iro.umontreal.ca>
21859 * progmodes/flymake.el (flymake-nop): Don't return a string.
21860 (flymake-set-at): Fix typo.
21862 * simple.el (read--expression): New function, extracted from
21863 eval-expression. Set completion-at-point-functions (bug#14465).
21864 (eval-expression, eval-minibuffer): Use it.
21866 2013-05-25 Xue Fuqiao <xfq.free@gmail.com>
21868 * progmodes/flymake.el (flymake-save-buffer-in-file)
21869 (flymake-makehash, flymake-posn-at-point-as-event, flymake-nop)
21870 (flymake-selected-frame, flymake-log, flymake-ins-after)
21871 (flymake-set-at, flymake-get-buildfile-from-cache)
21872 (flymake-add-buildfile-to-cache, flymake-clear-buildfile-cache)
21873 (flymake-find-possible-master-files, flymake-save-buffer-in-file):
21874 Refine the doc string.
21875 (flymake-get-file-name-mode-and-masks): Reformat.
21876 (flymake-get-real-file-name-function): Fix a minor bug.
21878 2013-05-24 Juri Linkov <juri@jurta.org>
21880 * progmodes/grep.el (grep-mode-font-lock-keywords):
21881 Support =linenumber= format used by git-grep for lines with
21882 function names. (Bug#13549)
21884 2013-05-24 Stefan Monnier <monnier@iro.umontreal.ca>
21886 * progmodes/octave.el (octave-smie-rules): Return nil rather than
21887 0 after a semi-colon; it works better for smie-auto-fill.
21888 (octave--indent-new-comment-line): New function.
21889 (octave-indent-new-comment-line): Use it (indirectly).
21890 (octave-mode): Don't disable smie-auto-fill. Use add-function to
21891 modify comment-line-break-function.
21893 * emacs-lisp/smie.el (smie-auto-fill): Rework to be more robust.
21894 (smie-setup): Use add-function to set it.
21896 2013-05-24 Sam Steingold <sds@gnu.org>
21898 * sort.el (delete-duplicate-lines): Accept an optional `keep-blanks'
21899 argument (before the `interactive' argument).
21901 2013-05-24 Stefan Monnier <monnier@iro.umontreal.ca>
21903 * image-mode.el (image-mode-winprops): Add winprops to
21904 image-mode-winprops-alist before running
21905 image-mode-new-window-functions.
21906 * doc-view.el (doc-view-new-window-function): Don't delay
21907 doc-view-goto-page via timers (bug#14435).
21909 2013-05-24 Tassilo Horn <tsdh@gnu.org>
21911 * doc-view.el: Integrate with desktop.el. (Bug#14435)
21912 (doc-view-desktop-save-buffer): New function.
21913 (doc-view-restore-desktop-buffer): New function.
21914 (desktop-buffer-mode-handlers):
21915 Add `doc-view-restore-desktop-buffer' as desktop.el buffer mode
21917 (doc-view-mode): Set `doc-view-desktop-save-buffer' as custom
21918 `desktop-save-buffer' function.
21920 2013-05-24 Michael Albinus <michael.albinus@gmx.de>
21922 * net/tramp-gvfs.el (tramp-gvfs-enabled): New defconst.
21923 (tramp-gvfs-file-name-handler): Raise a user error when
21924 `tramp-gvfs-enabled' is nil.
21925 (top): Register signals only when `tramp-gvfs-enabled' is non-nil.
21926 Do not raise a user error when loading package. (Bug#14447)
21928 * net/xesam.el: Move to obsolete/.
21930 2013-05-24 Glenn Morris <rgm@gnu.org>
21932 * font-lock.el (lisp-font-lock-keywords-2): Add with-coding-priority.
21934 * emacs-lisp/chart.el (chart-sort): Replace obsolete `object-name'.
21936 * progmodes/cperl-mode.el (cperl-mode): Use fboundp.
21937 (Info-find-node, Man-getpage-in-background): Declare.
21939 * mail/unrmail.el (unrmail):
21940 Replace obsolete detect-coding-with-priority.
21942 * net/socks.el (socks-split-string): Use this rather than split-string.
21943 (socks-nslookup-host): Update for above change.
21944 (dynamic-choice, s5-dynamic-choice-match)
21945 (s5-dynamic-choice-match-inline, s5-widget-value-create):
21946 Comment out unused code.
21948 * tooltip.el (tooltip-use-echo-area): Warn only on 'set.
21949 * progmodes/gud.el (gud-gdb-completion-function): Move before use.
21950 (gud-tooltip-echo-area): Make obsolete.
21951 (gud-tooltip-process-output, gud-tooltip-tips): Also check tooltip-mode.
21953 * progmodes/js.el (js--optimize-arglist): Declare.
21955 * progmodes/ruby-mode.el (ruby-syntax-propertize-expansion): Declare.
21957 * progmodes/which-func.el (ediff-window-A, ediff-window-B)
21958 (ediff-window-C): Declare.
21960 * obsolete/pgg-gpg.el, obsolete/pgg-pgp.el, obsolete/pgg-pgp5.el:
21961 Tweak requires to silence compiler.
21963 * obsolete/sym-comp.el: No need to load hipper-exp when compiling.
21964 (he-search-string, he-tried-table, he-expand-list)
21965 (he-init-string, he-string-member, he-substitute-string)
21966 (he-reset-string): Declare.
21968 * obsolete/options.el (list-options): Use custom-variable-p,
21969 rather than obsolete alias.
21971 2013-05-23 Sam Steingold <sds@gnu.org>
21973 * simple.el (shell-command-on-region): Pass the `replace' argument
21974 down to `call-process-region' to comply with the doc as reported on
21975 <http://stackoverflow.com/questions/16720458/emacs-noninteractive-call-to-shell-command-on-region-always-deletes-region>
21977 2013-05-23 Stefan Monnier <monnier@iro.umontreal.ca>
21979 * emacs-lisp/smie.el (smie-indent-forward-token)
21980 (smie-indent-backward-token): Handle string tokens (bug#14381).
21982 2013-05-23 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
21984 * ielm.el (ielm-menu): New menu.
21985 (inferior-emacs-lisp-mode): Set comment-start.
21987 2013-05-23 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
21989 * textmodes/reftex.el (reftex-ref-style-toggle):
21990 Fix deactivate action.
21992 * textmodes/reftex-vars.el (reftex-ref-style-alist):
21993 Add cleveref macros.
21995 * textmodes/reftex-parse.el (reftex-locate-bibliography-files):
21996 Accept options for bibliography commands.
21997 * textmodes/reftex-vars.el (reftex-bibliography-commands):
21998 Add addbibresource. Basic Biblatex support.
22000 2013-05-23 Michael Albinus <michael.albinus@gmx.de>
22002 * net/tramp-gvfs.el (top):
22003 * net/xesam.el (xesam-dbus-unique-names): Suppress D-Bus errors
22004 when loading package. (Bug#14447)
22006 2013-05-23 Glenn Morris <rgm@gnu.org>
22008 * progmodes/js.el: No need to load comint when compiling.
22009 (ring-insert, comint-send-string, comint-send-input)
22010 (comint-last-input-end, ido-chop): Declare.
22012 * vc/ediff-diff.el, vc/ediff-merg.el: Require ediff-util at run-time.
22013 * vc/ediff-mult.el: Adjust requires.
22014 (ediff-directories-internal, ediff-directory-revisions-internal)
22015 (ediff-patch-file-internal): Declare.
22016 * vc/ediff-ptch.el: Adjust requires.
22017 (ediff-use-last-dir, ediff-buffers-internal): Declare.
22018 (ediff-find-file): Autoload.
22019 * vc/ediff-util.el: No need to load ediff when compiling.
22020 (ediff-regions-internal): Declare.
22021 * vc/ediff-wind.el: Adjust requires.
22022 (ediff-compute-toolbar-width): Define when compiling.
22023 (ediff-setup-control-buffer, ediff-make-bottom-toolbar): Declare.
22024 * vc/ediff.el: No need to load dired, ediff-ptch when compiling.
22025 (dired-get-filename, dired-get-marked-files)
22026 (ediff-last-dir-patch, ediff-patch-default-directory)
22027 (ediff-get-patch-buffer, ediff-dispatch-file-patching-job)
22028 (ediff-patch-buffer-internal): Declare.
22030 * emacs-lisp/checkdoc.el: No need to load ispell when compiling.
22031 (ispell-process, ispell-buffer-local-words, lm-summary)
22032 (lm-section-start, lm-section-end): Declare.
22033 (checkdoc-ispell-init): Simplify.
22035 * progmodes/vera-mode.el (he-init-string, he-dabbrev-beg)
22036 (he-string-member, he-reset-string, he-substitute-string): Declare.
22038 * eshell/em-ls.el: Adjust requires.
22039 (eshell-glob-regexp): Declare.
22040 * eshell/em-tramp.el: Adjust requires.
22041 (eshell-parse-command): Autoload.
22042 * eshell/em-xtra.el: Adjust requires.
22043 (eshell-parse-command): Autoload.
22044 * eshell/esh-ext.el: Adjust requires.
22045 (eshell-parse-command, eshell-close-handles): Autoload.
22046 * eshell/esh-io.el: Adjust requires.
22047 (eshell-output-filter): Autoload.
22048 * eshell/esh-util.el: No need to load tramp when compiling.
22049 (tramp-file-name-structure, ange-ftp-ls, ange-ftp-file-modtime):
22051 (eshell-parse-ange-ls): Require ange-ftp and tramp.
22052 * eshell/em-alias.el, eshell/em-banner.el, eshell/em-basic.el:
22053 * eshell/em-cmpl.el, eshell/em-glob.el, eshell/em-pred.el:
22054 * eshell/em-prompt.el, eshell/em-rebind.el, eshell/em-smart.el:
22055 * eshell/em-term.el, eshell/esh-arg.el, eshell/esh-mode.el:
22056 * eshell/esh-opt.el, eshell/esh-proc.el:
22057 * eshell/esh-var.el: Adjust requires.
22058 * eshell/eshell.el: Do not require esh-util twice.
22059 (eshell-add-input-to-history): Declare.
22060 (eshell-command): Check history module is active before using it.
22062 * eshell/em-ls.el (eshell-ls-dir): Fix -A handling.
22064 2013-05-22 Leo Liu <sdl.web@gmail.com>
22066 * progmodes/octave.el (inferior-octave-startup): Fix bug#14433.
22068 2013-05-22 Michael Albinus <michael.albinus@gmx.de>
22070 * autorevert.el (auto-revert-notify-add-watch)
22071 (auto-revert-notify-handler): Add `attrib' for the inotify case,
22072 it indicates changes in file modification time.
22074 2013-05-22 Glenn Morris <rgm@gnu.org>
22076 * emacs-lisp/bytecomp.el (byte-compile-file-form-autoload):
22077 Always delete the autoloaded function from the noruntime and
22078 unresolved functions lists.
22080 * allout.el: No need to load epa, epg, overlay when compiling.
22081 (epg-context-set-passphrase-callback, epg-list-keys)
22082 (epg-decrypt-string, epg-encrypt-string, epg-user-id-string)
22083 (epg-key-user-id-list): Declare.
22085 * emulation/viper-cmd.el (viper-set-searchstyle-toggling-macros)
22086 (viper-set-parsing-style-toggling-macro)
22087 (viper-set-emacs-state-searchstyle-macros):
22088 Use called-interactively-p on Emacs.
22089 (viper-looking-back): Make it an obsolete alias. Update callers.
22090 * emulation/viper-ex.el: Load viper-keym, not viper-cmd.
22091 Use looking-back rather than viper-looking-back.
22092 (viper-tmp-insert-at-eob, viper-enlarge-region)
22093 (viper-read-string-with-history, viper-register-to-point)
22094 (viper-append-to-register, viper-change-state-to-vi)
22095 (viper-backward-char-carefully, viper-forward-char-carefully)
22096 (viper-Put-back, viper-put-back, viper-add-newline-at-eob-if-necessary)
22097 (viper-change-state-to-emacs): Declare.
22098 * emulation/viper-macs.el: Load viper-mous, viper-ex, not viper-cmd.
22099 (viper-change-state-to-insert, viper-change-state-to-vi): Declare.
22100 * emulation/viper-mous.el: Do not load viper-cmd.
22101 (viper-backward-char-carefully, viper-forward-char-carefully)
22102 (viper-forward-word, viper-adjust-window): Declare.
22104 * vc/ediff.el (ediff-version): Use called-interactively-p on Emacs.
22106 * progmodes/idlw-help.el (idlwave-help-fontify):
22107 Use called-interactively-p.
22109 * term/w32console.el (w32-get-console-codepage)
22110 (w32-get-console-output-codepage): Declare.
22112 * dframe.el (x-sensitive-text-pointer-shape, x-pointer-shape):
22113 Remove unnecessary declarations.
22114 (dframe-message): Doc fix.
22116 * info.el (dframe-select-attached-frame, dframe-current-frame):
22119 * speedbar.el (speedbar-message): Make it an obsolete alias.
22120 Update all callers.
22121 (speedbar-with-attached-buffer)
22122 (speedbar-maybee-jump-to-attached-frame): Make these aliases obsolete.
22123 (speedbar-with-writable): Use backquote.
22124 * emacs-lisp/eieio-opt.el (eieio-describe-class-sb):
22125 * emacs-lisp/eieio-speedbar.el (eieio-speedbar-handle-click):
22126 Use dframe-with-attached-buffer, dframe-maybee-jump-to-attached-frame
22127 rather than speedbar- aliases.
22128 * mail/rmail.el: Load dframe rather than speedbar when compiling.
22129 (speedbar-make-specialized-keymap, speedbar-insert-button)
22130 (dframe-select-attached-frame, dframe-maybee-jump-to-attached-frame)
22131 (speedbar-do-function-pointer): Declare.
22132 (rmail-speedbar-button, rmail-speedbar-find-file)
22133 (rmail-speedbar-move-message):
22134 Use dframe-with-attached-buffer rather than speedbar- alias.
22135 * progmodes/gud.el: Load dframe rather than speedbar when compiling.
22136 (dframe-message, speedbar-make-specialized-keymap)
22137 (speedbar-add-expansion-list, speedbar-mode-functions-list)
22138 (speedbar-make-tag-line, speedbar-remove-localized-speedbar-support)
22139 (speedbar-insert-button, dframe-select-attached-frame)
22140 (dframe-maybee-jump-to-attached-frame)
22141 (speedbar-change-initial-expansion-list)
22142 (speedbar-previously-used-expansion-list-name): Declare.
22143 (gud-speedbar-item-info, gud-gdb-goto-stackframe):
22144 Use dframe-message, dframe-with-attached-buffer rather than
22146 (gud-sentinel): Silence compiler.
22147 * progmodes/vhdl-mode.el (speedbar-refresh)
22148 (speedbar-do-function-pointer, speedbar-add-supported-extension)
22149 (speedbar-add-mode-functions-list, speedbar-make-specialized-keymap)
22150 (speedbar-change-initial-expansion-list, speedbar-add-expansion-list)
22151 (speedbar-extension-list-to-regex, speedbar-directory-buttons)
22152 (speedbar-file-lists, speedbar-make-tag-line)
22153 (speedbar-line-directory, speedbar-goto-this-file)
22154 (speedbar-center-buffer-smartly, speedbar-change-expand-button-char)
22155 (speedbar-delete-subblock, speedbar-position-cursor-on-line)
22156 (speedbar-make-button, speedbar-reset-scanners)
22157 (speedbar-files-item-info, speedbar-line-text)
22158 (speedbar-find-file-in-frame, speedbar-set-timer)
22159 (dframe-maybee-jump-to-attached-frame, speedbar-line-file): Declare.
22160 (speedbar-with-writable): Do not (re)define it.
22161 (vhdl-speedbar-find-file): Use dframe-maybee-jump-to-attached-frame
22162 rather than speedbar- alias.
22164 2013-05-21 Leo Liu <sdl.web@gmail.com>
22166 * progmodes/octave.el (octave-mode-menu): Update and re-organize
22168 (octave-mode): Tweak fill-nobreak-predicate.
22169 (inferior-octave-startup): Check process to avoid infinite loop.
22170 (inferior-octave): Pop to buffer first to show abornmal process
22173 2013-05-21 Glenn Morris <rgm@gnu.org>
22175 * printing.el (pr-menu-bar): Define when compiling.
22177 2013-05-21 Leo Liu <sdl.web@gmail.com>
22179 * progmodes/octave.el (octave-auto-fill): Remove.
22180 (octave-indent-new-comment-line): Improve.
22181 (octave-mode): Use auto fill mode through
22182 comment-line-break-function and fill-nobreak-predicate.
22183 (octave-goto-function-definition): Support DEFUN_DLD.
22184 (octave-beginning-of-defun): Small tweak.
22185 (octave-help): Show parent directory.
22187 2013-05-21 Glenn Morris <rgm@gnu.org>
22189 * files.el (dired-unmark):
22190 * progmodes/gud.el (gdb-input): Update declarations.
22192 * calculator.el (electric, ehelp): No need to load when compiling.
22193 (Electric-command-loop, electric-describe-mode): Declare.
22195 * doc-view.el (doc-view-current-converter-processes): Move before use.
22197 * emacs-lisp/easy-mmode.el (define-globalized-minor-mode):
22198 Move MODE-set-explicitly definition before use.
22200 * international/mule-diag.el (mule-diag):
22201 Don't use obsolete window-system-version.
22203 * mail/feedmail.el (smtpmail): No need to load when compiling.
22204 (smtpmail-via-smtp, smtpmail-smtp-server): Declare.
22206 * mail/mail-utils.el (rfc822): No need to load when compiling.
22207 (rfc822-addresses): Autoload it.
22208 (mail-strip-quoted-names): Trivial simplification.
22210 * mail/rmail.el (rmail-mime-message-p, rmail-mime-toggle-raw): Declare.
22211 (rmail-retry-failure): Don't assume that rmail-mime-feature == rmailmm.
22213 * net/snmp-mode.el (tempo): Don't duplicate requires.
22215 * progmodes/prolog.el (info): No need to load when compiling.
22216 (comint): Require before shell requires it.
22217 (Info-goto-node): Autoload it.
22218 (Info-follow-nearest-node): Declare.
22219 (prolog-help-info, prolog-goto-predicate-info): No need to require info.
22221 * textmodes/artist.el (picture-mode-exit): Declare.
22223 * textmodes/reftex-parse.el (reftex-parse-from-file):
22224 Trivial rewrite so the compiler can parse it better.
22226 2013-05-20 Leo Liu <sdl.web@gmail.com>
22228 * progmodes/octave.el (octave-help-mode-map)
22229 (octave-help-mode-finish-hook): New variables.
22230 (octave-help-mode, octave-help-mode-finish): New functions.
22231 (octave-help): Use octave-help-mode.
22233 2013-05-20 Glenn Morris <rgm@gnu.org>
22235 * format-spec.el (format-spec): Allow spec chars with nil. (Bug#14420)
22237 2013-05-19 Dmitry Gutov <dgutov@yandex.ru>
22239 * progmodes/ruby-mode.el (ruby-expression-expansion-re): Allow to
22240 start at point, so that expansion starting right after opening
22241 slash in a regexp is recognized.
22242 (ruby-syntax-before-regexp-re): New defvar, extracted from
22243 ruby-syntax-propertize-function. Since the value of this regexp
22244 is looked up at runtime now, we should be able to turn
22245 `ruby-syntax-methods-before-regexp' into a defcustom later.
22246 (ruby-syntax-propertize-function): Split regexp matching into two
22247 parts, for opening and closing slashes. That allows us to skip
22248 over string interpolations and support multiline regexps.
22249 Don't call `ruby-syntax-propertize-expansions', instead use another rule
22250 for them, which calls `ruby-syntax-propertize-expansion'.
22251 (ruby-syntax-propertize-expansions): Move `remove-text-properties'
22252 call to `ruby-syntax-propertize-function'.
22253 (ruby-syntax-propertize-expansion): Extracted from
22254 `ruby-syntax-propertize-expansions'. Handles one expansion.
22255 (ruby-syntax-propertize-percent-literal): Leave point right after
22256 the percent symbol, so that the expression expansion rule can
22257 propertize the contents.
22258 (ruby-syntax-propertize-heredoc): Leave point at bol following the
22260 (ruby-syntax-propertize-expansions): Remove.
22262 2013-05-18 Juri Linkov <juri@jurta.org>
22264 * man.el (Man-default-man-entry): Remove `-' from the end
22265 of the default value. (Bug#14400)
22267 2013-05-18 Glenn Morris <rgm@gnu.org>
22269 * comint.el (comint-password-prompt-regexp):
22270 Allow "password for XXX" where XXX contains colons (eg https://...).
22272 2013-05-18 Leo Liu <sdl.web@gmail.com>
22274 * progmodes/octave.el (inferior-octave-startup): Use OCTAVE_SRCDIR
22275 instead. Include "--no-gui" to prevent hangs for Octave > 3.7.
22276 (octave-source-directories): Don't check process.
22277 (octave-source-directories, octave-find-definition): Doc fix.
22279 2013-05-18 Glenn Morris <rgm@gnu.org>
22281 * progmodes/vhdl-mode.el (vhdl-mode-map-init):
22282 Remove backspace/delete bindings. (Bug#14392)
22284 * cus-dep.el (custom-make-dependencies): Sort the output.
22285 (custom-versions-load-alist): Convert comment to doc.
22287 2013-05-17 Leo Liu <sdl.web@gmail.com>
22289 * newcomment.el (comment-search-backward): Stricter in finding
22290 comment start. (Bug#14303)
22292 * progmodes/octave.el (octave-comment-start): Remove the SPC char.
22293 (octave-comment-start-skip): Properly anchored.
22295 2013-05-17 Leo Liu <sdl.web@gmail.com>
22297 * emacs-lisp/smie.el (smie-highlight-matching-block-mode):
22298 Clean up when turned off. (Bug#14395)
22299 (smie--highlight-matching-block-overlay): No longer buffer-local.
22300 (smie-highlight-matching-block): Adjust.
22302 2013-05-17 Paul Eggert <eggert@cs.ucla.edu>
22304 Doc string fix for "nanoseconds" (Bug#14406).
22305 * emacs-lisp/timer.el (timer-relative-time, timer-inc-time):
22306 Fix doc string typo that had "nanoseconds" instead of "microseconds".
22308 2013-05-17 Jay Belanger <jay.p.belanger@gmail.com>
22310 * calc/calc-units.el (math-extract-units): Preserve powers
22313 2013-05-17 Leo Liu <sdl.web@gmail.com>
22315 * subr.el (delete-consecutive-dups): New function.
22316 * ido.el (ido-set-matches-1): Use it.
22317 * progmodes/octave.el (inferior-octave-completion-table): Use it.
22318 * ido.el (ido-remove-consecutive-dups): Remove.
22320 2013-05-17 Stefan Monnier <monnier@iro.umontreal.ca>
22322 * progmodes/f90.el (f90-keywords-re, f90-keywords-level-3-re)
22323 (f90-hpf-keywords-re, f90-constants-re): Use \\_< rather than
22324 regexp-opt's `words'.
22326 2013-05-16 Leo Liu <sdl.web@gmail.com>
22328 * emacs-lisp/smie.el (smie-matching-block-highlight): New face.
22329 (smie--highlight-matching-block-overlay)
22330 (smie--highlight-matching-block-lastpos)
22331 (smie--highlight-matching-block-timer): New variables.
22332 (smie-highlight-matching-block): New function.
22333 (smie-highlight-matching-block-mode): New minor mode. (Bug#14395)
22334 (smie-setup): Conditionally enable smie-blink-matching-open.
22336 2013-05-16 Wilson Snyder <wsnyder@wsnyder.org>
22338 Sync with upstream verilog-mode r840.
22339 * progmodes/verilog-mode.el (verilog-mode-version)
22340 (verilog-mode-release-date): Update.
22341 (verilog-auto-lineup, verilog-auto-reset): Doc fixes.
22342 (verilog-sig-tieoff): Fix string error on
22343 AUTORESET with colon define, bug594. Reported by Andrew Hou.
22344 (verilog-read-decls): Fix parameters confusing
22345 AUTOINST interfaces, bug565. Reported by Leith Johnson.
22347 2013-05-16 Eli Zaretskii <eliz@gnu.org>
22349 * subr.el (reveal-filename): New function.
22351 * loadup.el: Compute Emacs executable versions on MS-Windows,
22352 where executables have the .exe extension. Add a hard link
22353 emacs-XX.YY.ZZ.exe on MS-Windows.
22355 * Makefile.in (XARGS_LIMIT): New variable.
22356 (custom-deps, finder-data, autoloads)
22357 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
22358 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
22359 ($(CAL_DIR)/hol-loaddefs.el): Use reveal-filename.
22360 (compile-main): Limit xargs according to $(XARGS_LIMIT).
22362 2013-05-16 Leo Liu <sdl.web@gmail.com>
22364 * progmodes/octave.el (octave-indent-defun): Mark obsolete.
22365 (octave-mode-menu, octave-mode-map): Remove its uses.
22367 2013-05-16 Reto Zimmermann <reto@gnu.org>
22369 Sync with upstream vhdl mode v3.34.2.
22370 * progmodes/vhdl-mode.el: Use `push' throughout.
22371 (vhdl-version, vhdl-time-stamp, vhdl-doc-release-notes): Update.
22372 (vhdl-compiler-alist): Replace "\t\n" by "\\t\\n".
22373 Add IBM & Quartus compiler. Enhance entry for ADVance MS compiler.
22374 (vhdl-actual-generic-name): New option to derive actual generic name.
22375 (vhdl-port-paste-signals): Replace formal by actual generics.
22376 (vhdl-beautify): New name for old group vhdl-align. Update users.
22377 (vhdl-beautify-options): New option.
22378 (vhdl-last-input-event): New compat alias. Use throughout.
22379 (vhdl-goto-line): Replace user level function `goto-line'.
22380 (vhdl-mode-map): Add bindings for vhdl-fix-statement-region,
22381 vhdl-fix-statement-buffer.
22382 (vhdl-create-mode-menu): Add some entries.
22383 (vhdl-align-region-groups): Respect vhdl-beautify-options.
22384 (vhdl-align-inline-comment-region-1): Handle "--" inside string.
22385 (vhdl-fixup-whitespace-region): Handle symbols at EOL.
22386 (vhdl-fix-statement-region, vhdl-fix-statement-buffer): New commands,
22387 to force statements on one line.
22388 (vhdl-remove-trailing-spaces-region):
22389 New, split from vhdl-remove-trailing-spaces.
22390 (vhdl-beautify-region): Fix statements, trailing spaces, ^M character.
22391 Respect vhdl-beautify-options.
22392 (vhdl-update-sensitivity-list-buffer): If non-interactive save buffer.
22393 (vhdl-update-sensitivity-list): Not add with index if exists without.
22394 Not include array index with signal. Ignore keywords in comments.
22395 (vhdl-get-visible-signals): Regexp tweaks.
22396 (vhdl-template-component-inst): Handle empty library.
22397 (vhdl-template-type): Add template for 'enum' type.
22398 (vhdl-port-paste-generic-map, vhdl-port-paste-constants):
22399 Use vhdl-replace-string.
22400 (vhdl-port-paste-signals): Use vhdl-prepare-search-1.
22401 (vhdl-speedbar-mode-map): Rename from vhdl-speedbar-key-map.
22402 (vhdl-speedbar-initialize): Update for above name change.
22403 (vhdl-compose-wire-components): Fix in handling of constants.
22404 (vhdl-error-regexp-emacs-alist): New variable.
22405 (vhdl-error-regexp-add-emacs): New function;
22406 adds support for new compile.el (Emacs 22+)
22407 (vhdl-generate-makefile-1): Change target order for single lib. units.
22408 Allow use of absolute file names.
22410 2013-05-16 Leo Liu <sdl.web@gmail.com>
22412 * simple.el (prog-indent-sexp): Indent enclosing defun.
22414 2013-05-15 Glenn Morris <rgm@gnu.org>
22416 * cus-start.el (show-trailing-whitespace): Move to editing basics.
22417 * faces.el (trailing-whitespace): Don't use whitespace-faces group.
22418 * obsolete/old-whitespace.el (whitespace-faces): Remove group.
22419 (whitespace-highlight): Move to whitespace group.
22421 * comint.el (comint-source):
22422 * pcmpl-linux.el (pcmpl-linux):
22423 * shell.el (shell-faces):
22424 * eshell/esh-opt.el (eshell-opt):
22425 * international/ccl.el (ccl): Remove empty custom groups.
22427 * completion.el (dynamic-completion-mode):
22428 * jit-lock.el (jit-lock-debug-mode):
22429 * minibuffer.el (completion-in-region-mode):
22430 * type-break.el (type-break-mode-line-message-mode)
22431 (type-break-query-mode):
22432 * emulation/tpu-edt.el (tpu-edt-mode):
22433 * progmodes/subword.el (global-subword-mode, global-superword-mode):
22434 * progmodes/vhdl-mode.el (vhdl-electric-mode, vhdl-stutter-mode):
22435 * term/vt100.el (vt100-wide-mode): Specify explicit :group.
22437 * term/xterm.el (xterm): Change parent group to terminals.
22439 * master.el (master): Remove empty custom group.
22440 (master-mode): Remove unused :group argument.
22441 * textmodes/refill.el (refill): Remove empty custom group.
22442 (refill-mode): Remove unused :group argument.
22444 * textmodes/rst.el (rst-compile-toolsets): Use rst-compile group.
22446 * cus-dep.el: Provide a feature.
22447 (custom-make-dependencies): Ignore dotfiles (dir-locals).
22448 Don't mistakenly ignore files whose basenames match a basename
22449 from preloaded-file-list (eg cedet/ede/simple.el).
22450 Add a fallback method for getting :group.
22452 2013-05-15 Juri Linkov <juri@jurta.org>
22454 * isearch.el (isearch-char-by-name): Rename from
22455 `isearch-insert-char-by-name'. Doc fix.
22456 (isearch-forward): Mention `isearch-char-by-name' in
22457 the docstring. (Bug#13348)
22459 * isearch.el (minibuffer-local-isearch-map): Bind "\r" to
22460 `exit-minibuffer' instead of
22461 `isearch-nonincremental-exit-minibuffer'.
22462 (isearch-edit-string): Remove mention of
22463 `isearch-nonincremental-exit-minibuffer' from docstring.
22464 (isearch-nonincremental-exit-minibuffer): Mark as obsolete.
22465 (isearch-forward-exit-minibuffer)
22466 (isearch-reverse-exit-minibuffer): Add docstring. (Bug#13348)
22468 2013-05-15 Stefan Monnier <monnier@iro.umontreal.ca>
22470 * loadup.el: Just use unversioned DOC.
22472 * nxml/nxml-mode.el: Treat unclosed <[[, <?, comment, and other
22473 literals as extending to EOB.
22474 (nxml-last-fontify-end): Remove unused variable.
22475 (nxml-after-change1): Use with-silent-modifications.
22476 (nxml-extend-after-change-region): Simplify.
22477 (nxml-extend-after-change-region1): Remove function.
22478 (nxml-after-change1): Don't adjust for dependent regions.
22479 (nxml-fontify-matcher): Simplify.
22480 * nxml/xmltok.el (xmltok-dependent-regions): Remove variable.
22481 (xmltok-add-dependent): Remove function.
22482 (xmltok-scan-after-lt, xmltok-scan-after-processing-instruction-open)
22483 (xmltok-scan-after-comment-open, xmltok-scan-prolog-literal)
22484 (xmltok-scan-prolog-after-processing-instruction-open): Treat
22485 unclosed <[[, <?, comment, and other literals as extending to EOB.
22486 * nxml/rng-valid.el (rng-mark-xmltok-dependent-regions)
22487 (rng-mark-xmltok-dependent-region, rng-dependent-region-changed):
22489 (rng-do-some-validation-1): Don't mark dependent regions.
22490 * nxml/nxml-rap.el (nxml-adjust-start-for-dependent-regions)
22491 (nxml-mark-parse-dependent-regions, nxml-mark-parse-dependent-region)
22492 (nxml-clear-dependent-regions): Remove functions.
22493 (nxml-scan-after-change, nxml-scan-prolog, nxml-tokenize-forward)
22494 (nxml-ensure-scan-up-to-date):
22495 Don't clear&mark dependent regions.
22497 2013-05-15 Leo Liu <sdl.web@gmail.com>
22499 * progmodes/octave.el (octave-goto-function-definition):
22500 Improve and fix callers.
22502 2013-05-15 Stefan Monnier <monnier@iro.umontreal.ca>
22504 * emacs-lisp/cl-extra.el (cl-getf): Return the proper value in
22505 the setter (bug#14387).
22507 * progmodes/f90.el (f90-blocks-re): Include the terminating \> in the
22508 surrounding group (bug#14402).
22510 2013-05-14 Juri Linkov <juri@jurta.org>
22512 * subr.el (find-tag-default-as-regexp): Return nil if `tag' is nil.
22515 2013-05-14 Glenn Morris <rgm@gnu.org>
22517 * progmodes/f90.el (f90-imenu-generic-expression):
22518 Fix typo in 2013-05-08 change. (Bug#14402)
22520 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
22522 * progmodes/gdb-mi.el (gdb-running, gdb-starting):
22523 Remove signals for which replies are never received.
22525 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
22527 * progmodes/gdb-mi.el: Fix non-responsive gud commands (bug#13845)
22528 (gdb-handler-alist, gdb-handler-number): Remove variables.
22529 (gdb-handler-list): New variable.
22530 (gdb-add-handler, gdb-delete-handler, gdb-get-handler-function)
22531 (gdb-pending-handler-p, gdb-handle-reply)
22532 (gdb-remove-all-pending-triggers): New functions.
22533 (gdb-discard-unordered-replies): New defcustom.
22534 (gdb-handler): New defstruct.
22535 (gdb-wait-for-pending): Fix invalid backquote. Use gdb-handler-list.
22536 instead of gdb-pending-triggers. Update docstring.
22537 (gdb-init-1): Remove dead variables. Initialize gdb-handler-list.
22538 (gdb-speedbar-update, gdb-speedbar-timer-fn, gdb-var-update)
22539 (gdb-var-update-handler, def-gdb-auto-update-trigger)
22540 (def-gdb-auto-update-handler, gdb-get-changed-registers)
22541 (gdb-changed-registers-handler, gdb-get-main-selected-frame)
22542 (gdb-frame-handler): Pending triggers are now automatically managed.
22543 (def-gdb-trigger-and-handler, def-gdb-auto-update-handler):
22545 (gdb-input): Automatically handles pending triggers. Update docstring.
22546 (gdb-resync): Replace gdb-pending-triggers by gdb-handler-list.
22547 (gdb-thread-exited, gdb-thread-selected, gdb-register-names-handler):
22549 (gdb-done-or-error): Now use gdb-handle-reply.
22551 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
22553 * progmodes/gdb-mi.el (gdb-input): Include token numbers in
22556 2013-05-14 Glenn Morris <rgm@gnu.org>
22558 * subr.el (user-emacs-directory-warning): New option.
22559 (locate-user-emacs-file): Handle non-accessible .emacs.d. (Bug#13930)
22561 2013-05-14 Leo Liu <sdl.web@gmail.com>
22563 * progmodes/octave.el (octave-font-lock-keywords): Fix error
22565 (octave-goto-function-definition, octave-find-definition): Minor tweaks.
22566 (octave-font-lock-texinfo-comment): Fix invalid search bound
22567 error: wrong side of point.
22569 2013-05-14 Glenn Morris <rgm@gnu.org>
22571 * progmodes/flymake.el (flymake-xml-program): New option.
22572 (flymake-xml-init): Use it.
22574 * term/xterm.el: Provide a feature.
22576 * term/sup-mouse.el: Move to obsolete/. Provide a feature.
22578 2013-05-13 Glenn Morris <rgm@gnu.org>
22580 * cus-dep.el (defcustom-mh, defgroup-mh, defface-mh):
22581 Add compat aliases as a hack workaround. (Bug#14384)
22583 2013-05-13 Leo Liu <sdl.web@gmail.com>
22585 * progmodes/octave.el (octave-indent-comment): Fix indentation for
22587 (octave-mode-map): Bind octave-indent-defun to C-c C-q instead of
22589 (octave-comment-start-skip): Include %!.
22590 (octave-mode): Set comment-start-skip to octave-comment-start-skip.
22592 2013-05-12 Leo Liu <sdl.web@gmail.com>
22594 * progmodes/octave.el (inferior-octave-startup): Store the value
22595 of __octave_srcdir__ for octave-source-directories.
22596 (inferior-octave-check-process): New function refactored out of
22597 inferior-octave-send-list-and-digest.
22598 (octave-source-directories)
22599 (octave-find-definition-filename-function): New variables.
22600 (octave-source-directories)
22601 (octave-find-definition-default-filename): New functions.
22602 (octave-find-definition): Improve to find functions implemented in C++.
22604 2013-05-12 Glenn Morris <rgm@gnu.org>
22606 * calendar/diary-lib.el (diary-outlook-format-1):
22607 Don't include dayname in the output. (Bug#14349)
22609 2013-05-11 Glenn Morris <rgm@gnu.org>
22611 * emacs-lisp/autoload.el (generated-autoload-load-name): Doc fix.
22613 * cus-dep.el (custom-make-dependencies): Only use safe local variables.
22614 Treat cc-provide like provide.
22616 2013-05-11 Kevin Ryde <user42@zip.com.au>
22618 * cus-dep.el (custom-make-dependencies):
22619 Use generated-autoload-load-name for the sake of files such
22620 such cedet/semantic/bovine/c.el, where the base file name
22621 is not in load-path. (Bug#5277)
22623 2013-05-11 Glenn Morris <rgm@gnu.org>
22625 * dos-vars.el, emacs-lisp/cl-indent.el, emulation/tpu-extras.el:
22628 2013-05-11 Leo Liu <sdl.web@gmail.com>
22630 * progmodes/octave.el (octave-indent-comment): Improve.
22631 (octave-eldoc-message-style, octave-eldoc-cache): New variables.
22632 (octave-eldoc-function-signatures, octave-eldoc-function):
22634 (octave-mode, inferior-octave-mode): Add eldoc support.
22636 2013-05-11 Richard Stallman <rms@gnu.org>
22638 * epa.el (epa-decrypt-file): Take output file name as argument
22639 and read it using `interactive'.
22641 2013-05-11 Leo Liu <sdl.web@gmail.com>
22643 * progmodes/octave.el (octave-beginning-of-line)
22644 (octave-end-of-line): Check before using up-list because it jumps
22645 out of more syntactic contructs since moving to smie.
22646 (octave-indent-comment): New function.
22647 (octave-mode): Use it in smie-indent-functions. (Bug#14350)
22648 (octave-begin-keywords, octave-end-keywords)
22649 (octave-reserved-words, octave-smie-bnf-table)
22650 (octave-smie-rules): Add new keywords from Octave 3.6.4.
22652 2013-05-11 Glenn Morris <rgm@gnu.org>
22654 * faces.el (internal-face-x-get-resource):
22655 * frame.el (ns-display-monitor-attributes-list):
22656 * calc/calc-aent.el (math-to-radians-2):
22657 * emacs-lisp/package.el (tar-header-name, tar-header-link-type):
22660 * calc/calc-menu.el: Make it loadable in isolation.
22662 * net/eudcb-bbdb.el: Make it loadable without bbdb.
22663 (eudc-bbdb-filter-non-matching-record, eudc-bbdb-extract-phones)
22664 (eudc-bbdb-extract-addresses, eudc-bbdb-format-record-as-result)
22665 (eudc-bbdb-query-internal): Require 'bbdb.
22667 * lpr.el (lpr-headers-switches):
22668 * emacs-lisp/testcover.el (testcover-compose-functions): Fix :type.
22670 * progmodes/sql.el (sql-login-params): Fix and improve :type.
22672 * emulation/edt-mapper.el: In batch mode, error rather than hang.
22674 * term.el (term-set-escape-char): Make it idempotent.
22676 2013-05-10 Leo Liu <sdl.web@gmail.com>
22678 * progmodes/octave.el (inferior-octave-completion-table):
22679 No longer a function and all uses changed. Use cache to speed up
22680 completion due to bug#11906.
22681 (octave-beginning-of-defun): Re-write to be more general.
22683 2013-05-10 Glenn Morris <rgm@gnu.org>
22685 * emacs-lisp/cl-macs.el (cl-loop): Doc fix.
22687 2013-05-09 Stefan Monnier <monnier@iro.umontreal.ca>
22689 * comint.el (comint-redirect-send-command-to-process): Use :around
22690 rather than :override for comint-redirect-filter.
22691 (comint-redirect-filter): Add the corresponding `orig-filter' argument.
22692 Call it instead of comint-redirect-original-filter-function (which
22693 is gone). Reported by Juanma Barranquero <lekktu@gmail.com>.
22695 2013-05-09 Jan Djärv <jan.h.d@swipnet.se>
22697 * frame.el (display-monitor-attributes-list): Add NS case.
22698 (ns-display-monitor-attributes-list): Declare.
22700 2013-05-09 Ulrich Mueller <ulm@gentoo.org>
22702 * descr-text.el (describe-char): Fix %d/%x typo. (Bug#14360)
22704 2013-05-09 Glenn Morris <rgm@gnu.org>
22706 * international/fontset.el (vertical-centering-font-regexp):
22707 Set standard-value.
22709 * tar-mode.el (tar-superior-buffer, tar-superior-descriptor): Add doc.
22711 * bookmark.el (bookmark-search-delay):
22712 * cus-start.el (vertical-centering-font-regexp):
22713 * ps-mule.el (ps-mule-font-info-database-default):
22714 * ps-print.el (ps-default-fg, ps-default-bg):
22715 * type-break.el (type-break-good-break-interval):
22716 * whitespace.el (whitespace-indentation-regexp)
22717 (whitespace-space-after-tab-regexp):
22718 * emacs-lisp/testcover.el (testcover-1value-functions)
22719 (testcover-noreturn-functions, testcover-progn-functions)
22720 (testcover-prog1-functions):
22721 * emulation/viper-init.el (viper-emacs-state-cursor-color):
22722 * eshell/em-glob.el (eshell-glob-translate-alist):
22723 * play/tetris.el (tetris-tty-colors):
22724 * progmodes/cpp.el (cpp-face-default-list):
22725 * progmodes/flymake.el (flymake-allowed-file-name-masks):
22726 * progmodes/idlw-help.el (idlwave-help-browser-generic-program)
22727 (idlwave-help-browser-generic-args):
22728 * progmodes/make-mode.el (makefile-special-targets-list):
22729 * progmodes/python.el (python-shell-virtualenv-path):
22730 * progmodes/verilog-mode.el (verilog-active-low-regexp)
22731 (verilog-auto-input-ignore-regexp, verilog-auto-inout-ignore-regexp)
22732 (verilog-auto-output-ignore-regexp, verilog-auto-tieoff-ignore-regexp)
22733 (verilog-auto-unused-ignore-regexp, verilog-typedef-regexp):
22734 * textmodes/reftex-vars.el (reftex-format-label-function):
22735 * textmodes/remember.el (remember-diary-file): Fix custom types.
22737 * jka-cmpr-hook.el (jka-compr-mode-alist-additions): Fix typo.
22740 2013-05-09 Leo Liu <sdl.web@gmail.com>
22742 * progmodes/octave.el (inferior-octave-completion-at-point):
22743 Restore file completion. (Bug#14300)
22744 (inferior-octave-startup): Fix incorrect highlighting for the
22747 2013-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
22749 * progmodes/ruby-mode.el: First cut at SMIE support.
22750 (ruby-use-smie): New var.
22751 (ruby-smie-grammar): New constant.
22752 (ruby-smie--bosp, ruby-smie--implicit-semi-p)
22753 (ruby-smie--forward-token, ruby-smie--backward-token)
22754 (ruby-smie-rules): New functions.
22755 (ruby-mode-variables): Setup SMIE if applicable.
22757 2013-05-08 Eli Zaretskii <eliz@gnu.org>
22759 * simple.el (line-move-visual): Signal beginning/end of buffer
22760 only if vertical-motion moved less than it was requested. Avoids
22761 silly incorrect error messages when there are display strings with
22762 multiple newlines at EOL.
22764 2013-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
22766 * progmodes/vera-mode.el (vera-underscore-is-part-of-word):
22767 * progmodes/prolog.el (prolog-underscore-wordchar-flag)
22768 (prolog-char-quote-workaround):
22769 * progmodes/cperl-mode.el (cperl-under-as-char):
22770 * progmodes/vhdl-mode.el (vhdl-underscore-is-part-of-word):
22772 (vhdl-mode-syntax-table, vhdl-mode-ext-syntax-table): Initialize in
22774 (vhdl-mode-syntax-table-init): Remove.
22776 * progmodes/m4-mode.el (m4-mode-syntax-table): Add comment on
22779 * progmodes/ld-script.el (ld-script-mode-syntax-table): Use symbol
22781 (ld-script-font-lock-keywords):
22782 Change regexps to use things like \_< and \_>.
22784 * progmodes/f90.el (f90-mode-syntax-table): Use symbol syntax for "_".
22785 Change all regexps to use things like \_< and \_>.
22787 * progmodes/autoconf.el (autoconf-definition-regexp)
22788 (autoconf-font-lock-keywords, autoconf-current-defun-function):
22789 Handle a _ with symbol syntax.
22790 (autoconf-mode): Don't change the syntax-table for imenu and font-lock.
22792 * progmodes/ada-mode.el (ada-mode-abbrev-table):
22793 Consolidate declaration.
22794 (ada-mode-syntax-table, ada-mode-symbol-syntax-table): Initialize in
22796 (ada-create-syntax-table): Remove.
22797 (ada-capitalize-word): Don't mess with the syntax of "_" since it
22798 already has the right syntax nowadays.
22799 (ada-goto-next-word): Don't change the syntax of "_".
22801 * font-lock.el (lisp-font-lock-keywords-2): Don't highlight obsolete
22804 2013-05-08 Sam Steingold <sds@gnu.org>
22806 * thingatpt.el (thing-at-point): Accept optional second argument
22807 NO-PROPERTIES to strip the text properties from the return value.
22808 * net/browse-url.el (browse-url-url-at-point): Pass NO-PROPERTIES
22809 to `thing-at-point' instead of stripping the properties ourselves.
22810 Also, when `thing-at-point' fails to find a url, prepend "http://"
22811 to the filename at point on the assumption that the user is
22812 pointing at something like gnu.org/gnu.
22814 2013-05-08 Juanma Barranquero <lekktu@gmail.com>
22816 * emacs-lisp/bytecomp.el (byte-compile-insert-header):
22817 * faces.el (crm-separator):
22818 Silence byte-compiler.
22820 * progmodes/gud.el (gdb-speedbar-auto-raise, gud-tooltip-mode)
22821 (tool-bar-map): Remove unneeded defvars.
22823 2013-05-08 Leo Liu <sdl.web@gmail.com>
22825 Re-work a fix for bug#10994 based on Le Wang's patch.
22826 * ido.el (ido-remove-consecutive-dups): New helper.
22827 (ido-completing-read): Use it.
22828 (ido-chop): Revert fix for bug#10994.
22830 2013-05-08 Adam Spiers <emacs@adamspiers.org>
22832 * cus-edit.el (custom-save-variables):
22833 Pretty-print long values. (Bug#14187)
22835 2013-05-08 Glenn Morris <rgm@gnu.org>
22837 * progmodes/m4-mode.el (m4-program): Assume it is in PATH.
22838 (m4-mode-syntax-table): Init in the defvar.
22839 (m4-mode-abbrev-table): Let define-derived-mode define it.
22841 2013-05-08 Tom Tromey <tromey@redhat.com>
22843 * progmodes/m4-mode.el (m4-mode-syntax-table):
22844 Do not treat "_" as word constituent. (Bug#14167)
22846 2013-05-07 Glenn Morris <rgm@gnu.org>
22848 * eshell/em-hist.el (eshell-isearch-map): Initialize in the defvar.
22849 Remove explicit eshell-isearch-cancel-map.
22851 * progmodes/f90.el (f90-smart-end-names): New option.
22852 (f90-smart-end): Doc fix.
22853 (f90-end-block-optional-name): New constant.
22854 (f90-block-match): Respect f90-smart-end-names.
22856 2013-05-07 Stefan Monnier <monnier@iro.umontreal.ca>
22858 * progmodes/octave.el (octave-smie-forward-token): Be more careful
22859 about implicit semi-colons (bug#14218).
22861 2013-05-07 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
22863 * frame.el (display-monitor-attributes-list)
22864 (frame-monitor-attributes): New functions.
22866 2013-05-06 Leo Liu <sdl.web@gmail.com>
22868 * progmodes/octave.el (octave-syntax-propertize-function): Change
22869 \'s syntax to escape when inside double-quoted strings. (Bug#14332)
22870 (octave-font-lock-keywords): Use octave-operator-regexp.
22871 (octave-completion-at-point): Rename from
22872 octave-completion-at-point-function.
22873 (inferior-octave-directory-tracker): Robustify.
22874 (octave-text-functions): Remove and fix its uses. No such things
22877 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
22879 * emacs-lisp/trace.el (trace--display-buffer): New function.
22880 (trace-make-advice): Use it.
22882 2013-05-06 Juri Linkov <juri@jurta.org>
22884 * emacs-lisp/lisp-mode.el (eval-defun-2): Doc fix. (Bug#14344)
22885 (eval-defun-2, eval-defun, eval-last-sexp, eval-last-sexp-1):
22887 (emacs-lisp-mode-map): Replace "minibuffer" with "echo area"
22888 in the help string. (Bug#12985)
22890 2013-05-06 Kelly Dean <kellydeanch@yahoo.com> (tiny change)
22892 * simple.el (shell-command-on-region): Doc fix. (Bug#14279)
22894 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
22896 * progmodes/perl-mode.el: Add support for here documents.
22897 (perl-syntax-propertize-function): Match here-doc markers.
22898 (perl-syntax-propertize-special-constructs): Find their end.
22899 (perl-imenu-generic-expression): Use [:alnum:].
22901 * emacs-lisp/nadvice.el (advice--member-p): Return the advice if found.
22902 (advice--add-function): Refresh the advice if already present
22905 2013-05-06 Ivan Andrus <darthandrus@gmail.com>
22907 * find-file.el (cc-other-file-alist): Add ".m" for ObjC. (Bug#14339)
22909 2013-05-06 Glenn Morris <rgm@gnu.org>
22911 * w32-fns.el (w32-charset-info-alist): Declare.
22913 * eshell/em-cmpl.el: Simply require pcomplete; eg we use a bunch
22914 of its defcustom properties.
22915 (eshell-cmpl-initialize): No need to load pcomplete.
22917 * generic-x.el: No need to require comint when compiling.
22919 * net/eudc-export.el: Make it loadable without bbdb.
22920 (top-level): Use require rather than load-library.
22921 (eudc-create-bbdb-record, eudc-bbdbify-phone)
22922 (eudc-batch-export-records-to-bbdb)
22923 (eudc-insert-record-at-point-into-bbdb, eudc-try-bbdb-insert):
22926 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
22928 * progmodes/octave.el (octave-texinfo-font-lock-keywords): Remove.
22929 (octave-font-lock-texinfo-comment): Use texinfo-font-lock-keywords with
22930 some tweaks, instead.
22932 2013-05-05 Leo Liu <sdl.web@gmail.com>
22934 * progmodes/octave.el (octave-font-lock-keywords)
22935 (octave-font-lock-texinfo-comment): Adjust for the byte-compiler.
22936 (inferior-octave-send-list-and-digest): Improve error message.
22937 (octave-mode, inferior-octave-mode): Use setq-local.
22938 (octave-help): Set info-lookup-mode.
22940 2013-05-05 Richard Stallman <rms@gnu.org>
22942 * vc/compare-w.el (compare-windows-whitespace):
22943 Treat no-break space as whitespace.
22945 * mail/rmailsum.el (rmail-summary-rmail-update):
22946 Detect empty summary and don't change selected message.
22947 (rmail-summary-goto-msg): Likewise.
22949 * mail/rmailsum.el (rmail-new-summary, rmail-new-summary-1):
22950 Doc fixes, rename args.
22952 2013-05-05 Alan Mackenzie <acm@muc.de>
22954 * progmodes/cc-defs.el (c-version): Increment to 5.32.5.
22956 2013-05-05 Juri Linkov <juri@jurta.org>
22958 * info.el (Info-read-subfile): Use (point-min) instead of (point)
22959 to not add the length of the summary segment to the return value.
22962 2013-05-05 Leo Liu <sdl.web@gmail.com>
22964 * progmodes/octave.el (inferior-octave-strip-ctrl-g)
22965 (inferior-octave-output-filter): Remove.
22966 (octave-send-region, inferior-octave-startup): Fix callers.
22967 (inferior-octave-mode-map): Don't use comint-dynamic-complete.
22968 (octave-binary-file-extensions): New user variable.
22969 (octave-find-definition): Confirm if opening binary files.
22970 (octave-help-file): Use octave-find-definition to get the binary
22972 (octave-help): Adjust for octave-help-file change.
22974 2013-05-05 Stefan Monnier <monnier@iro.umontreal.ca>
22976 * progmodes/pascal.el (pascal-font-lock-keywords): Use backquotes.
22977 Merge the two entries that handle function definitions.
22978 (pascal--syntax-propertize): New const.
22979 (pascal-mode): Use it. Use setq-local.
22981 2013-05-04 Glenn Morris <rgm@gnu.org>
22983 * calendar/diary-lib.el (diary-from-outlook-function): New variable.
22984 (diary-from-outlook): Respect diary-from-outlook-function.
22986 2013-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
22988 * simple.el (read-expression-map): Use completion-at-point (bug#14255).
22989 Move the declaration from C.
22990 (read-minibuffer, eval-minibuffer): Move from C.
22991 (completion-setup-function): Avoid minibuffer-completion-contents.
22993 2013-05-03 Leo Liu <sdl.web@gmail.com>
22995 * progmodes/octave.el (octave-font-lock-keywords): Do not
22996 dehighlight 'end' in comments or strings.
22997 (octave-completing-read, octave-goto-function-definition):
22999 (octave-help-buffer): New user variable.
23000 (octave-help-file, octave-help-function): New button types.
23001 (octave-help): New command and bind it to C-h ;.
23002 (octave-find-definition): New command and bind it to M-.
23003 (user-error): Alias to error if not defined.
23005 2013-05-02 Leo Liu <sdl.web@gmail.com>
23007 * progmodes/octave.el (octave-mode-syntax-table): Correct syntax
23009 (octave-font-lock-keywords): Include [ and {.
23011 2013-05-02 Leo Liu <sdl.web@gmail.com>
23013 * progmodes/octave.el (inferior-octave-startup-file): Change default.
23014 (inferior-octave): Remove calling comint-mode and return the buffer.
23015 (inferior-octave-startup): Cosmetic changes.
23017 2013-05-02 Leo Liu <sdl.web@gmail.com>
23019 * progmodes/octave.el (octave-syntax-propertize-function):
23020 Include the case when ' is at line beginning. (Bug#14336)
23022 2013-05-02 Glenn Morris <rgm@gnu.org>
23024 * vc/vc-dir.el (vc-dir-mode): Don't autoload it for everyone.
23025 * desktop.el (vc-dir-mode): Just autoload it here.
23027 2013-05-02 Alan Mackenzie <acm@muc.de>
23029 Eliminate variable c-standard-font-lock-fontify-region-function.
23030 * progmodes/cc-mode.el
23031 (c-standard-font-lock-fontify-region-function): Remove.
23032 (c-font-lock-fontify-region, c-after-font-lock-init): Adapt.
23034 2013-05-01 Leo Liu <sdl.web@gmail.com>
23036 * progmodes/octave.el: Compatible with older emacs-24 releases.
23037 (inferior-octave-has-built-in-variables): Remove. Built-in
23038 variables were removed from Octave in 2007.
23039 (inferior-octave-startup): Fix uses.
23040 (comint-line-beginning-position): Remove compatibility code for
23043 2013-05-01 Juri Linkov <juri@jurta.org>
23045 * isearch.el (isearch-forward, isearch-mode): Doc fix. (Bug#13923)
23047 2013-05-01 Juri Linkov <juri@jurta.org>
23049 * comint.el (comint-previous-matching-input): Don't print message
23050 "History item: %d" when `isearch-mode' is active.
23051 (comint-history-isearch-message): Print message "History item: %d"
23052 when `comint-input-ring-index' is not empty and this function is
23053 called from `isearch-update' with a nil `ellipsis'. (Bug#13223)
23055 2013-05-01 Leo Liu <sdl.web@gmail.com>
23057 * progmodes/octave.el (octave-abbrev-table): Remove abbrev
23058 definitions. Use completion-at-point to insert keywords.
23059 (octave-abbrev-start): Remove.
23060 (inferior-octave-mode, octave-mode): Use :abbrev-table instead.
23062 2013-04-30 Leo Liu <sdl.web@gmail.com>
23064 * progmodes/octave.el (inferior-octave-prompt-read-only): Fix last
23067 2013-04-30 Alan Mackenzie <acm@muc.de>
23069 Handle arbitrarily long C++ member initialisation lists.
23070 * progmodes/cc-engine.el (c-back-over-member-initializers):
23072 (c-guess-basic-syntax): New CASE 5R (extracted from 5B) to handle
23073 (most) member init lists.
23075 2013-04-30 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
23077 * progmodes/octave.el (inferior-octave-prompt-read-only): New user
23080 2013-04-30 Leo Liu <sdl.web@gmail.com>
23082 * progmodes/octave.el (octave-variables): Remove. No builtin
23083 variables any more. All converted to functions.
23084 (octave-font-lock-keywords, octave-completion-at-point-function):
23086 (octave-font-lock-texinfo-comment): New user variable.
23087 (octave-texinfo-font-lock-keywords): New variable for texinfo
23089 (octave-function-comment-block): New face.
23090 (octave-font-lock-texinfo-comment): New function.
23091 (octave-mode): Font lock texinfo comment block.
23093 2013-04-29 Leo Liu <sdl.web@gmail.com>
23095 * progmodes/octave.el (octave-font-lock-keywords): Handle 'end' in
23096 indexing expression.
23097 (octave-continuation-string): Do not use \.
23098 (inferior-octave-complete-impossible): Remove.
23099 (inferior-octave-completion-table)
23100 (inferior-octave-completion-at-point): Remove its uses.
23101 (inferior-octave-startup): completion_matches was introduced to
23102 Octave in 1996 so safe to assume it.
23103 (octave-function-file-comment): Improve to follow how Octave does it.
23104 (octave-update-function-file-comment): Tweak.
23106 2013-04-29 Leo Liu <sdl.web@gmail.com>
23108 * progmodes/octave.el (inferior-octave-startup-hook): Obsolete.
23109 (inferior-octave-startup): Remove inferior-octave-startup-hook.
23110 (octave-function-file-comment): Fix typo.
23111 (octave-sync-function-file-names): Use read-char-choice.
23113 2013-04-28 Jay Belanger <jay.p.belanger@gmail.com>
23115 * calc/calc.el (math-normalize): Don't set `math-normalize-error'
23116 to t for the less important warnings.
23118 2013-04-27 Darren Hoo <darren.hoo@gmail.com> (tiny change)
23120 * isearch.el (isearch-fail-pos): Check for empty `cmds'. (Bug#14268)
23122 2013-04-27 Glenn Morris <rgm@gnu.org>
23124 * vc/log-view.el (log-view-current-entry):
23125 Treat "---" separator lines as part of the following rev. (Bug#14169)
23127 2013-04-27 Juri Linkov <juri@jurta.org>
23129 * subr.el (read-number): Doc fix about using it by interactive
23130 code letter `n'. (Bug#14254)
23132 2013-04-27 Juri Linkov <juri@jurta.org>
23134 * desktop.el (desktop-auto-save-timeout): New option.
23135 (desktop-file-checksum): New variable.
23136 (desktop-save): Add optional arg `auto-save' and don't auto-save
23137 if nothing changed.
23138 (desktop-auto-save-timer): New variable.
23139 (desktop-auto-save, desktop-auto-save-set-timer): New functions.
23140 (after-init-hook): Call `desktop-auto-save-set-timer'.
23141 Suggested by Reuben Thomas <rrt@sc3d.org> in
23142 <http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00327.html>.
23144 2013-04-27 Leo Liu <sdl.web@gmail.com>
23146 * progmodes/octave.el (octave-function-file-p)
23147 (octave-skip-comment-forward, octave-function-file-comment)
23148 (octave-update-function-file-comment): New functions.
23149 (octave-mode-map): Bind C-c ; to
23150 octave-update-function-file-comment.
23151 (octave-mode-menu): Add octave-update-function-file-comment.
23152 (octave-mode, inferior-octave-mode): Fix doc-string.
23153 (octave-insert-defun): Conform to Octave's coding convention.
23156 * files.el (basic-save-buffer): Don't let errors in
23157 before-save-hook prevent saving buffer.
23159 2013-04-20 Roland Winkler <winkler@gnu.org>
23161 * faces.el (read-face-name): Use completing-read if arg multiple
23164 2013-04-27 Ingo Lohmar <i.lohmar@gmail.com> (tiny change)
23166 * ls-lisp.el (ls-lisp-insert-directory): If no files are
23167 displayed, move point to after the totals line.
23168 See http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00677.html
23171 2013-04-27 Stefan Monnier <monnier@iro.umontreal.ca>
23173 * emacs-lisp/package.el (package-autoload-ensure-default-file):
23174 Add current dir to the load-path.
23175 (package-generate-autoloads): Don't rely on
23176 autoload-ensure-default-file.
23178 2013-04-26 Reuben Thomas <rrt@sc3d.org>
23180 * textmodes/remember.el (remember-store-in-files): Document that
23181 the file name format is passed to `format-time-string'.
23183 2013-04-26 Leo Liu <sdl.web@gmail.com>
23185 * progmodes/octave.el (octave-sync-function-file-names): New function.
23186 (octave-mode): Use it in before-save-hook.
23188 2013-04-26 Stefan Monnier <monnier@iro.umontreal.ca>
23190 * emacs-lisp/tabulated-list.el (tabulated-list-mode): Disable undo
23193 * progmodes/octave.el (octave-smie-forward-token): Properly skip
23194 \n and comment, even if it's not an implicit ; (bug#14218).
23196 2013-04-26 Glenn Morris <rgm@gnu.org>
23198 * subr.el (read-number): Once more use `read' rather than
23199 `string-to-number', to trap non-numeric input. (Bug#14254)
23201 2013-04-26 Erik Charlebois <erikcharlebois@gmail.com>
23203 * emacs-lisp/syntax.el (syntax-propertize-multiline):
23204 Use `syntax-multiline' text property consistently instead of
23205 `font-lock-multiline'. (Bug#14237)
23207 2013-04-26 Glenn Morris <rgm@gnu.org>
23209 * emacs-lisp/shadow.el (list-load-path-shadows):
23210 No longer necessary to check for duplicate simple.el, since
23211 2012-07-07 change to init_lread to not include installation lisp
23212 directories in load-path when running uninstalled. (Bug#14270)
23214 2013-04-26 Leo Liu <sdl.web@gmail.com>
23216 * progmodes/octave.el (octave-submit-bug-report): Obsolete.
23217 (octave-mode, inferior-octave-mode): Use setq-local.
23218 (octave-not-in-string-or-comment-p): Rename to
23219 octave-in-string-or-comment-p.
23220 (octave-in-comment-p, octave-in-string-p)
23221 (octave-in-string-or-comment-p): Replace defsubst with defun.
23223 2013-04-25 Paul Eggert <eggert@cs.ucla.edu>
23225 * Makefile.in (distclean): Remove $(lisp)/loaddefs.el~.
23227 2013-04-25 Bastien Guerry <bzg@gnu.org>
23229 * textmodes/remember.el (remember-data-directory)
23230 (remember-directory-file-name-format): Fix custom types.
23232 2013-04-25 Leo Liu <sdl.web@gmail.com>
23234 * progmodes/octave.el (octave-completion-at-point-function):
23235 Make use of inferior octave process.
23236 (octave-initialize-completions): Remove.
23237 (inferior-octave-completion-table): New function.
23238 (inferior-octave-completion-at-point): Use it.
23239 (octave-completion-alist): Remove.
23241 2013-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
23243 * progmodes/opascal.el: Use font-lock and syntax-propertize.
23244 (opascal-mode-syntax-table): New var.
23245 (opascal-literal-kind, opascal-is-literal-end)
23246 (opascal-literal-token-at): Rewrite.
23247 (opascal--literal-start-re, opascal-font-lock-keywords)
23248 (opascal--syntax-propertize): New constants.
23249 (opascal-font-lock-defaults): Adjust.
23250 (opascal-mode): Use them. Set comment-<foo> variables as well.
23251 (delphi-comment-face, opascal-comment-face, delphi-string-face)
23252 (opascal-string-face, delphi-keyword-face, opascal-keyword-face)
23253 (delphi-other-face, opascal-other-face): Remove face variables.
23254 (opascal-save-state): Remove macro.
23255 (opascal-fontifying-progress-step): Remove constant.
23256 (opascal--ignore-changes): Remove var.
23257 (opascal-set-token-property, opascal-parse-next-literal)
23258 (opascal-is-stable-literal, opascal-complete-literal)
23259 (opascal-is-literal-start, opascal-face-of)
23260 (opascal-parse-region, opascal-parse-region-until-stable)
23261 (opascal-fontify-region, opascal-after-change)
23262 (opascal-debug-show-is-stable, opascal-debug-unparse-buffer)
23263 (opascal-debug-parse-region, opascal-debug-parse-window)
23264 (opascal-debug-parse-buffer, opascal-debug-fontify-window)
23265 (opascal-debug-fontify-buffer): Remove.
23266 (opascal-debug-mode-map): Adjust accordingly.
23268 2013-04-25 Leo Liu <sdl.web@gmail.com>
23270 Merge octave-mod.el and octave-inf.el into octave.el with some
23272 * progmodes/octave.el: New file renamed from octave-mod.el.
23273 * progmodes/octave-inf.el: Merged into octave.el.
23274 * progmodes/octave-mod.el: Renamed to octave.el.
23276 2013-04-25 Tassilo Horn <tsdh@gnu.org>
23278 * textmodes/reftex-vars.el
23279 (reftex-label-ignored-macros-and-environments): New defcustom.
23281 * textmodes/reftex-parse.el (reftex-parse-from-file): Use it.
23283 2013-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
23285 * emacs-lisp/smie.el (smie-indent--hanging-p): Don't burp at EOB.
23286 (smie-indent-keyword): Improve the check to ensure that the next
23287 comment is really on the same line.
23288 (smie-indent-comment): Don't align with a subsequent closer (or eob).
23290 * progmodes/octave-mod.el (octave-smie-forward-token): Only emit
23291 semi-colons if the line is not otherwise empty (bug#14218).
23293 2013-04-25 Glenn Morris <rgm@gnu.org>
23295 * vc/vc-bzr.el (vc-bzr-print-log): Tweak LIMIT = 1 case.
23297 2013-04-24 Stefan Monnier <monnier@iro.umontreal.ca>
23299 * progmodes/opascal.el (opascal-set-token-property): Rename from
23300 opascal-set-text-properties and only set `token' (bug#14134).
23301 Suggested by Erik Knowles <eknowles@geosystemsoftware.com>.
23302 (opascal-literal-text-properties): Remove.
23303 (opascal-parse-next-literal, opascal-debug-unparse-buffer):
23306 2013-04-24 Reuben Thomas <rrt@sc3d.org>
23308 * textmodes/remember.el (remember-handler-functions): Add an
23309 option for a new handler `remember-store-in-files'.
23310 (remember-data-directory, remember-directory-file-name-format):
23312 (remember-store-in-files): New function to store remember notes
23313 as separate files within a directory.
23315 2013-04-24 Magnus Henoch <magnus.henoch@gmail.com>
23317 * progmodes/compile.el (compilation-next-error-function):
23318 Pass "formats" to compilation-find-file (bug#11777).
23320 2013-04-24 Glenn Morris <rgm@gnu.org>
23322 * vc/vc-bzr.el (vc-bzr-print-log):
23323 * vc/vc-hg.el (vc-hg-print-log):
23324 * vc/vc-svn.el (vc-svn-print-log):
23325 Fix START-REVISION with LIMIT != 1. (Bug#14168)
23327 * vc/vc-bzr.el (vc-bzr-print-log):
23328 * vc/vc-cvs.el (vc-cvs-print-log):
23329 * vc/vc-git.el (vc-git-print-log):
23330 * vc/vc-hg.el (vc-hg-print-log):
23331 * vc/vc-mtn.el (vc-mtn-print-log):
23332 * vc/vc-rcs.el (vc-rcs-print-log):
23333 * vc/vc-sccs.el (vc-sccs-print-log):
23334 * vc/vc-svn.el (vc-svn-print-log):
23335 * vc/vc.el (vc-print-log-internal): Doc fixes.
23337 2013-04-23 Glenn Morris <rgm@gnu.org>
23339 * startup.el (normal-no-mouse-startup-screen, normal-about-screen):
23340 Remove venerable code attempting to avoid substitute-command-keys.
23342 2013-04-23 Tassilo Horn <tsdh@gnu.org>
23344 * textmodes/reftex-vars.el (reftex-label-regexps):
23345 Call `reftex-compile-variables' after changes to this variable.
23347 2013-04-23 Stefan Monnier <monnier@iro.umontreal.ca>
23349 * jit-lock.el: Fix signals in jit-lock-force-redisplay (bug#13542).
23350 Use lexical-binding.
23351 (jit-lock-force-redisplay): Use markers, check buffer's continued
23352 existence and beware narrowed buffers.
23353 (jit-lock-fontify-now): Adjust call accordingly.
23355 2013-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
23357 * minibuffer.el (minibuffer-completion-contents): Fix obsolescence info
23358 to avoid misleading the user.
23360 2013-04-22 Leo Liu <sdl.web@gmail.com>
23362 * info-look.el: Prefer latex2e.info. (Bug#14240)
23364 2013-04-22 Michael Albinus <michael.albinus@gmx.de>
23366 Fix pack/unpack coding. Reported by David Smith <davidsmith@acm.org>.
23368 * net/tramp-compat.el (tramp-compat-call-process): Move function ...
23369 * net/tramp.el (tramp-call-process): ... here.
23370 (tramp-set-completion-function, tramp-parse-putty):
23371 * net/tramp-adb.el (tramp-adb-execute-adb-command):
23372 * net/tramp-gvfs.el (tramp-gvfs-send-command):
23373 * net/tramp-sh.el (tramp-sh-handle-set-file-times)
23374 (tramp-set-file-uid-gid, tramp-sh-handle-write-region)
23375 (tramp-call-local-coding-command): Use `tramp-call-process'
23376 instead of `tramp-compat-call-process'.
23378 * net/tramp-sh.el (tramp-perl-pack, tramp-perl-unpack): New defconst.
23379 (tramp-local-coding-commands, tramp-remote-coding-commands): Use them.
23380 (tramp-sh-handle-file-local-copy, tramp-sh-handle-write-region)
23381 (tramp-find-inline-compress): Improve traces.
23382 (tramp-maybe-send-script): Check for Perl binary.
23383 (tramp-get-inline-coding): Do not redirect STDOUT for local decoding.
23385 2013-04-22 Daiki Ueno <ueno@gnu.org>
23387 * epg.el (epg-context-pinentry-mode): New function.
23388 (epg-context-set-pinentry-mode): New function.
23389 (epg--start): Pass --pinentry-mode option to gpg command.
23391 2013-04-21 Xue Fuqiao <xfq.free@gmail.com>
23393 * comint.el (comint-dynamic-complete-functions, comint-mode-map):
23394 `comint-dynamic-complete' is obsolete since 24.1, replaced by
23395 `completion-at-point'. (Bug#13774)
23397 * startup.el (normal-no-mouse-startup-screen): Bug fix, the
23398 default key binding for `describe-distribution' has been moved to
23399 `C-h C-o'. (Bug#13970)
23401 2013-04-21 Glenn Morris <rgm@gnu.org>
23403 * vc/vc.el (vc-print-log-setup-buttons, vc-print-log-internal):
23405 (vc-print-log): Clarify interactive prompt.
23407 2013-04-20 Glenn Morris <rgm@gnu.org>
23409 * emacs-lisp/bytecomp.el (byte-compile-insert-header):
23410 No longer include timestamp etc information.
23412 2013-04-20 Roland Winkler <winkler@gnu.org>
23414 * faces.el (read-face-name): Bug fix, return just one face if arg
23415 multiple is nil. (Bug#14209)
23417 2013-04-20 Stefan Monnier <monnier@iro.umontreal.ca>
23419 * emacs-lisp/nadvice.el (advice--where-alist): Add :override.
23420 (remove-function): Autoload.
23422 * comint.el (comint-redirect-original-filter-function): Remove.
23423 (comint-redirect-cleanup, comint-redirect-send-command-to-process):
23424 * vc/vc-cvs.el (vc-cvs-annotate-process-filter)
23425 (vc-cvs-annotate-command):
23426 * progmodes/octave-inf.el (inferior-octave-send-list-and-digest):
23427 * progmodes/prolog.el (prolog-consult-compile):
23428 * progmodes/gdb-mi.el (gdb, gdb--check-interpreter):
23429 Use add/remove-function instead.
23430 * progmodes/gud.el (gud-tooltip-original-filter): Remove.
23431 (gud-tooltip-process-output, gud-tooltip-tips):
23432 Use add/remove-function instead.
23433 * progmodes/xscheme.el (xscheme-previous-process-state): Remove.
23434 (scheme-interaction-mode, exit-scheme-interaction-mode):
23435 Use add/remove-function instead.
23437 * vc/vc-dispatcher.el: Use lexical-binding.
23438 (vc--process-sentinel): Rename from vc-process-sentinel.
23439 Change last arg to be the code to run. Don't use vc-previous-sentinel
23440 and vc-sentinel-commands any more.
23441 (vc-exec-after): Allow code to be a function. Use add/remove-function.
23442 (compilation-error-regexp-alist, view-old-buffer-read-only): Declare.
23444 2013-04-19 Masatake YAMATO <yamato@redhat.com>
23446 * progmodes/sh-script.el (sh-imenu-generic-expression):
23447 Handle function names with a single character. (Bug#14111)
23449 2013-04-19 Dima Kogan <dima@secretsauce.net> (tiny change)
23451 * progmodes/gud.el (gud-perldb-marker-filter): Understand position info
23452 for subroutines defined in an eval (bug#14182).
23454 2013-04-19 Thierry Volpiatto <thierry.volpiatto@gmail.com>
23456 * bookmark.el (bookmark-completing-read): Improve handling of empty
23457 string (bug#14176).
23459 2013-04-19 Stefan Monnier <monnier@iro.umontreal.ca>
23461 * vc/vc-dispatcher.el (vc-do-command): Get rid of default sentinel msg.
23463 2013-04-19 Fabián Ezequiel Gallina <fgallina@gnu.org>
23465 New faster Imenu implementation (bug#14058).
23466 * progmodes/python.el (python-imenu-prev-index-position)
23467 (python-imenu-format-item-label-function)
23468 (python-imenu-format-parent-item-label-function)
23469 (python-imenu-format-parent-item-jump-label-function):
23471 (python-imenu-format-item-label)
23472 (python-imenu-format-parent-item-label)
23473 (python-imenu-format-parent-item-jump-label)
23474 (python-imenu--put-parent, python-imenu--build-tree)
23475 (python-imenu-create-index, python-imenu-create-flat-index)
23476 (python-util-popn): New functions.
23477 (python-mode): Set imenu-create-index-function to
23478 python-imenu-create-index.
23480 2013-04-18 Stefan Monnier <monnier@iro.umontreal.ca>
23482 * winner.el (winner-active-region): Use region-active-p, activate-mark
23483 and deactivate-mark (bug#14225).
23485 * simple.el (deactivate-mark): Don't inline it.
23487 2013-04-18 Michael Albinus <michael.albinus@gmx.de>
23489 * net/tramp-sh.el (tramp-remote-process-environment): Add "TMOUT=0".
23491 2013-04-18 Tassilo Horn <tsdh@gnu.org>
23493 * files.el (auto-mode-alist): Delete OpenDocument and StarOffice
23494 file extensions from the archive-mode entry in order to prefer
23495 doc-view-mode-maybe with archive-mode as fallback (bug#14188).
23497 2013-04-18 Leo Liu <sdl.web@gmail.com>
23499 * bindings.el (help-event-list): Add ?\?.
23501 2013-04-18 Stefan Monnier <monnier@iro.umontreal.ca>
23503 * subr.el (with-wrapper-hook): Declare obsolete.
23504 * simple.el (filter-buffer-substring-function): New hook.
23505 (filter-buffer-substring): Use it.
23506 (filter-buffer-substring-functions): Mark obsolete.
23507 * minibuffer.el (completion-in-region-function): New hook.
23508 (completion-in-region): Use it.
23509 (completion-in-region-functions): Mark obsolete.
23510 * mail/mailabbrev.el (mail-abbrevs-setup): Use abbrev-expand-function.
23511 * abbrev.el (abbrev-expand-function): New hook.
23512 (expand-abbrev): Use it.
23513 (abbrev-expand-functions): Mark obsolete.
23514 * emacs-lisp/nadvice.el (advice--where-alist): Add :filter-args
23515 and :filter-return.
23517 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
23519 * progmodes/python.el (python-nav--syntactically): Fix cornercases
23520 and do not care about match data.
23522 2013-04-17 Stefan Monnier <monnier@iro.umontreal.ca>
23524 * emacs-lisp/lisp.el (lisp-completion-at-point): Provide specialized
23525 completion tables when completing error conditions and
23526 `declare' arguments.
23527 (lisp-complete-symbol, field-complete): Mark as obsolete.
23528 (check-parens): Unmatched parens are user errors.
23529 * minibuffer.el (minibuffer-completion-contents): Mark as obsolete.
23531 2013-04-17 Michal Nazarewicz <mina86@mina86.com>
23533 * textmodes/flyspell.el (flyspell-check-pre-word-p): Return nil if
23534 command changed buffer (ie. `flyspell-pre-buffer' is not current
23535 buffer), which prevents making decisions based on invalid value of
23536 `flyspell-pre-point' in the wrong buffer. Most notably, this used to
23537 cause an error when `flyspell-pre-point' was nil after switching
23539 (flyspell-post-command-hook): No longer needs to change buffers when
23540 checking pre-word. While at it remove unnecessary progn.
23542 2013-04-17 Nicolas Richard <theonewiththeevillook@yahoo.fr> (tiny change)
23544 * textmodes/ispell.el (ispell-add-per-file-word-list):
23545 Fix `flyspell-correct-word-before-point' error when accepting
23546 words and `coment-padding' is an integer by using
23547 `comment-normalize-vars' (Bug #14214).
23549 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
23551 New defun movement commands.
23552 * progmodes/python.el (python-nav--syntactically)
23553 (python-nav--forward-defun, python-nav-backward-defun)
23554 (python-nav-forward-defun): New functions.
23556 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
23558 * progmodes/python.el (python-syntax--context-compiler-macro): New defun.
23559 (python-syntax-context): Use named compiler-macro for backwards
23560 compatibility with Emacs 24.x.
23562 2013-04-17 Leo Liu <sdl.web@gmail.com>
23564 * progmodes/octave-mod.el (octave-mode-map): Fix key binding to
23565 octave-hide-process-buffer.
23567 2013-04-17 Stefan Monnier <monnier@iro.umontreal.ca>
23569 * vc/vc-hg.el (vc-hg-annotate-re): Disallow ": " in file names
23572 2013-04-17 Jean-Philippe Gravel <jpgravel@gmail.com>
23574 * progmodes/gdb-mi.el (gdbmi-bnf-incomplete-record-result):
23575 Fix adjustment of offset when receiving incomplete responses from GDB
23578 2013-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
23580 * progmodes/python.el (python-mode-skeleton-abbrev-table): Rename from
23581 python-mode-abbrev-table.
23582 (python-skeleton-define): Adjust accordingly.
23583 (python-mode-abbrev-table): New table that inherits from it so that
23584 python-skeleton-autoinsert does not affect non-skeleton abbrevs.
23586 * abbrev.el (abbrev--symbol): New function, extracted from abbrev-symbol.
23587 (abbrev-symbol): Use it.
23588 (abbrev--before-point): Use it since we already handle inheritance.
23590 2013-04-16 Leo Liu <sdl.web@gmail.com>
23592 * progmodes/octave-mod.el (octave-mode-map): Remove redundant key
23593 binding to info-lookup-symbol.
23595 2013-04-16 Juanma Barranquero <lekktu@gmail.com>
23597 * minibuffer.el (completion--twq-all):
23598 * term/ns-win.el (ns-initialize-window-system):
23599 * term/w32-win.el (w32-initialize-window-system): Silence byte-compiler.
23601 2013-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
23603 * emacs-lisp/nadvice.el (add-function): Default simple vars to their
23606 * doc-view.el (doc-view-start-process): Handle url-handler directories.
23608 2013-04-15 Dmitry Gutov <dgutov@yandex.ru>
23610 * progmodes/ruby-mode.el (ruby-beginning-of-defun)
23611 (ruby-end-of-defun, ruby-move-to-block): Bind `case-fold-search'
23613 (ruby-end-of-defun): Remove the unused arg, change the docstring
23614 to reflect that this function is only used as the value of
23615 `end-of-defun-function'.
23616 (ruby-beginning-of-defun): Remove "top-level" from the docstring,
23617 to reflect an earlier change that beginning/end-of-defun functions
23618 jump between methods in a class definition, as well as top-level
23621 2013-04-15 Stefan Monnier <monnier@iro.umontreal.ca>
23623 * minibuffer.el (minibuffer-complete): Don't just scroll
23624 a *Completions* that's been iconified.
23625 (minibuffer-force-complete): Make sure repetitions do cycle when going
23626 through completion-in-region -> minibuffer-complete.
23628 2013-04-15 Alan Mackenzie <acm@muc.de>
23630 Correct the placement of c-cpp-delimiters when there're #s not at
23633 * progmodes/cc-langs.el (c-anchored-cpp-prefix): Reformulate and
23634 place a submatch around the #.
23635 * progmodes/cc-mode.el(c-neutralize-syntax-in-and-mark-CPP):
23636 Start a search at BOL. Put the c-cpp-delimiter category text propertiy
23639 2013-04-15 Stefan Monnier <monnier@iro.umontreal.ca>
23641 * emacs-lisp/nadvice.el: Properly test names when adding advice.
23642 (advice--member-p): New arg `name'.
23643 (advice--add-function, advice-member-p): Use it (bug#14202).
23645 2013-04-15 Filipp Gunbin <fgunbin@fastmail.fm>
23647 Reformulate java imenu-generic-expression.
23648 The old expression contained ill formed regexps.
23650 * progmodes/cc-menus.el (cc-imenu-java-ellipsis-regexp)
23651 (cc-imenu-java-type-spec-regexp, cc-imenu-java-comment-regexp)
23652 (cc-imenu-java-method-arg-regexp): New defconsts.
23653 (cc-imenu-java-build-type-args-regex): New defun.
23654 (cc-imenu-java-generic-expression): Fix, to remove "ambiguous"
23655 handling of spaces in the regexp.
23657 2013-03-15 Agustín Martín Domingo <agustin.martin@hispalinux.es>
23659 * textmodes/ispell.el (ispell-command-loop): Remove
23660 flyspell highlight of a word when ispell accepts it (bug #14178).
23662 2013-04-15 Michael Albinus <michael.albinus@gmx.de>
23664 * net/ange-ftp.el (ange-ftp-run-real-handler-orig): New defun,
23665 uses code from the previous `ange-ftp-run-real-handler'.
23666 (ange-ftp-run-real-handler): Set it to `tramp-run-real-handler'
23667 only in case that function exist. This is needed for proper
23668 unloading of Tramp.
23670 2013-04-15 Tassilo Horn <tsdh@gnu.org>
23672 * textmodes/reftex-vars.el (reftex-label-regexps): New defcustom.
23674 * textmodes/reftex.el (reftex-compile-variables): Use it.
23676 2013-04-14 Stefan Monnier <monnier@iro.umontreal.ca>
23678 * files.el (normal-mode): Only use default major-mode if no other mode
23681 * emacs-lisp/trace.el (trace-values): New function.
23683 * files.el: Allow : in local variables (bug#14089).
23684 (hack-local-variable-regexp): New var.
23685 (hack-local-variables-prop-line, hack-local-variables): Use it.
23687 2013-04-13 Roland Winkler <winkler@gnu.org>
23689 * textmodes/bibtex.el (bibtex-search-entries): Bug fix. Use match
23690 data before it gets modified by bibtex-beginning-of-entry.
23692 2013-04-13 Roland Winkler <winkler@gnu.org>
23694 * textmodes/bibtex.el (bibtex-url): Doc fix.
23696 2013-04-13 Roland Winkler <winkler@gnu.org>
23698 * textmodes/bibtex.el (bibtex-initialize): If the current buffer
23699 does not visit a BibTeX file, exclude it from the list of buffers
23700 returned by bibtex-initialize.
23702 2013-04-13 Stephen Berman <stephen.berman@gmx.net>
23704 * window.el (split-window): Remove interactive form, since as a
23705 command this function is a special case of split-window-below.
23706 Correct doc string.
23708 2013-04-12 Roland Winkler <winkler@gnu.org>
23710 * faces.el (read-face-name): Do not override value of arg default.
23711 Allow single faces and strings as default values. Remove those
23712 elements from return value that are not faces.
23713 (describe-face): Simplify.
23714 (face-at-point): New optional args thing and multiple so that this
23715 function can provide the same functionality previously provided by
23717 (make-face-bold, make-face-unbold, make-face-italic)
23718 (make-face-unitalic, make-face-bold-italic, invert-face)
23719 (modify-face, read-face-and-attribute): Use face-at-point.
23721 * cus-edit.el (customize-face, customize-face-other-window)
23722 * cus-theme.el (custom-theme-add-face)
23723 * face-remap.el (buffer-face-set)
23724 * facemenu.el (facemenu-set-face): Use face-at-point.
23726 2013-04-12 Michael Albinus <michael.albinus@gmx.de>
23728 * info.el (Info-file-list-for-emacs): Add "tramp" and "dbus".
23730 2013-04-10 Tassilo Horn <tsdh@gnu.org>
23732 * textmodes/reftex-cite.el (reftex-parse-bibtex-entry): Don't cut
23733 off leading { and trailing } from field values.
23735 2013-04-10 Stefan Monnier <monnier@iro.umontreal.ca>
23737 * emacs-lisp/timer.el (timer--check): New function.
23738 (timer--time, timer-set-function, timer-event-handler): Use it.
23739 (timer-set-idle-time): Simplify.
23740 (timer--activate): CSE.
23741 (timer-event-handler): Give more info in error message.
23742 (internal-timer-start-idle): New function, moved from C.
23744 * mpc.el (mpc-proc): Add `restart' argument.
23745 (mpc-proc-cmd): Use it.
23746 (mpc--status-timer-run): Also catch signals from `mpc-proc'.
23747 (mpc-status-buffer-show, mpc-tagbrowser-dir-toggle): Call `mpc-proc'
23750 2013-04-10 Masatake YAMATO <yamato@redhat.com>
23752 * progmodes/sh-script.el: Implement `sh-mode' own
23753 `add-log-current-defun-function' (bug#14112).
23754 (sh-current-defun-name): New function.
23755 (sh-mode): Use the function.
23757 2013-04-09 Bastien Guerry <bzg@gnu.org>
23759 * simple.el (choose-completion-string): Fix docstring (bug#14163).
23761 2013-04-08 Stefan Monnier <monnier@iro.umontreal.ca>
23763 * emacs-lisp/edebug.el (edebug-mode): Fix typo (bug#14144).
23765 * emacs-lisp/timer.el (timer-event-handler): Don't retrigger a canceled
23768 2013-04-07 Nic Ferrier <nferrier@ferrier.me.uk>
23770 * emacs-lisp/ert.el (should, should-not, should-error): Add edebug
23773 2013-04-07 Leo Liu <sdl.web@gmail.com>
23775 * pcmpl-x.el: New file.
23777 2013-04-06 Dmitry Antipov <dmantipov@yandex.ru>
23779 Do not set x-display-name until X connection is established.
23780 This is needed to prevent from weird situation described at
23781 <http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00212.html>.
23782 * frame.el (make-frame): Set x-display-name after call to
23783 window system initialization function, not before.
23784 * term/x-win.el (x-initialize-window-system): Add optional
23785 display argument and use it.
23786 * term/w32-win.el (w32-initialize-window-system):
23787 * term/ns-win.el (ns-initialize-window-system):
23788 * term/pc-win.el (msdos-initialize-window-system):
23789 Add compatible optional display argument.
23791 2013-04-06 Eli Zaretskii <eliz@gnu.org>
23793 * files.el (normal-backup-enable-predicate): On MS-Windows and
23794 MS-DOS compare truenames of temporary-file-directory and of the
23795 file, so that 8+3 aliases (usually found in $TEMP on Windows)
23796 don't fail comparison by compare-strings. Also, compare file
23797 names case-insensitively on MS-Windows and MS-DOS.
23799 2013-04-05 Stefan Monnier <monnier@iro.umontreal.ca>
23801 * emacs-lisp/package.el (package-compute-transaction): Fix last fix.
23802 Suggested by Donald Curtis <dcurtis@coe.edu> (bug#14082).
23804 2013-04-05 Dmitry Gutov <dgutov@yandex.ru>
23806 * whitespace.el (whitespace-color-on, whitespace-color-off):
23807 Only call `font-lock-fontify-buffer' when `font-lock-mode' is on.
23809 2013-04-05 Jacek Chrząszcz <chrzaszcz@mimuw.edu.pl> (tiny change)
23811 * ispell.el (ispell-set-spellchecker-params):
23812 Really set `ispell-args' for all equivs.
23814 2013-04-05 Stefan Monnier <monnier@iro.umontreal.ca>
23816 * ido.el (ido-completions): Use extra elements of ido-decorations
23818 (ido-decorations): Update docstring.
23820 2013-04-05 Michael Albinus <michael.albinus@gmx.de>
23822 * autorevert.el (auto-revert-mode, auto-revert-tail-mode)
23823 (global-auto-revert-mode): Let-bind `auto-revert-use-notify' to
23824 nil during initialization, in order not to miss changes since the
23825 file was opened. (Bug#14140)
23827 2013-04-05 Leo Liu <sdl.web@gmail.com>
23829 * kmacro.el (kmacro-call-macro): Fix bug#14135.
23831 2013-04-05 Jay Belanger <jay.p.belanger@gmail.com>
23833 * calc/calc-units.el (calc-convert-units): Rewrite conditional.
23835 2013-04-04 Glenn Morris <rgm@gnu.org>
23837 * electric.el (electric-pair-inhibit-predicate): Add :version.
23839 2013-04-04 Stefan Monnier <monnier@iro.umontreal.ca>
23841 * emacs-lisp/package.el (package-compute-transaction): Fix ordering
23842 when a package is required several times (bug#14082).
23844 2013-04-04 Roland Winkler <winkler@gnu.org>
23846 * faces.el (read-face-name): Behave as promised by the docstring.
23847 Assume that arg default is a list of faces.
23848 (describe-face): Call read-face-name with list of default faces.
23850 2013-04-04 Thierry Volpiatto <thierry.volpiatto@gmail.com>
23852 * bookmark.el: Fix deletion of bookmarks (bug#13972).
23853 (bookmark-bmenu-list): Don't toggle filenames if alist is empty.
23854 (bookmark-bmenu-execute-deletions): Only skip first line if it's
23856 (bookmark-exit-hook-internal): Save even if list is empty.
23858 2013-04-04 Yann Hodique <yann.hodique@gmail.com> (tiny change)
23860 * emacs-lisp/package.el (package-pinned-packages): New var.
23861 (package--add-to-archive-contents): Obey it (bug#14118).
23863 2013-04-03 Alan Mackenzie <acm@muc.de>
23865 Handle `parse-partial-sexp' landing inside a comment opener (Bug#13244).
23866 Also adapt to the new values of element 7 of a parse state.
23868 * progmodes/cc-engine.el (c-state-pp-to-literal): New optional
23869 parameter `not-in-delimiter'. Handle being inside comment opener.
23870 (c-invalidate-state-cache-1): Reckon with an extra "invalid"
23871 character in case we're typing a '*' after a '/'.
23872 (c-literal-limits): Handle the awkward "not-in-delimiter" cond arm
23873 instead by passing the parameter to c-state-pp-to-literal.
23875 * progmodes/cc-fonts.el (c-font-lock-doc-comments): New handling
23876 for elt. 7 of a parse state.
23878 2013-04-01 Paul Eggert <eggert@cs.ucla.edu>
23880 Use UTF-8 for most files with non-ASCII characters (Bug#13936).
23881 * international/latin1-disp.el, international/mule-util.el:
23882 * language/cyril-util.el, language/european.el, language/ind-util.el:
23883 * language/lao-util.el, language/thai.el, language/tibet-util.el:
23884 * language/tibetan.el, language/viet-util.el:
23885 Switch from iso-2022-7bit to utf-8 or (if needed) utf-8-emacs.
23887 2013-04-01 Stefan Monnier <monnier@iro.umontreal.ca>
23889 * electric.el (electric-pair-inhibit-predicate): New var (bug#14000).
23890 (electric-pair-post-self-insert-function): Use it.
23891 (electric-pair-default-inhibit): New function, extracted from
23892 electric-pair-post-self-insert-function.
23894 2013-03-31 Roland Winkler <winkler@gnu.org>
23896 * emacs-lisp/crm.el (completing-read-multiple): Doc fix.
23898 2013-03-31 Stefan Monnier <monnier@iro.umontreal.ca>
23900 * hi-lock.el (hi-lock-mode): Cleanup after revert-buffer (bug#13891).
23902 2013-03-30 Fabián Ezequiel Gallina <fabian@anue.biz>
23904 Un-indent after "pass" and "return" statements (Bug#13888)
23905 * progmodes/python.el (python-indent-block-enders): New var.
23906 (python-indent-calculate-indentation): Use it.
23908 2013-03-30 Michael Albinus <michael.albinus@gmx.de>
23910 * net/tramp.el (tramp-drop-volume-letter): Make it an ordinary
23911 defun. Defining it as defalias could introduce too eager
23912 byte-compiler optimization. (Bug#14030)
23914 2013-03-30 Chong Yidong <cyd@gnu.org>
23916 * iswitchb.el (iswitchb-read-buffer): Fix typo.
23918 2013-03-30 Leo Liu <sdl.web@gmail.com>
23920 * kmacro.el (kmacro-call-macro): Add optional arg MACRO.
23921 (kmacro-execute-from-register): Pass the keyboard macro to
23922 kmacro-call-macro or repeating won't work correctly.
23924 2013-03-30 Teodor Zlatanov <tzz@lifelogs.com>
23926 * progmodes/subword.el: Back to using `forward-symbol'.
23928 * subr.el (forward-whitespace, forward-symbol)
23929 (forward-same-syntax): Move from thingatpt.el.
23931 2013-03-29 Leo Liu <sdl.web@gmail.com>
23933 * kmacro.el (kmacro-to-register): New command.
23934 (kmacro-execute-from-register): New function.
23935 (kmacro-keymap): Bind to 'x'. (Bug#14071)
23937 2013-03-29 Stefan Monnier <monnier@iro.umontreal.ca>
23939 * mpc.el: Use defvar-local and setq-local.
23940 (mpc--proc-connect): Connection failures are not bugs.
23941 (mpc-mode-map): `follow-link' only applies to the buffer's content.
23942 (mpc-volume-map): Bind to the up-events.
23944 2013-03-29 Teodor Zlatanov <tzz@lifelogs.com>
23946 * progmodes/subword.el (superword-mode): Use `forward-sexp'
23947 instead of `forward-symbol'.
23949 2013-03-28 Stefan Monnier <monnier@iro.umontreal.ca>
23951 * emacs-lisp/edebug.el (edebug-mode): Make it a minor mode.
23952 (edebug--recursive-edit): Use it.
23953 (edebug-kill-buffer): Don't let-bind kill-buffer-hook.
23954 (edebug-temp-display-freq-count): Don't let-bind buffer-read-only.
23956 2013-03-28 Leo Liu <sdl.web@gmail.com>
23958 * vc/vc-bzr.el (vc-bzr-revert): Don't backup. (Bug#14066)
23960 2013-03-27 Eli Zaretskii <eliz@gnu.org>
23962 * facemenu.el (list-colors-callback): New defvar.
23963 (list-colors-redisplay): New function.
23964 (list-colors-display): Install list-colors-redisplay as the
23965 revert-buffer-function. (Bug#14063)
23967 2013-03-27 Stefan Monnier <monnier@iro.umontreal.ca>
23969 * minibuffer.el (completion-pcm--merge-completions): Make sure prefixes
23970 and suffixes don't overlap (bug#14061).
23972 * case-table.el: Use lexical-binding.
23973 (case-table-get-table): New function.
23974 (get-upcase-table): Use it. Mark as obsolete. Adjust callers.
23976 2013-03-27 Teodor Zlatanov <tzz@lifelogs.com>
23978 * progmodes/subword.el: Add `superword-mode' to do word motion
23979 over symbol_words (parallels and leverages `subword-mode' which
23980 does word motion inside MixedCaseWords).
23982 2013-03-27 Aidan Gauland <aidalgol@no8wireless.co.nz>
23984 * eshell/em-unix.el: Move su and sudo to...
23985 * eshell/em-tramp.el: ...Eshell tramp module.
23987 2013-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
23989 * desktop.el (desktop--v2s): Rename from desktop-internal-v2s.
23990 Change return value to be a sexp. Delay `get-buffer' to after
23991 restoring the desktop (bug#13951).
23993 2013-03-26 Leo Liu <sdl.web@gmail.com>
23995 * register.el: Move semantic tag handling back to
23996 cedet/semantic/senator.el. (Bug#14052)
23998 2013-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
24000 * eshell/em-prompt.el (eshell-emit-prompt): Make sure we can't insert
24001 into the prompt either (bug#13963).
24003 2013-03-25 Stefan Monnier <monnier@iro.umontreal.ca>
24005 * font-lock.el (lisp-font-lock-keywords-2): Don't highlight the "error"
24006 part of "(error-foo)".
24008 2013-03-24 Juri Linkov <juri@jurta.org>
24010 * replace.el (list-matching-lines-prefix-face): New defcustom.
24011 (occur-1): Pass `list-matching-lines-prefix-face' to the function
24012 `occur-engine' if `face-differs-from-default-p' returns t.
24013 (occur-engine): Add `,' inside backquote construct to evaluate
24014 `prefix-face'. Propertize the prefix with the `prefix-face' face.
24015 Pass `prefix-face' to the functions `occur-context-lines' and
24016 `occur-engine-add-prefix'.
24017 (occur-engine-add-prefix, occur-context-lines): Add optional arg
24018 `prefix-face' and propertize the prefix with `prefix-face'.
24021 2013-03-24 Leo Liu <sdl.web@gmail.com>
24023 * nxml/rng-valid.el (rng-validate-while-idle)
24024 (rng-validate-quick-while-idle): Guard against deleted buffer.
24027 * emacs-lisp/edebug.el (edebug-mode): Make sure edebug-kill-buffer
24028 is the last entry in kill-buffer-hook.
24030 * files.el (kill-buffer-hook): Doc fix.
24032 2013-03-23 Dmitry Gutov <dgutov@yandex.ru>
24034 * emacs-lisp/lisp-mode.el (emacs-lisp-docstring-fill-column):
24035 Make it safe-local.
24037 * vc/diff-mode.el (diff-mode-shared-map): Unbind "/" (Bug#14034).
24039 2013-03-23 Leo Liu <sdl.web@gmail.com>
24041 * nxml/nxml-util.el (nxml-with-unmodifying-text-property-changes):
24044 * nxml/rng-valid.el (rng-validate-mode)
24045 (rng-after-change-function, rng-do-some-validation):
24046 * nxml/rng-maint.el (rng-validate-buffer):
24047 * nxml/nxml-rap.el (nxml-tokenize-forward, nxml-ensure-scan-up-to-date):
24048 * nxml/nxml-outln.el (nxml-show-all, nxml-set-outline-state):
24049 * nxml/nxml-mode.el (nxml-mode, nxml-degrade, nxml-after-change)
24050 (nxml-extend-after-change-region): Use with-silent-modifications.
24052 * nxml/rng-nxml.el (rng-set-state-after): Do not let-bind
24055 * nxml/rng-valid.el (rng-validate-while-idle-continue-p)
24056 (rng-next-error-1, rng-previous-error-1): Do not let-bind
24057 timer-idle-list. (Bug#13999)
24059 2013-03-23 Juri Linkov <juri@jurta.org>
24061 * info.el (info-index-match): New face.
24062 (Info-index, Info-apropos-matches): Add a nested subgroup to the
24063 main pattern and add text properties with the new face to matches
24064 in index entries relative to the beginning of the index entry.
24067 2013-03-21 Eric Ludlam <zappo@gnu.org>
24069 * emacs-lisp/eieio-datadebug.el (data-debug/eieio-insert-slots):
24070 Inhibit read only while inserting objects.
24072 2013-03-22 Teodor Zlatanov <tzz@lifelogs.com>
24074 * progmodes/cfengine.el: Update docs to mention
24075 `cfengine-auto-mode'. Use \_> and \_< instead of \> and \< for
24076 symbol motion. Remove "_" from the word syntax.
24078 2013-03-21 Teodor Zlatanov <tzz@lifelogs.com>
24080 * progmodes/cfengine.el (cfengine-common-syntax): Add "_" to word
24081 syntax for both `cfengine2-mode' and `cfengine3-mode'.
24083 2013-03-20 Juri Linkov <juri@jurta.org>
24085 * info.el (Info-next-reference-or-link)
24086 (Info-prev-reference-or-link): New functions.
24087 (Info-next-reference, Info-prev-reference): Use them.
24088 (Info-try-follow-nearest-node): Handle footnote navigation.
24089 (Info-fontify-node): Fontify footnotes. (Bug#13989)
24091 2013-03-20 Stefan Monnier <monnier@iro.umontreal.ca>
24093 * subr.el (posn-point, posn-string): Fix it here instead (bug#13979).
24094 * mouse.el (mouse-on-link-p): Undo scroll-bar fix.
24096 2013-03-20 Paul Eggert <eggert@cs.ucla.edu>
24098 Suppress unnecessary non-ASCII chatter during build process.
24099 * international/ja-dic-cnv.el (skkdic-collect-okuri-nasi)
24100 (batch-skkdic-convert): Suppress most of the chatter.
24101 It's not needed so much now that machines are faster,
24102 and its non-ASCII component was confusing; see Dmitry Gutov in
24103 <http://lists.gnu.org/archive/html/emacs-devel/2013-03/msg00508.html>.
24105 2013-03-20 Leo Liu <sdl.web@gmail.com>
24107 * ido.el (ido-chop): Fix bug#10994.
24109 2013-03-19 Dmitry Gutov <dgutov@yandex.ru>
24111 * whitespace.el (whitespace-font-lock, whitespace-font-lock-mode):
24113 (whitespace-color-on, whitespace-color-off):
24114 Use `font-lock-fontify-buffer' (Bug#13817).
24116 2013-03-19 Stefan Monnier <monnier@iro.umontreal.ca>
24118 * mouse.el (mouse--down-1-maybe-follows-link): Fix follow-link
24119 remapping in mode-line.
24120 (mouse-on-link-p): Also check [mode-line follow-link] bindings.
24122 2013-03-19 Dmitry Gutov <dgutov@yandex.ru>
24124 * whitespace.el (whitespace-color-on): Use `prepend' OVERRIDE
24125 value for `whitespace-line' face (Bug#13875).
24126 (whitespace-font-lock-keywords): Change description.
24127 (whitespace-color-on): Don't save `font-lock-keywords' value, save
24128 the constructed keywords instead.
24129 (whitespace-color-off): Use `font-lock-remove-keywords' (Bug#13817).
24131 2013-03-19 Leo Liu <sdl.web@gmail.com>
24133 * progmodes/compile.el (compilation-display-error): New command.
24134 (compilation-mode-map, compilation-minor-mode-map): Bind it to
24137 2013-03-18 Paul Eggert <eggert@cs.ucla.edu>
24139 * term/x-win.el (x-keysym-pair): Add a Fixme (Bug#13936).
24141 2013-03-18 Jan Djärv <jan.h.d@swipnet.se>
24143 * mouse.el (mouse-on-link-p): Check for scroll bar (Bug#13979).
24145 2013-03-18 Michael Albinus <michael.albinus@gmx.de>
24147 * net/tramp-compat.el (tramp-compat-user-error): New defun.
24149 * net/tramp-adb.el (tramp-adb-handle-shell-command):
24150 * net/tramp-gvfs.el (top):
24151 * net/tramp.el (tramp-find-method, tramp-dissect-file-name)
24152 (tramp-handle-shell-command): Use it.
24153 (tramp-dissect-file-name): Raise an error when hostname is a
24154 method name, and neither method nor user is specified.
24156 * net/trampver.el: Update release number.
24158 2013-03-18 Leo Liu <sdl.web@gmail.com>
24160 Make sure eldoc can be turned off properly.
24161 * emacs-lisp/eldoc.el (eldoc-schedule-timer): Conditionalize on
24163 (eldoc-display-message-p): Revert last change.
24164 (eldoc-display-message-no-interference-p)
24165 (eldoc-print-current-symbol-info): Tweak.
24167 2013-03-18 Tassilo Horn <tsdh@gnu.org>
24169 * doc-view.el (doc-view-new-window-function): Check the new window
24170 overlay's display property instead the char property of the
24171 buffer's first char. Use `with-selected-window' instead of
24172 `save-window-excursion' with `select-window'.
24173 (doc-view-document->bitmap): Check the current doc-view overlay's
24174 display property instead the char property of the buffer's first char.
24176 2013-03-18 Paul Eggert <eggert@cs.ucla.edu>
24178 Automate the build of ja-dic.el (Bug#13984).
24179 * international/ja-dic-cnv.el (skkdic-convert): Remove the annotations
24180 from the input, rather than assume that it's been done for us by the
24181 SKK script unannotate.awk. Switch ja-dic.el to UTF-8. Don't put
24182 the current date into a ja-dic.el comment, as that complicates
24183 regression testing.
24185 2013-03-18 Stefan Monnier <monnier@iro.umontreal.ca>
24187 * whitespace.el: Fix double evaluation.
24188 (whitespace-space, whitespace-hspace, whitespace-tab)
24189 (whitespace-newline, whitespace-trailing, whitespace-line)
24190 (whitespace-space-before-tab, whitespace-indentation)
24191 (whitespace-empty, whitespace-space-after-tab): Turn defcustoms into
24193 (whitespace-hspace-regexp): Fix regexp for emacs-unicode.
24194 (whitespace-color-on): Use a single font-lock-add-keywords call.
24195 Fix double-evaluation of face variables.
24197 2013-03-17 Michael Albinus <michael.albinus@gmx.de>
24199 * net/tramp-adb.el (tramp-adb-parse-device-names):
24200 Use `start-process' instead of `call-process'. Otherwise, the
24201 function might be blocked under MS Windows. (Bug#13299)
24203 2013-03-17 Leo Liu <sdl.web@gmail.com>
24205 Extend eldoc to display info in the mode-line. (Bug#13978)
24206 * emacs-lisp/eldoc.el (eldoc-post-insert-mode): New minor mode.
24207 (eldoc-mode-line-string): New variable.
24208 (eldoc-minibuffer-message): New function.
24209 (eldoc-message-function): New variable.
24210 (eldoc-message): Use it.
24211 (eldoc-display-message-p)
24212 (eldoc-display-message-no-interference-p):
24213 Support eldoc-post-insert-mode.
24215 * simple.el (eval-expression-minibuffer-setup-hook): New hook.
24216 (eval-expression): Run it.
24218 2013-03-17 Roland Winkler <winkler@gnu.org>
24220 * emacs-lisp/crm.el (completing-read-multiple): Ignore empty
24221 strings in the list of return values.
24223 2013-03-17 Jay Belanger <jay.p.belanger@gmail.com>
24225 * calc/calc-ext.el (math-read-number-fancy): Check for an explicit
24226 radix before checking for HMS forms.
24228 2013-03-16 Leo Liu <sdl.web@gmail.com>
24230 * progmodes/scheme.el: Add indentation and font-locking for λ.
24233 2013-03-16 Stefan Monnier <monnier@iro.umontreal.ca>
24235 * emacs-lisp/smie.el (smie-auto-fill): Don't inf-loop if there's no
24236 token before point (bug#13942).
24238 2013-03-16 Leo Liu <sdl.web@gmail.com>
24240 * thingatpt.el (end-of-sexp): Fix bug#13952. Use syntax-after.
24242 2013-03-16 Eli Zaretskii <eliz@gnu.org>
24244 * startup.el (command-line-normalize-file-name): Fix handling of
24245 backslashes in DOS and Windows file names. Reported by Xue Fuqiao
24246 <xfq.free@gmail.com> in
24247 http://lists.gnu.org/archive/html/help-gnu-emacs/2013-03/msg00245.html.
24249 2013-03-15 Michael Albinus <michael.albinus@gmx.de>
24251 Sync with Tramp 2.2.7.
24253 * net/trampver.el: Update release number.
24255 2013-03-14 Tassilo Horn <tsdh@gnu.org>
24257 * doc-view.el: Fix bug#13887.
24258 (doc-view-insert-image): Don't modify overlay associated to
24259 non-live windows, and implement horizontal centering of image in
24260 case it's smaller than the window.
24261 (doc-view-new-window-function): Force redisplay of new windows on
24264 2013-03-13 Karl Fogel <kfogel@red-bean.com>
24266 * saveplace.el (save-place-alist-to-file): Don't sort
24267 `save-place-alist', just pretty-print it (bug#13882).
24269 2013-03-13 Michael Albinus <michael.albinus@gmx.de>
24271 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
24272 Check whether `default-file-name-coding-system' is bound.
24273 It isn't in XEmacs.
24275 2013-03-13 Stefan Monnier <monnier@iro.umontreal.ca>
24277 * emacs-lisp/byte-run.el (defun-declarations-alist): Don't use
24278 backquotes for `obsolete' (bug#13929).
24280 * international/mule.el (find-auto-coding): Include file name in
24281 obsolescence warning (bug#13922).
24283 2013-03-12 Teodor Zlatanov <tzz@lifelogs.com>
24285 * progmodes/cfengine.el (cfengine-parameters-indent): New variable
24286 for CFEngine 3-specific indentation.
24287 (cfengine3-indent-line): Use it. Fix up category regex.
24288 (cfengine3-font-lock-keywords): Add bundle and namespace characters.
24290 2013-03-12 Stefan Monnier <monnier@iro.umontreal.ca>
24292 * type-break.el (type-break-file-name):
24293 * textmodes/remember.el (remember-data-file):
24294 * strokes.el (strokes-file):
24295 * shadowfile.el (shadow-initialize):
24296 * saveplace.el (save-place-file):
24297 * ps-bdf.el (bdf-cache-file):
24298 * progmodes/idlwave.el (idlwave-config-directory):
24299 * net/quickurl.el (quickurl-url-file):
24300 * international/kkc.el (kkc-init-file-name):
24301 * ido.el (ido-save-directory-list-file):
24302 * emulation/viper.el (viper-custom-file-name):
24303 * emulation/vip.el (vip-startup-file):
24304 * calendar/todo-mode.el (todo-file-do, todo-file-done, todo-file-top):
24305 * calendar/timeclock.el (timeclock-file): Use locate-user-emacs-file.
24307 2013-03-12 Paul Eggert <eggert@cs.ucla.edu>
24309 Switch encodings of tutorials, thai-word to UTF-8 (Bug#13880).
24310 * language/thai-word.el: Switch to UTF-8.
24312 See ChangeLog.16 for earlier changes.
24314 ;; Local Variables:
24318 Copyright (C) 2011-2015 Free Software Foundation, Inc.
24320 This file is part of GNU Emacs.
24322 GNU Emacs is free software: you can redistribute it and/or modify
24323 it under the terms of the GNU General Public License as published by
24324 the Free Software Foundation, either version 3 of the License, or
24325 (at your option) any later version.
24327 GNU Emacs is distributed in the hope that it will be useful,
24328 but WITHOUT ANY WARRANTY; without even the implied warranty of
24329 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
24330 GNU General Public License for more details.
24332 You should have received a copy of the GNU General Public License
24333 along with GNU Emacs. If not, see <http://www.gnu.org/licenses/>.