Sync ACPICA with Intel's version 20170831.
[dragonfly.git] / sys / contrib / dev / acpica / source / compiler / dtparser.y
blobd5990fe45fe503c452d0a47ddf1163cbc1fcb33d
1 %{
2 /******************************************************************************
4 * Module Name: dtparser.y - Bison input file for table compiler parser
6 *****************************************************************************/
8 /******************************************************************************
10 * 1. Copyright Notice
12 * Some or all of this work - Copyright (c) 1999 - 2017, Intel Corp.
13 * All rights reserved.
15 * 2. License
17 * 2.1. This is your license from Intel Corp. under its intellectual property
18 * rights. You may have additional license terms from the party that provided
19 * you this software, covering your right to use that party's intellectual
20 * property rights.
22 * 2.2. Intel grants, free of charge, to any person ("Licensee") obtaining a
23 * copy of the source code appearing in this file ("Covered Code") an
24 * irrevocable, perpetual, worldwide license under Intel's copyrights in the
25 * base code distributed originally by Intel ("Original Intel Code") to copy,
26 * make derivatives, distribute, use and display any portion of the Covered
27 * Code in any form, with the right to sublicense such rights; and
29 * 2.3. Intel grants Licensee a non-exclusive and non-transferable patent
30 * license (with the right to sublicense), under only those claims of Intel
31 * patents that are infringed by the Original Intel Code, to make, use, sell,
32 * offer to sell, and import the Covered Code and derivative works thereof
33 * solely to the minimum extent necessary to exercise the above copyright
34 * license, and in no event shall the patent license extend to any additions
35 * to or modifications of the Original Intel Code. No other license or right
36 * is granted directly or by implication, estoppel or otherwise;
38 * The above copyright and patent license is granted only if the following
39 * conditions are met:
41 * 3. Conditions
43 * 3.1. Redistribution of Source with Rights to Further Distribute Source.
44 * Redistribution of source code of any substantial portion of the Covered
45 * Code or modification with rights to further distribute source must include
46 * the above Copyright Notice, the above License, this list of Conditions,
47 * and the following Disclaimer and Export Compliance provision. In addition,
48 * Licensee must cause all Covered Code to which Licensee contributes to
49 * contain a file documenting the changes Licensee made to create that Covered
50 * Code and the date of any change. Licensee must include in that file the
51 * documentation of any changes made by any predecessor Licensee. Licensee
52 * must include a prominent statement that the modification is derived,
53 * directly or indirectly, from Original Intel Code.
55 * 3.2. Redistribution of Source with no Rights to Further Distribute Source.
56 * Redistribution of source code of any substantial portion of the Covered
57 * Code or modification without rights to further distribute source must
58 * include the following Disclaimer and Export Compliance provision in the
59 * documentation and/or other materials provided with distribution. In
60 * addition, Licensee may not authorize further sublicense of source of any
61 * portion of the Covered Code, and must include terms to the effect that the
62 * license from Licensee to its licensee is limited to the intellectual
63 * property embodied in the software Licensee provides to its licensee, and
64 * not to intellectual property embodied in modifications its licensee may
65 * make.
67 * 3.3. Redistribution of Executable. Redistribution in executable form of any
68 * substantial portion of the Covered Code or modification must reproduce the
69 * above Copyright Notice, and the following Disclaimer and Export Compliance
70 * provision in the documentation and/or other materials provided with the
71 * distribution.
73 * 3.4. Intel retains all right, title, and interest in and to the Original
74 * Intel Code.
76 * 3.5. Neither the name Intel nor any other trademark owned or controlled by
77 * Intel shall be used in advertising or otherwise to promote the sale, use or
78 * other dealings in products derived from or relating to the Covered Code
79 * without prior written authorization from Intel.
81 * 4. Disclaimer and Export Compliance
83 * 4.1. INTEL MAKES NO WARRANTY OF ANY KIND REGARDING ANY SOFTWARE PROVIDED
84 * HERE. ANY SOFTWARE ORIGINATING FROM INTEL OR DERIVED FROM INTEL SOFTWARE
85 * IS PROVIDED "AS IS," AND INTEL WILL NOT PROVIDE ANY SUPPORT, ASSISTANCE,
86 * INSTALLATION, TRAINING OR OTHER SERVICES. INTEL WILL NOT PROVIDE ANY
87 * UPDATES, ENHANCEMENTS OR EXTENSIONS. INTEL SPECIFICALLY DISCLAIMS ANY
88 * IMPLIED WARRANTIES OF MERCHANTABILITY, NONINFRINGEMENT AND FITNESS FOR A
89 * PARTICULAR PURPOSE.
91 * 4.2. IN NO EVENT SHALL INTEL HAVE ANY LIABILITY TO LICENSEE, ITS LICENSEES
92 * OR ANY OTHER THIRD PARTY, FOR ANY LOST PROFITS, LOST DATA, LOSS OF USE OR
93 * COSTS OF PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES, OR FOR ANY INDIRECT,
94 * SPECIAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THIS AGREEMENT, UNDER ANY
95 * CAUSE OF ACTION OR THEORY OF LIABILITY, AND IRRESPECTIVE OF WHETHER INTEL
96 * HAS ADVANCE NOTICE OF THE POSSIBILITY OF SUCH DAMAGES. THESE LIMITATIONS
97 * SHALL APPLY NOTWITHSTANDING THE FAILURE OF THE ESSENTIAL PURPOSE OF ANY
98 * LIMITED REMEDY.
100 * 4.3. Licensee shall not export, either directly or indirectly, any of this
101 * software or system incorporating such software without first obtaining any
102 * required license or other approval from the U. S. Department of Commerce or
103 * any other agency or department of the United States Government. In the
104 * event Licensee exports any such software from the United States or
105 * re-exports any such software from a foreign destination, Licensee shall
106 * ensure that the distribution and export/re-export of the software is in
107 * compliance with all laws, regulations, orders, or other restrictions of the
108 * U.S. Export Administration Regulations. Licensee agrees that neither it nor
109 * any of its subsidiaries will export/re-export any technical data, process,
110 * software, or service, directly or indirectly, to any country for which the
111 * United States government or any agency thereof requires an export license,
112 * other governmental approval, or letter of assurance, without first obtaining
113 * such license, approval or letter.
115 *****************************************************************************
117 * Alternatively, you may choose to be licensed under the terms of the
118 * following license:
120 * Redistribution and use in source and binary forms, with or without
121 * modification, are permitted provided that the following conditions
122 * are met:
123 * 1. Redistributions of source code must retain the above copyright
124 * notice, this list of conditions, and the following disclaimer,
125 * without modification.
126 * 2. Redistributions in binary form must reproduce at minimum a disclaimer
127 * substantially similar to the "NO WARRANTY" disclaimer below
128 * ("Disclaimer") and any redistribution must be conditioned upon
129 * including a substantially similar Disclaimer requirement for further
130 * binary redistribution.
131 * 3. Neither the names of the above-listed copyright holders nor the names
132 * of any contributors may be used to endorse or promote products derived
133 * from this software without specific prior written permission.
135 * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
136 * "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
137 * LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
138 * A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT
139 * OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
140 * SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT
141 * LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
142 * DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY
143 * THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
144 * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
145 * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
147 * Alternatively, you may choose to be licensed under the terms of the
148 * GNU General Public License ("GPL") version 2 as published by the Free
149 * Software Foundation.
151 *****************************************************************************/
153 #include "aslcompiler.h"
154 #include "dtcompiler.h"
156 #define _COMPONENT DT_COMPILER
157 ACPI_MODULE_NAME ("dtparser")
159 void * AslLocalAllocate (unsigned int Size);
161 /* Bison/yacc configuration */
163 #undef alloca
164 #define alloca AslLocalAllocate
166 int DtParserlex (void);
167 int DtParserparse (void);
168 void DtParsererror (char const *msg);
169 extern char *DtParsertext;
170 extern DT_FIELD *Gbl_CurrentField;
172 UINT64 DtParserResult; /* Expression return value */
174 /* Bison/yacc configuration */
176 #define yytname DtParsername
177 #define YYDEBUG 1 /* Enable debug output */
178 #define YYERROR_VERBOSE 1 /* Verbose error messages */
179 #define YYFLAG -32768
181 /* Define YYMALLOC/YYFREE to prevent redefinition errors */
183 #define YYMALLOC malloc
184 #define YYFREE free
187 %union
189 UINT64 value;
190 UINT32 op;
193 /*! [Begin] no source code translation */
195 %type <value> Expression
197 %token <op> EXPOP_EOF
198 %token <op> EXPOP_NEW_LINE
199 %token <op> EXPOP_NUMBER
200 %token <op> EXPOP_HEX_NUMBER
201 %token <op> EXPOP_DECIMAL_NUMBER
202 %token <op> EXPOP_LABEL
203 %token <op> EXPOP_PAREN_OPEN
204 %token <op> EXPOP_PAREN_CLOSE
206 %left <op> EXPOP_LOGICAL_OR
207 %left <op> EXPOP_LOGICAL_AND
208 %left <op> EXPOP_OR
209 %left <op> EXPOP_XOR
210 %left <op> EXPOP_AND
211 %left <op> EXPOP_EQUAL EXPOP_NOT_EQUAL
212 %left <op> EXPOP_GREATER EXPOP_LESS EXPOP_GREATER_EQUAL EXPOP_LESS_EQUAL
213 %left <op> EXPOP_SHIFT_RIGHT EXPOP_SHIFT_LEFT
214 %left <op> EXPOP_ADD EXPOP_SUBTRACT
215 %left <op> EXPOP_MULTIPLY EXPOP_DIVIDE EXPOP_MODULO
216 %right <op> EXPOP_ONES_COMPLIMENT EXPOP_LOGICAL_NOT
221 * Operator precedence rules (from K&R)
223 * 1) ( )
224 * 2) ! ~ (unary operators that are supported here)
225 * 3) * / %
226 * 4) + -
227 * 5) >> <<
228 * 6) < > <= >=
229 * 7) == !=
230 * 8) &
231 * 9) ^
232 * 10) |
233 * 11) &&
234 * 12) ||
236 Value
237 : Expression EXPOP_NEW_LINE { DtParserResult=$1; return 0; } /* End of line (newline) */
238 | Expression EXPOP_EOF { DtParserResult=$1; return 0; } /* End of string (0) */
241 Expression
243 /* Unary operators */
245 : EXPOP_LOGICAL_NOT Expression { $$ = DtDoOperator ($2, EXPOP_LOGICAL_NOT, $2);}
246 | EXPOP_ONES_COMPLIMENT Expression { $$ = DtDoOperator ($2, EXPOP_ONES_COMPLIMENT, $2);}
248 /* Binary operators */
250 | Expression EXPOP_MULTIPLY Expression { $$ = DtDoOperator ($1, EXPOP_MULTIPLY, $3);}
251 | Expression EXPOP_DIVIDE Expression { $$ = DtDoOperator ($1, EXPOP_DIVIDE, $3);}
252 | Expression EXPOP_MODULO Expression { $$ = DtDoOperator ($1, EXPOP_MODULO, $3);}
253 | Expression EXPOP_ADD Expression { $$ = DtDoOperator ($1, EXPOP_ADD, $3);}
254 | Expression EXPOP_SUBTRACT Expression { $$ = DtDoOperator ($1, EXPOP_SUBTRACT, $3);}
255 | Expression EXPOP_SHIFT_RIGHT Expression { $$ = DtDoOperator ($1, EXPOP_SHIFT_RIGHT, $3);}
256 | Expression EXPOP_SHIFT_LEFT Expression { $$ = DtDoOperator ($1, EXPOP_SHIFT_LEFT, $3);}
257 | Expression EXPOP_GREATER Expression { $$ = DtDoOperator ($1, EXPOP_GREATER, $3);}
258 | Expression EXPOP_LESS Expression { $$ = DtDoOperator ($1, EXPOP_LESS, $3);}
259 | Expression EXPOP_GREATER_EQUAL Expression { $$ = DtDoOperator ($1, EXPOP_GREATER_EQUAL, $3);}
260 | Expression EXPOP_LESS_EQUAL Expression { $$ = DtDoOperator ($1, EXPOP_LESS_EQUAL, $3);}
261 | Expression EXPOP_EQUAL Expression { $$ = DtDoOperator ($1, EXPOP_EQUAL, $3);}
262 | Expression EXPOP_NOT_EQUAL Expression { $$ = DtDoOperator ($1, EXPOP_NOT_EQUAL, $3);}
263 | Expression EXPOP_AND Expression { $$ = DtDoOperator ($1, EXPOP_AND, $3);}
264 | Expression EXPOP_XOR Expression { $$ = DtDoOperator ($1, EXPOP_XOR, $3);}
265 | Expression EXPOP_OR Expression { $$ = DtDoOperator ($1, EXPOP_OR, $3);}
266 | Expression EXPOP_LOGICAL_AND Expression { $$ = DtDoOperator ($1, EXPOP_LOGICAL_AND, $3);}
267 | Expression EXPOP_LOGICAL_OR Expression { $$ = DtDoOperator ($1, EXPOP_LOGICAL_OR, $3);}
269 /* Parentheses: '(' Expression ')' */
271 | EXPOP_PAREN_OPEN Expression
272 EXPOP_PAREN_CLOSE { $$ = $2;}
274 /* Label references (prefixed with $) */
276 | EXPOP_LABEL { $$ = DtResolveLabel (DtParsertext);}
279 * All constants for the data table compiler are in hex, whether a (optional) 0x
280 * prefix is present or not. For example, these two input strings are equivalent:
281 * 1234
282 * 0x1234
285 /* Non-prefixed hex number */
287 | EXPOP_NUMBER { $$ = DtDoConstant (DtParsertext);}
289 /* Standard hex number (0x1234) */
291 | EXPOP_HEX_NUMBER { $$ = DtDoConstant (DtParsertext);}
293 /* Possible TBD: Decimal number with prefix (0d1234) - Not supported this time */
295 | EXPOP_DECIMAL_NUMBER { $$ = DtDoConstant (DtParsertext);}
299 /*! [End] no source code translation !*/
302 * Local support functions, including parser entry point
304 #define PR_FIRST_PARSE_OPCODE EXPOP_EOF
305 #define PR_YYTNAME_START 3
308 /******************************************************************************
310 * FUNCTION: DtParsererror
312 * PARAMETERS: Message - Parser-generated error message
314 * RETURN: None
316 * DESCRIPTION: Handler for parser errors
318 *****************************************************************************/
320 void
321 DtParsererror (
322 char const *Message)
324 DtError (ASL_ERROR, ASL_MSG_SYNTAX,
325 Gbl_CurrentField, (char *) Message);
329 /******************************************************************************
331 * FUNCTION: DtGetOpName
333 * PARAMETERS: ParseOpcode - Parser token (EXPOP_*)
335 * RETURN: Pointer to the opcode name
337 * DESCRIPTION: Get the ascii name of the parse opcode for debug output
339 *****************************************************************************/
341 char *
342 DtGetOpName (
343 UINT32 ParseOpcode)
345 #ifdef ASL_YYTNAME_START
347 * First entries (PR_YYTNAME_START) in yytname are special reserved names.
348 * Ignore first 6 characters of name (EXPOP_)
350 return ((char *) yytname
351 [(ParseOpcode - PR_FIRST_PARSE_OPCODE) + PR_YYTNAME_START] + 6);
352 #else
353 return ("[Unknown parser generator]");
354 #endif
358 /******************************************************************************
360 * FUNCTION: DtEvaluateExpression
362 * PARAMETERS: ExprString - Expression to be evaluated. Must be
363 * terminated by either a newline or a NUL
364 * string terminator
366 * RETURN: 64-bit value for the expression
368 * DESCRIPTION: Main entry point for the DT expression parser
370 *****************************************************************************/
372 UINT64
373 DtEvaluateExpression (
374 char *ExprString)
377 DbgPrint (ASL_DEBUG_OUTPUT,
378 "**** Input expression: %s (Base 16)\n", ExprString);
380 /* Point lexer to the input string */
382 if (DtInitLexer (ExprString))
384 DtError (ASL_ERROR, ASL_MSG_COMPILER_INTERNAL,
385 Gbl_CurrentField, "Could not initialize lexer");
386 return (0);
389 /* Parse/Evaluate the input string (value returned in DtParserResult) */
391 DtParserparse ();
392 DtTerminateLexer ();
394 DbgPrint (ASL_DEBUG_OUTPUT,
395 "**** Parser returned value: %u (%8.8X%8.8X)\n",
396 (UINT32) DtParserResult, ACPI_FORMAT_UINT64 (DtParserResult));
398 return (DtParserResult);