module: rename module_load_one to module_load
commitdbc0e80553c067f56cb236d9de2cd0d50f3c6131
authorClaudio Fontana <cfontana@suse.de>
Thu, 29 Sep 2022 09:30:32 +0000 (29 11:30 +0200)
committerPaolo Bonzini <pbonzini@redhat.com>
Sun, 6 Nov 2022 08:48:50 +0000 (6 09:48 +0100)
tree623881e9b55c5d6de34f5b9f497e6e964086a465
parent2106106d80489fb9b10cd3ccfaec811988e797cb
module: rename module_load_one to module_load

Signed-off-by: Claudio Fontana <cfontana@suse.de>
Reviewed-by: Philippe Mathieu-Daudé <f4bug@amsat.org>
Reviewed-by: Richard Henderson <richard.henderson@linaro.org>
Message-Id: <20220929093035.4231-3-cfontana@suse.de>
Signed-off-by: Paolo Bonzini <pbonzini@redhat.com>
audio/audio.c
block.c
block/dmg.c
hw/core/qdev.c
include/qemu/module.h
qom/object.c
softmmu/qtest.c
ui/console.c
util/module.c