Add a working copy of the USART library.
commit7559fbc3a1f85368e159460327add004fcb161b8
authorsyso <syso@altix.(none)>
Wed, 3 Mar 2010 02:36:30 +0000 (3 03:36 +0100)
committersyso <syso@altix.(none)>
Wed, 3 Mar 2010 02:36:30 +0000 (3 03:36 +0100)
treef1e83a155eca61474e4733df95abcbb03760c4a5
parentca97935e107d3e11304a7cf21622654f8c055427
Add a working copy of the USART library.

TODO: Fix MIDI baud rate (31250 Baud).
src/lib/usart.c [new file with mode: 0644]
src/lib/usart.h [new file with mode: 0644]