Merge branch '4087_verilog_syntax_highlighting'
commit8d4009134460e7eb3644c06f98649404699134f2
authorAndrew Borodin <aborodin@vmail.ru>
Sat, 6 Jun 2020 16:45:21 +0000 (6 19:45 +0300)
committerAndrew Borodin <aborodin@vmail.ru>
Sat, 6 Jun 2020 16:45:21 +0000 (6 19:45 +0300)
tree97e373fda6ead71dfbb076af536d93d4378268fe
parente132d71777667b8a68b465f63c16cf1c748b3bbb
parentf32614ae7ffef91d95653c7c9c5530773290aa4e
Merge branch '4087_verilog_syntax_highlighting'

* 4087_verilog_syntax_highlighting:
  verilog syntax: clarify that also refers to systemverilog,
  verilog syntax: added some missing operators
  verilog syntax: reorganized and added missing compiler directives
  verilog syntax: reorganized and added missing system tasks and system functions
  verilog syntax: added IEEE1800-2009 and 2012 missing keywords
  verilog syntax: added IEEE1800-2005 missing keywords
  verilog syntax: added IEEE1364-2005 missing keywords
  verilog syntax: added IEEE1364-2001 missing keywords
  verilog syntax: added missing ieee1364-1995 keywords
  Ticket #4087: verilog syntax: removing keyboards attribute, endattribute, strength