checkpatch: structure member assignments are not complex