initial commit with v2.6.9
[linux-2.6.9-moxart.git] / arch / h8300 / platform / h8300h / h8max / ram.ld
blobb6bb110231ff2e148faf84138b1b5ba8f51edf59
1 /* H8MAX RAM */
3 OUTPUT_ARCH(h8300h)
4 ENTRY("__start")
6 MEMORY 
7         {
8         ram    : ORIGIN = 0x400000, LENGTH = 0x600000-0x400000
9         eram   : ORIGIN = 0x600000, LENGTH = 0
10         iram   : ORIGIN = 0xfffd20, LENGTH = 0x100
11         }