From 33b7a382ea9ddaa09de65697897e3a2faef423eb Mon Sep 17 00:00:00 2001 From: "Mikhail S. Pobolovets" Date: Fri, 5 Mar 2010 18:07:07 +0200 Subject: [PATCH] Syntax files for case insensitive languages has been updated * 'caseinsensitive' option is on * redunt rules are removed Signed-off-by: Mikhail S. Pobolovets --- misc/syntax/ada95.syntax | 2 + misc/syntax/assembler.syntax | 2 + misc/syntax/dos.syntax | 186 +++++++---------- misc/syntax/f90.syntax | 2 + misc/syntax/fortran.syntax | 2 + misc/syntax/html.syntax | 8 +- misc/syntax/pascal.syntax | 486 +++++++++++++------------------------------ misc/syntax/sql.syntax | 290 +------------------------- misc/syntax/vhdl.syntax | 2 + 9 files changed, 236 insertions(+), 744 deletions(-) rewrite misc/syntax/dos.syntax (92%) rewrite misc/syntax/pascal.syntax (62%) diff --git a/misc/syntax/ada95.syntax b/misc/syntax/ada95.syntax index 93682faf..764d5804 100644 --- a/misc/syntax/ada95.syntax +++ b/misc/syntax/ada95.syntax @@ -1,5 +1,7 @@ # Scott Billings +caseinsensitive + context default keyword whole abs yellow keyword whole delta yellow diff --git a/misc/syntax/assembler.syntax b/misc/syntax/assembler.syntax index 731a4d81..31acc902 100644 --- a/misc/syntax/assembler.syntax +++ b/misc/syntax/assembler.syntax @@ -3,6 +3,8 @@ # // Mike Gorchak # +caseinsensitive + context default lightgray # NASM directives diff --git a/misc/syntax/dos.syntax b/misc/syntax/dos.syntax dissimilarity index 92% index 2e8bc0ea..12c84670 100644 --- a/misc/syntax/dos.syntax +++ b/misc/syntax/dos.syntax @@ -1,112 +1,74 @@ -# DOS & Windows highlighting -# 2002 (C) Petr Kozelka, - -context default - keyword whole break yellow - keyword whole BREAK yellow - keyword whole call yellow - keyword whole CALL yellow - keyword whole cd yellow - keyword whole CD yellow - keyword whole chdir yellow - keyword whole CHDIR yellow - keyword whole cls yellow - keyword whole CLS yellow - keyword whole copy yellow - keyword whole COPY yellow - keyword whole del yellow - keyword whole DEL yellow - keyword whole dir yellow - keyword whole DIR yellow - keyword whole do yellow - keyword whole DO yellow - keyword whole echo yellow - keyword whole ECHO yellow - keyword whole else yellow - keyword whole ELSE yellow - keyword whole erase yellow - keyword whole ERASE yellow - keyword whole endlocal yellow - keyword whole ENDLOCAL yellow - keyword whole errorlevel yellow - keyword whole ERRORLEVEL yellow - keyword whole exist yellow - keyword whole EXIST yellow - keyword whole exit yellow - keyword whole EXIT yellow - keyword whole for yellow - keyword whole FOR yellow - keyword whole goto yellow - keyword whole GOTO yellow - keyword whole if yellow - keyword whole IF yellow - keyword whole in yellow - keyword whole IN yellow - keyword whole md yellow - keyword whole MD yellow - keyword whole mkdir yellow - keyword whole MKDIR yellow - keyword whole move yellow - keyword whole MOVE yellow - keyword whole not yellow - keyword whole NOT yellow - keyword whole off yellow - keyword whole OFF yellow - keyword whole on yellow - keyword whole ON yellow - keyword whole pause yellow - keyword whole PAUSE yellow - keyword whole popd yellow - keyword whole POPD yellow - keyword whole pushd yellow - keyword whole PUSHD yellow - keyword whole rd yellow - keyword whole RD yellow - keyword wholeleft rem\s*\n brown - keyword wholeleft REM\s*\n brown - keyword whole ren yellow - keyword whole REN yellow - keyword whole rename yellow - keyword whole RENAME yellow - keyword whole rmdir yellow - keyword whole RMDIR yellow - keyword whole set yellow - keyword whole SET yellow - keyword whole setlocal yellow - keyword whole SETLOCAL yellow - keyword whole shift yellow - keyword whole SHIFT yellow - keyword whole then yellow - keyword whole THEN yellow - keyword whole type yellow - keyword whole TYPE yellow - keyword whole ver yellow - keyword whole VER yellow - - keyword \\ lightgray - keyword whole \%\* brightmagenta - keyword whole \%\$ brightmagenta - keyword whole \%\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_0123456789\]+\% brightmagenta - keyword whole \%\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_0123456789@~\]+ brightmagenta - keyword >> white - keyword > white - keyword < white - keyword | white - -context ' ' brightcyan - spellcheck - -context " " brightcyan - keyword whole \%\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_0123456789\]+\% brightmagenta - keyword whole \%\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_0123456789@~\]+ brightmagenta - spellcheck - -context linestart :: \n brightgreen - keyword $+:*$ black green - spellcheck - -context linestart : \n white black - spellcheck - -context :: \n brightgreen - spellcheck +# DOS & Windows highlighting +# 2002 (C) Petr Kozelka, + +caseinsensitive + +context default + keyword whole break yellow + keyword whole call yellow + keyword whole cd yellow + keyword whole chdir yellow + keyword whole cls yellow + keyword whole copy yellow + keyword whole del yellow + keyword whole dir yellow + keyword whole do yellow + keyword whole echo yellow + keyword whole else yellow + keyword whole erase yellow + keyword whole endlocal yellow + keyword whole errorlevel yellow + keyword whole exist yellow + keyword whole exit yellow + keyword whole for yellow + keyword whole goto yellow + keyword whole if yellow + keyword whole in yellow + keyword whole md yellow + keyword whole mkdir yellow + keyword whole move yellow + keyword whole not yellow + keyword whole off yellow + keyword whole on yellow + keyword whole pause yellow + keyword whole popd yellow + keyword whole pushd yellow + keyword whole rd yellow + keyword wholeleft rem\s*\n brown + keyword whole ren yellow + keyword whole rename yellow + keyword whole rmdir yellow + keyword whole set yellow + keyword whole setlocal yellow + keyword whole shift yellow + keyword whole then yellow + keyword whole type yellow + keyword whole ver yellow + + keyword \\ lightgray + keyword whole \%\* brightmagenta + keyword whole \%\$ brightmagenta + keyword whole \%\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_0123456789\]+\% brightmagenta + keyword whole \%\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_0123456789@~\]+ brightmagenta + keyword >> white + keyword > white + keyword < white + keyword | white + +context ' ' brightcyan + spellcheck + +context " " brightcyan + keyword whole \%\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_0123456789\]+\% brightmagenta + keyword whole \%\[ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz_0123456789@~\]+ brightmagenta + spellcheck + +context linestart :: \n brightgreen + keyword $+:*$ black green + spellcheck + +context linestart : \n white black + spellcheck + +context :: \n brightgreen + spellcheck diff --git a/misc/syntax/f90.syntax b/misc/syntax/f90.syntax index 4f85a700..f5b80614 100644 --- a/misc/syntax/f90.syntax +++ b/misc/syntax/f90.syntax @@ -13,6 +13,8 @@ # Heavily modified by Paul Sheer . # Adjusted to Fortran 90 by Norbert Nemec . +caseinsensitive + context default # keywords at the beginning of line keyword linestart \{0123456789\}\{0123456789\}\{0123456789\}\{0123456789\}\{0123456789\} brightred diff --git a/misc/syntax/fortran.syntax b/misc/syntax/fortran.syntax index f0f6993e..fe21911f 100644 --- a/misc/syntax/fortran.syntax +++ b/misc/syntax/fortran.syntax @@ -11,6 +11,8 @@ # Heavily modified by Paul Sheer . +caseinsensitive + context default # keywords at the beginning of line keyword linestart ! black yellow diff --git a/misc/syntax/html.syntax b/misc/syntax/html.syntax index c5ac1aa5..4f4fda2f 100644 --- a/misc/syntax/html.syntax +++ b/misc/syntax/html.syntax @@ -5,9 +5,11 @@ # i got upto IMG in the netscape comprehensive tags reference. thereafter will get # done later - paul +caseinsensitive + context default keyword whole &*; brightgreen/16 - spellcheck + spellcheck keyword brightcyan/17 keyword brightcyan/17 @@ -1255,10 +1257,10 @@ context
                  brightcyan/17
     keyword whole lang          yellow/24
 
 context                 brown/22
-	spellcheck
+    spellcheck
 
 context  brightred/orange
-	spellcheck
+    spellcheck
 
 context < >                     cyan/15
 
diff --git a/misc/syntax/pascal.syntax b/misc/syntax/pascal.syntax
dissimilarity index 62%
index 9b051043..322d3aba 100644
--- a/misc/syntax/pascal.syntax
+++ b/misc/syntax/pascal.syntax
@@ -1,343 +1,143 @@
-# Pascal (BP7 IDE alike)
-
-context default yellow
-    keyword whole absolute white
-    keyword whole Absolute white
-    keyword whole ABSOLUTE white
-    keyword whole abstract white
-    keyword whole Abstract white
-    keyword whole ABSTRACT white
-    keyword whole and cyan
-    keyword whole And cyan
-    keyword whole AND cyan
-    keyword whole array white
-    keyword whole Array white
-    keyword whole ARRAY white
-    keyword whole as white
-    keyword whole As white
-    keyword whole AS white
-    keyword whole asm white
-    keyword whole Asm white
-    keyword whole ASM white
-    keyword whole assembler white
-    keyword whole Assembler white
-    keyword whole ASSEMBLER white
-    keyword whole begin white
-    keyword whole Begin white
-    keyword whole BEGIN white
-    keyword whole break white
-    keyword whole Break white
-    keyword whole BREAK white
-    keyword whole case white
-    keyword whole Case white
-    keyword whole CASE white
-    keyword whole cdecl white
-    keyword whole Cdecl white
-    keyword whole CDECL white
-    keyword whole class white
-    keyword whole Class white
-    keyword whole CLASS white
-    keyword whole const white
-    keyword whole Const white
-    keyword whole CONST white
-    keyword whole continue white
-    keyword whole Continue white
-    keyword whole CONTINUE white
-    keyword whole constructor white
-    keyword whole Constructor white
-    keyword whole CONSTRUCTOR white
-    keyword whole destructor white
-    keyword whole Destructor white
-    keyword whole DESTRUCTOR white
-    keyword whole dispid white
-    keyword whole Dispid white
-    keyword whole DISPID white
-    keyword whole dispinterface white
-    keyword whole Dispinterface white
-    keyword whole DISPINTERFACE white
-    keyword whole dispose white
-    keyword whole Dispose white
-    keyword whole DISPOSE white
-    keyword whole div cyan
-    keyword whole Div cyan
-    keyword whole DIV cyan
-    keyword whole do white
-    keyword whole Do white
-    keyword whole DO white
-    keyword whole downto white
-    keyword whole Downto white
-    keyword whole DOWNTO white
-    keyword whole dynamic white
-    keyword whole Dynamic white
-    keyword whole DYNAMIC white
-    keyword whole else white
-    keyword whole Else white
-    keyword whole ELSE white
-    keyword whole end white
-    keyword whole End white
-    keyword whole END white
-    keyword whole except white
-    keyword whole Except white
-    keyword whole EXCEPT white
-    keyword whole exit white
-    keyword whole Exit white
-    keyword whole EXIT white
-    keyword whole export white
-    keyword whole Export white
-    keyword whole EXPORT white
-    keyword whole exports white
-    keyword whole Exports white
-    keyword whole EXPORTS white
-    keyword whole external white
-    keyword whole External white
-    keyword whole EXTERNAL white
-    keyword whole fail white
-    keyword whole Fail white
-    keyword whole FAIL white
-    keyword whole far white
-    keyword whole Far white
-    keyword whole FAR white
-    keyword whole false white
-    keyword whole False white
-    keyword whole FALSE white
-    keyword whole file white
-    keyword whole File white
-    keyword whole FILE white
-    keyword whole finalisation white
-    keyword whole Finalisation white
-    keyword whole FINALISATION white
-    keyword whole finally white
-    keyword whole Finally white
-    keyword whole FINALLY white
-    keyword whole for white
-    keyword whole For white
-    keyword whole FOR white
-    keyword whole forward white
-    keyword whole Forward white
-    keyword whole FORWARD white
-    keyword whole function white
-    keyword whole Function white
-    keyword whole FUNCTION white
-    keyword whole goto white
-    keyword whole Goto white
-    keyword whole GOTO white
-    keyword whole if white
-    keyword whole If white
-    keyword whole IF white
-    keyword whole implementation white
-    keyword whole Implementation white
-    keyword whole IMPLEMENTATION white
-    keyword whole in white
-    keyword whole In white
-    keyword whole IN white
-    keyword whole inherited white
-    keyword whole Inherited white
-    keyword whole INHERITED white
-    keyword whole initialization white
-    keyword whole Initialization white
-    keyword whole INITIALIZATION white
-    keyword whole inline white
-    keyword whole Inline white
-    keyword whole INLINE white
-    keyword whole interface white
-    keyword whole Interface white
-    keyword whole INTERFACE white
-    keyword whole interrupt white
-    keyword whole Interrupt white
-    keyword whole INTERRUPT white
-    keyword whole is white
-    keyword whole Is white
-    keyword whole IS white
-    keyword whole label white
-    keyword whole Label white
-    keyword whole LABEL white
-    keyword whole library white
-    keyword whole Library white
-    keyword whole LIBRARY white
-    keyword whole mod cyan    
-    keyword whole Mod cyan   
-    keyword whole MOD cyan   
-    keyword whole near white
-    keyword whole Near white
-    keyword whole NEAR white
-    keyword whole new white
-    keyword whole New white
-    keyword whole NEW white
-    keyword whole nil white
-    keyword whole Nil white
-    keyword whole NIL white
-    keyword whole not white
-    keyword whole Not white
-    keyword whole NOT white
-    keyword whole object white
-    keyword whole Object white
-    keyword whole OBJECT white
-    keyword whole of white
-    keyword whole Of white
-    keyword whole OF white
-    keyword whole on white
-    keyword whole On white
-    keyword whole ON white
-    keyword whole operator white
-    keyword whole Operator white
-    keyword whole OPERATOR white
-    keyword whole or cyan
-    keyword whole Or cyan
-    keyword whole OR cyan 
-    keyword whole otherwise white
-    keyword whole Otherwise white
-    keyword whole OTHERWISE white
-    keyword whole overload white
-    keyword whole Overload white
-    keyword whole OVERLOAD white
-    keyword whole override white
-    keyword whole Override white
-    keyword whole OVERRIDE white
-    keyword whole packed white
-    keyword whole Packed white
-    keyword whole PACKED white
-    keyword whole pascal white
-    keyword whole Pascal white
-    keyword whole PASCAL white
-    keyword whole private white
-    keyword whole Private white
-    keyword whole PRIVATE white
-    keyword whole procedure white
-    keyword whole Procedure white
-    keyword whole PROCEDURE white
-    keyword whole program white
-    keyword whole Program white
-    keyword whole PROGRAM white
-    keyword whole property white
-    keyword whole Property white
-    keyword whole PROPERTY white
-    keyword whole protected white
-    keyword whole Protected white
-    keyword whole PROTECTED white
-    keyword whole public white
-    keyword whole Public white
-    keyword whole PUBLIC white
-    keyword whole published white
-    keyword whole Published white
-    keyword whole PUBLISHED white
-    keyword whole raise white
-    keyword whole Raise white
-    keyword whole RAISE white
-    keyword whole read white
-    keyword whole Read white
-    keyword whole READ white
-    keyword whole readonly white
-    keyword whole Readonly white
-    keyword whole READONLY white
-    keyword whole record white
-    keyword whole Record white
-    keyword whole RECORD white
-    keyword whole register white
-    keyword whole Register white
-    keyword whole REGISTER white
-    keyword whole repeat white
-    keyword whole Repeat white
-    keyword whole REPEAT white
-    keyword whole safecall white
-    keyword whole Safecall white
-    keyword whole SAFECALL white
-    keyword whole self white
-    keyword whole Self white
-    keyword whole SELF white
-    keyword whole set cyan
-    keyword whole Set cyan
-    keyword whole SET cyan
-    keyword whole shl cyan
-    keyword whole Shl cyan
-    keyword whole SHL cyan
-    keyword whole shr 
-    keyword whole Shr 
-    keyword whole SHR 
-    keyword whole stdcall white
-    keyword whole Stdcall white
-    keyword whole STDCALL white
-    keyword whole string white
-    keyword whole String white
-    keyword whole STRING white
-    keyword whole then white
-    keyword whole Then white
-    keyword whole THEN white
-    keyword whole to white
-    keyword whole To white
-    keyword whole TO white
-    keyword whole true white
-    keyword whole True white
-    keyword whole TRUE white
-    keyword whole try white
-    keyword whole Try white
-    keyword whole TRY white
-    keyword whole type white
-    keyword whole Type white
-    keyword whole TYPE white
-    keyword whole unit white
-    keyword whole Unit white
-    keyword whole UNIT white
-    keyword whole until white
-    keyword whole Until white
-    keyword whole UNTIL white
-    keyword whole uses white
-    keyword whole Uses white
-    keyword whole USES white
-    keyword whole var white
-    keyword whole Var white
-    keyword whole VAR white
-    keyword whole virtual white
-    keyword whole Virtual white
-    keyword whole VIRTUAL white
-    keyword whole while white
-    keyword whole While white
-    keyword whole WHILE white
-    keyword whole with white
-    keyword whole With white
-    keyword whole WITH white
-    keyword whole write white
-    keyword whole Write white
-    keyword whole WRITE white
-    keyword whole writeln white
-    keyword whole Writeln white
-    keyword whole WRITELN white
-    keyword whole xor cyan
-    keyword whole Xor cyan
-    keyword whole XOR cyan
-    keyword whole .. white
-    
-    keyword // brightgreen
-
-    keyword > cyan
-    keyword < cyan
-    keyword \+ cyan
-    keyword - cyan
-    keyword / cyan
-    keyword % lightgray
-    keyword = cyan
-    keyword [ lightgray
-    keyword ] lightgray
-    keyword ( lightgray
-    keyword ) lightgray
-    keyword , lightgray
-    keyword . lightgray
-    keyword : lightgray
-    keyword ; lightgray
-#    keyword {$*} brightred
-
-context ' ' brightcyan
-context exclusive // \n brightgreen
-	keyword $+:*$ black green
-context exclusive (\*\* \*) brightgreen black
-	keyword $+:*$ black green
-context exclusive (\* \*) brightgreen
-	keyword $+:*$ black green
-context {$ } green
-context { } brightgreen
-#    keyword \[ABCDEFGHIJKLMNOPQRSTUVWXYZ\]\[-\+\] brightgreen
-#    keyword $* green
-	keyword $+:*$ black green
-    spellcheck
-
-
-
+# Pascal (BP7 IDE alike)
+
+caseinsensitive
+
+context default yellow
+    keyword whole absolute white
+    keyword whole abstract white
+    keyword whole and cyan
+    keyword whole array white
+    keyword whole as white
+    keyword whole asm white
+    keyword whole assembler white
+    keyword whole begin white
+    keyword whole break white
+    keyword whole case white
+    keyword whole cdecl white
+    keyword whole class white
+    keyword whole const white
+    keyword whole continue white
+    keyword whole constructor white
+    keyword whole destructor white
+    keyword whole dispid white
+    keyword whole dispinterface white
+    keyword whole dispose white
+    keyword whole div cyan
+    keyword whole do white
+    keyword whole downto white
+    keyword whole dynamic white
+    keyword whole else white
+    keyword whole end white
+    keyword whole except white
+    keyword whole exit white
+    keyword whole export white
+    keyword whole exports white
+    keyword whole external white
+    keyword whole fail white
+    keyword whole far white
+    keyword whole false white
+    keyword whole file white
+    keyword whole finalisation white
+    keyword whole finally white
+    keyword whole for white
+    keyword whole forward white
+    keyword whole function white
+    keyword whole goto white
+    keyword whole if white
+    keyword whole implementation white
+    keyword whole in white
+    keyword whole inherited white
+    keyword whole initialization white
+    keyword whole inline white
+    keyword whole interface white
+    keyword whole interrupt white
+    keyword whole is white
+    keyword whole label white
+    keyword whole library white
+    keyword whole mod cyan
+    keyword whole near white
+    keyword whole new white
+    keyword whole nil white
+    keyword whole not white
+    keyword whole object white
+    keyword whole of white
+    keyword whole on white
+    keyword whole operator white
+    keyword whole or cyan
+    keyword whole otherwise white
+    keyword whole overload white
+    keyword whole override white
+    keyword whole packed white
+    keyword whole pascal white
+    keyword whole private white
+    keyword whole procedure white
+    keyword whole program white
+    keyword whole property white
+    keyword whole protected white
+    keyword whole public white
+    keyword whole published white
+    keyword whole raise white
+    keyword whole read white
+    keyword whole readonly white
+    keyword whole record white
+    keyword whole register white
+    keyword whole repeat white
+    keyword whole safecall white
+    keyword whole self white
+    keyword whole set cyan
+    keyword whole shl cyan
+    keyword whole shr cyan
+    keyword whole stdcall white
+    keyword whole string white
+    keyword whole then white
+    keyword whole to white
+    keyword whole true white
+    keyword whole try white
+    keyword whole type white
+    keyword whole unit white
+    keyword whole until white
+    keyword whole uses white
+    keyword whole var white
+    keyword whole virtual white
+    keyword whole while white
+    keyword whole with white
+    keyword whole write white
+    keyword whole writeln white
+    keyword whole xor cyan
+    keyword whole .. white
+
+    keyword // brightgreen
+
+    keyword > cyan
+    keyword < cyan
+    keyword \+ cyan
+    keyword - cyan
+    keyword / cyan
+    keyword % lightgray
+    keyword = cyan
+    keyword [ lightgray
+    keyword ] lightgray
+    keyword ( lightgray
+    keyword ) lightgray
+    keyword , lightgray
+    keyword . lightgray
+    keyword : lightgray
+    keyword ; lightgray
+#    keyword {$*} brightred
+
+context ' ' brightcyan
+context exclusive // \n brightgreen
+    keyword $+:*$ black green
+context exclusive (\*\* \*) brightgreen black
+    keyword $+:*$ black green
+context exclusive (\* \*) brightgreen
+    keyword $+:*$ black green
+context {$ } green
+context { } brightgreen
+#    keyword \[ABCDEFGHIJKLMNOPQRSTUVWXYZ\]\[-\+\] brightgreen
+#    keyword $* green
+    keyword $+:*$ black green
+    spellcheck
+
+
+
diff --git a/misc/syntax/sql.syntax b/misc/syntax/sql.syntax
index 74578b1e..51c6bfef 100644
--- a/misc/syntax/sql.syntax
+++ b/misc/syntax/sql.syntax
@@ -1,272 +1,9 @@
 # SQL syntax highlighting for CoolEdit
 # by Walery Studennikov 
 
-context default 
-    keyword whole ABORT yellow
-    keyword whole ABSOLUTE yellow
-    keyword whole ACTION yellow
-    keyword whole ADA yellow
-    keyword whole ADD yellow
-    keyword whole ALL yellow
-    keyword whole ALLOCATE yellow
-    keyword whole ALTER yellow
-    keyword whole AND yellow
-    keyword whole ANY yellow
-    keyword whole ARE yellow
-    keyword whole AS yellow
-    keyword whole ASC yellow
-    keyword whole ASSERTION yellow
-    keyword whole AT yellow
-    keyword whole AUTHORIZATION yellow
-    keyword whole AUTO_INCREMENT yellow
-    keyword whole BEGIN yellow
-    keyword whole BETWEEN yellow
-    keyword whole BIGINT yellow
-    keyword whole BIT yellow
-    keyword whole BIT_LENGTH yellow
-    keyword whole BLOB yellow
-    keyword whole BOTH yellow
-    keyword whole BY yellow
-    keyword whole CASCADE yellow
-    keyword whole CASCADED yellow
-    keyword whole CASE yellow
-    keyword whole CAST yellow
-    keyword whole CATALOG yellow
-    keyword whole CHAR yellow
-    keyword whole CHARACTER yellow
-    keyword whole CHARACTER_LENGTH yellow
-    keyword whole CHAR_LENGTH yellow
-    keyword whole CHECK yellow
-    keyword whole CLOSE yellow
-    keyword whole COALESCE yellow
-    keyword whole COLLATE yellow
-    keyword whole COLLATION yellow
-    keyword whole COLUMN yellow
-    keyword whole COMMIT yellow
-    keyword whole COMPILE yellow
-    keyword whole CONNECT yellow
-    keyword whole CONNECTION yellow
-    keyword whole CONSTRAINT yellow
-    keyword whole CONSTRAINTS yellow
-    keyword whole CONTINUE yellow
-    keyword whole COPY yellow
-    keyword whole CORRESPONDING yellow
-    keyword whole CREATE yellow
-    keyword whole CROSS yellow
-    keyword whole CURRENT yellow
-    keyword whole CURRENT_DATE yellow
-    keyword whole CURRENT_TIME yellow
-    keyword whole CURRENT_TIMESTAMP yellow
-    keyword whole CURRENT_USER yellow
-    keyword whole CURSOR yellow
-    keyword whole Constraint yellow
-    keyword whole DATABASE yellow
-    keyword whole DATE yellow
-    keyword whole DATETIME yellow
-    keyword whole DAY yellow
-    keyword whole DEALLOCATE yellow
-    keyword whole DEC yellow
-    keyword whole DECIMAL yellow
-    keyword whole DECLARE yellow
-    keyword whole DEFAULT yellow
-    keyword whole DEFERRABLE yellow
-    keyword whole DEFERRED yellow
-    keyword whole DELETE yellow
-    keyword whole DESC yellow
-    keyword whole DESCRIBE yellow
-    keyword whole DESCRIPTOR yellow
-    keyword whole DIAGNOSTICS yellow
-    keyword whole DISCONNECT yellow
-    keyword whole DISTINCT yellow
-    keyword whole DOMAIN yellow
-    keyword whole DOUBLE yellow
-    keyword whole DROP yellow
-    keyword whole ELSE yellow
-    keyword whole ENCODING yellow
-    keyword whole END yellow
-    keyword whole END-EXEC yellow
-    keyword whole ENUM yellow
-    keyword whole ESCAPE yellow
-    keyword whole EXCEPT yellow
-    keyword whole EXCEPTION yellow
-    keyword whole EXEC yellow
-    keyword whole EXECUTE yellow
-    keyword whole EXISTS yellow
-    keyword whole EXTERNAL yellow
-    keyword whole EXTRACT yellow
-    keyword whole FALSE yellow
-    keyword whole FETCH yellow
-    keyword whole FIRST yellow
-    keyword whole FLOAT yellow
-    keyword whole FOR yellow
-    keyword whole FOREIGN yellow
-    keyword whole FORTRAN yellow
-    keyword whole FOUND yellow
-    keyword whole FROM yellow
-    keyword whole FULL yellow
-    keyword whole GET yellow
-    keyword whole GLOBAL yellow
-    keyword whole GO yellow
-    keyword whole GOTO yellow
-    keyword whole GRANT yellow
-    keyword whole GROUP yellow
-    keyword whole HAVING yellow
-    keyword whole HOUR yellow
-    keyword whole IDENTITY yellow
-    keyword whole IF yellow
-    keyword whole IMMEDIATE yellow
-    keyword whole IN yellow
-    keyword whole INCLUDE yellow
-    keyword whole INDEX yellow
-    keyword whole INDICATOR yellow
-    keyword whole INITIALLY yellow
-    keyword whole INNER yellow
-    keyword whole INPUT yellow
-    keyword whole INSENSITIVE yellow
-    keyword whole INSERT yellow
-    keyword whole INT yellow
-    keyword whole INTEGER yellow
-    keyword whole INTERSECT yellow
-    keyword whole INTERVAL yellow
-    keyword whole INTO yellow
-    keyword whole IS yellow
-    keyword whole ISOLATION yellow
-    keyword whole JOIN yellow
-    keyword whole KEY yellow
-    keyword whole Key yellow
-    keyword whole LANGUAGE yellow
-    keyword whole LAST yellow
-    keyword whole LEADING yellow
-    keyword whole MERGE yellow
-    keyword whole MINUS yellow
-    keyword whole LEFT yellow
-    keyword whole LEVEL yellow
-    keyword whole LIKE yellow
-    keyword whole LOCAL yellow
-    keyword whole LOCK yellow
-    keyword whole LONGBLOB yellow
-    keyword whole LONGTEXT yellow
-    keyword whole LOOP yellow
-    keyword whole MATCH yellow
-    keyword whole MEDIUMBLOB yellow
-    keyword whole MEDIUMINT yellow
-    keyword whole MEDIUMTEXT yellow
-    keyword whole MINUTE yellow
-    keyword whole MODULE yellow
-    keyword whole MONTH yellow
-    keyword whole NAMES yellow
-    keyword whole NATIONAL yellow
-    keyword whole NATURAL yellow
-    keyword whole NCHAR yellow
-    keyword whole NEXT yellow
-    keyword whole NO yellow
-    keyword whole NONE yellow
-    keyword whole NOT yellow
-    keyword whole NULL yellow
-    keyword whole NULLIF yellow
-    keyword whole NUMERIC yellow
-    keyword whole OCTET_LENGTH yellow
-    keyword whole OF yellow
-    keyword whole OFFLINE yellow
-    keyword whole ON yellow
-    keyword whole ONLINE yellow
-    keyword whole ONLY yellow
-    keyword whole OPEN yellow
-    keyword whole OPTION yellow
-    keyword whole OR yellow
-    keyword whole ORDER yellow
-    keyword whole OUTER yellow
-    keyword whole OUTPUT yellow
-    keyword whole OVERLAPS yellow
-    keyword whole PAD yellow
-    keyword whole PARTIAL yellow
-    keyword whole PASCAL yellow
-    keyword whole POSITION yellow
-    keyword whole PRECISION yellow
-    keyword whole PREPARE yellow
-    keyword whole PRESERVE yellow
-    keyword whole PRIMARY yellow
-    keyword whole PRIOR yellow
-    keyword whole PRIVILEGES yellow
-    keyword whole PROCEDURE yellow
-    keyword whole PUBLIC yellow
-    keyword whole Primary yellow
-    keyword whole READ yellow
-    keyword whole REAL yellow
-    keyword whole REBUILD yellow
-    keyword whole REFERENCES yellow
-    keyword whole RELATIVE yellow
-    keyword whole REPLACE yellow
-    keyword whole RESTRICT yellow
-    keyword whole REVOKE yellow
-    keyword whole RIGHT yellow
-    keyword whole ROLLBACK yellow
-    keyword whole ROWS yellow
-    keyword whole SCHEMA yellow
-    keyword whole SCROLL yellow
-    keyword whole SECOND yellow
-    keyword whole SECTION yellow
-    keyword whole SELECT yellow
-    keyword whole SEQUENCE yellow
-    keyword whole SESSION yellow
-    keyword whole SESSION_USER yellow
-    keyword whole SET yellow
-    keyword whole SIZE yellow
-    keyword whole SMALLINT yellow
-    keyword whole SOME yellow
-    keyword whole SPACE yellow
-    keyword whole SQL yellow
-    keyword whole SQLCA yellow
-    keyword whole SQLSTATE yellow
-    keyword whole SQLWARNING yellow
-    keyword whole SUBSTRING yellow
-    keyword whole SYSTEM_USER yellow
-    keyword whole TRUNCATE yellow
-    keyword whole TABLE yellow
-    keyword whole TABLESPACE yellow
-    keyword whole TEMPLATE yellow
-    keyword whole TEMPORARY yellow
-    keyword whole TEXT yellow
-    keyword whole THEN yellow
-    keyword whole TIME yellow
-    keyword whole TIMESTAMP yellow
-    keyword whole TIMEZONE_HOUR yellow
-    keyword whole TIMEZONE_MINUTE yellow
-    keyword whole TINYBLOB yellow
-    keyword whole TINYINT yellow
-    keyword whole TINYTEXT yellow
-    keyword whole TO yellow
-    keyword whole TRAILING yellow
-    keyword whole TRANSACTION yellow
-    keyword whole TRANSLATION yellow
-    keyword whole TRIGGER yellow
-    keyword whole TRIM yellow
-    keyword whole TRUE yellow
-    keyword whole TYPE yellow
-    keyword whole UNION yellow
-    keyword whole UNIQUE yellow
-    keyword whole UNKNOWN yellow
-    keyword whole UNSIGNED yellow
-    keyword whole UPDATE yellow
-    keyword whole USAGE yellow
-    keyword whole USE yellow
-    keyword whole USER yellow
-    keyword whole USING yellow
-    keyword whole VALUE yellow
-    keyword whole VALUES yellow
-    keyword whole VARCHAR yellow
-    keyword whole VARYING yellow
-    keyword whole VIEW yellow
-    keyword whole WHEN yellow
-    keyword whole WHENEVER yellow
-    keyword whole WHERE yellow
-    keyword whole WHILE yellow
-    keyword whole WITH yellow
-    keyword whole WORK yellow
-    keyword whole WRITE yellow
-    keyword whole YEAR yellow
-    keyword whole ZONE yellow
-											    
+caseinsensitive
+
+context default
     keyword whole abort yellow
     keyword whole absolute yellow
     keyword whole action yellow
@@ -551,18 +288,6 @@ context default
     keyword . white
 
 # PostgreSQL specific
-    keyword AGGREGATE yellow
-    keyword CHECKPOINT yellow
-    keyword CLUSTER yellow
-    keyword CONVERSION yellow
-    keyword LISTEN yellow
-    keyword NOTIFY yellow
-    keyword OPERATOR yellow
-    keyword REINDEX yellow
-    keyword SAVEPOINT yellow
-    keyword UNLISTEN yellow
-    keyword VACUUM yellow
-
     keyword aggregate yellow
     keyword checkpoint yellow
     keyword cluster yellow
@@ -575,13 +300,6 @@ context default
     keyword unlisten yellow
     keyword vacuum yellow
 
-    keyword CREATEDB white
-    keyword CREATEUSER white
-    keyword NOCREATEDB white
-    keyword NOCREATEUSER white
-    keyword PASSWORD white
-    keyword SYSID white
-
     keyword createdb white
     keyword createuser white
     keyword nocreatedb white
@@ -597,7 +315,7 @@ context default
     keyword setval white
     keyword stdin white
 
-# PostgreSQL sequences 
+# PostgreSQL sequences
     keyword cache white
     keyword increment white
     keyword maxvalue white
diff --git a/misc/syntax/vhdl.syntax b/misc/syntax/vhdl.syntax
index 29e56b68..7f649e0f 100644
--- a/misc/syntax/vhdl.syntax
+++ b/misc/syntax/vhdl.syntax
@@ -3,6 +3,8 @@
 # missing
 # literal, on,  (something else)
 
+caseinsensitive
+
 context default
 
 #wholechars abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ_
-- 
2.11.4.GIT