1 2015-02-21 Wilson Snyder <wsnyder@wsnyder.org>
3 Sync with upstream verilog-mode revision 0d6420b.
4 * progmodes/verilog-mode.el (verilog-mode-version): Update.
5 (vector-skip-list): Remove.
6 (verilog-auto-inst-port, verilog-auto-inst-port-list)
7 (verilog-auto-inst, verilog-auto-inst-param):
8 Use arguments rather than vector-skip.
9 (verilog-auto-inst-port): Fix AUTOINST interfaces to not show
10 modport if signal attachment is itself a modport. Reported by
13 2015-02-21 Reto Zimmermann <reto@gnu.org>
15 Sync with upstream vhdl mode v3.37.1. Add VHDL'08 support.
16 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp)
17 (vhdl-doc-release-notes): Update.
18 (vhdl-standard): Add VHDL'08 option.
19 (vhdl-sensitivity-list-all): New option.
20 (vhdl-directive-keywords): Add psl.
21 (vhdl-offsets-alist-default, vhdl-mode-abbrev-table-init)
22 (vhdl-template-construct-alist-init, vhdl-create-mode-menu):
23 (vhdl-imenu-generic-expression): Add context, directive.
24 (vhdl-offsets-alist, vhdl-mode, vhdl-doc-keywords): Doc fixes.
25 (vhdl-template-map-init): Add vhdl-template-context.
26 (vhdl-mode-syntax-table): Support VHDL'08 block comments.
27 (vhdl-create-mode-menu): Add some entries.
28 (vhdl-08-keywords, vhdl-08-types, vhdl-08-attributes)
29 (vhdl-08-functions, vhdl-08-packages, vhdl-08-directives):
31 (vhdl-directives): New variable.
32 (vhdl-words-init, vhdl-template-process)
33 (vhdl-template-replace-header-keywords): Support VHDL'08.
34 (vhdl-abbrev-list-init): Add vhdl-directives.
35 (vhdl-in-comment-p, vhdl-in-literal, vhdl-win-il)
36 (vhdl-forward-syntactic-ws, vhdl-get-syntactic-context)
37 (vhdl-lineup-comment): Handle block comments and directives.
38 (vhdl-beginning-of-directive, vhdl-template-context)
39 (vhdl-template-context-hook): New functions.
40 (vhdl-libunit-re, vhdl-defun-re, vhdl-begin-p)
41 (vhdl-corresponding-begin, vhdl-get-library-unit, vhdl-regress-line)
42 (vhdl-align-declarations, vhdl-beginning-of-block, vhdl-end-of-block)
43 (vhdl-font-lock-keywords-2, vhdl-get-end-of-unit)
44 (vhdl-scan-context-clause): Add context.
46 2015-02-20 Glenn Morris <rgm@gnu.org>
48 * calendar/solar.el (solar-sunrise-sunset-string):
49 Shorten message a little.
50 (sunrise-sunset): Use message rather than a window. (Bug#19859)
52 * progmodes/f90.el (f90-keywords-re, f90-procedures-re)
53 (f90-font-lock-keywords-2): Some F2008 additions.
55 2015-02-19 Dima Kogan <dima@secretsauce.net>
57 * autorevert.el (auto-revert-buffers-counter)
58 (auto-revert-buffers-counter-lockedout): New variables.
59 (auto-revert-buffers): Increase `auto-revert-buffers-counter'.
60 (auto-revert-notify-handler): Apply `auto-revert-handler' if not
61 suppressed by lockout. (Bug#18958)
63 2015-02-19 Stefan Monnier <monnier@iro.umontreal.ca>
65 * emacs-lisp/eieio-opt.el (eieio-help-class): `eieio-class-parents'
66 returns classes, not class names (bug#19891).
68 * emacs-lisp/cl-macs.el (cl-struct-slot-value): Handle a nil type.
70 * emacs-lisp/smie.el (smie-prec2->grammar): Fix corner case problem.
72 2015-02-18 Kelly Dean <kelly@prtime.org>
74 * register.el (jump-to-register):
75 * emacs-lisp/lisp.el (check-parens):
76 Push mark before goto-char so user doesn't lose his previous place.
78 2015-02-18 Kelly Dean <kelly@prtime.org>
80 * rect.el (rectangle-mark-mode):
81 Suppress superfluous "Mark set" message from push-mark.
83 2015-02-18 Kelly Dean <kelly@prtime.org>
85 * help-mode.el (help-go-back, help-go-forward, help-follow):
86 * simple.el (yank-pop, pop-to-mark-command, exchange-point-and-mark):
87 * winner.el (winner-redo):
88 * windmove.el (windmove-do-window-select):
89 * register.el (jump-to-register, increment-register, insert-register)
90 (append-to-register, prepend-to-register):
91 * files.el (find-alternate-file, abort-if-file-too-large, write-file)
92 (set-visited-file-name):
93 * emacs-lisp/lisp.el (kill-backward-up-list):
94 Use user-error instead of error. (Bug#14480)
96 2015-02-18 Stefan Monnier <monnier@iro.umontreal.ca>
98 * emacs-lisp/checkdoc.el (checkdoc-show-diagnostics): Don't make bogus
99 assumptions about window ordering.
101 2015-02-16 Kelly Dean <kelly@prtime.org>
103 * files.el (insert-file-contents-literally): Fix docstring typo.
105 2015-02-16 Kelly Dean <kelly@prtime.org>
107 * emacs-lisp/easy-mmode.el (define-minor-mode): Process macro
108 arguments correctly. (Bug#19685)
109 (define-minor-mode): Clarify docstring.
110 Clarify mode switch messages for minor modes. (Bug#19690)
112 2015-02-16 Kelly Dean <kelly@prtime.org>
114 * emacs-lisp/package-x.el (package-upload-buffer-internal):
115 Create valid tar files. (Bug#19536)
117 2015-02-16 Kelly Dean <kelly@prtime.org>
119 * desktop.el (desktop-read): Conditionally re-enable desktop autosave.
122 2015-02-16 Kelly Dean <kelly@prtime.org>
124 * help-mode.el (help-do-xref): Prevent duplicated display of Info
125 buffer, and prevent interference with existing buffer. (Bug#13190)
127 2015-02-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
129 python.el: Do not deactivate mark on shell fontification. (Bug#19871)
131 * progmodes/python.el (python-shell-font-lock-post-command-hook):
132 Do not deactivate mark on fontification.
134 2015-02-16 Ivan Shmakov <ivan@siamics.net>
136 * net/eww.el: Fix desktop support. (Bug#19226)
137 (eww-mode): Add autoload cookie.
138 (eww-restore-desktop): Use inhibit-read-only.
140 * net/eww.el (eww-suggest-uris): Add autoload cookie, so that
141 add-hook works correctly even if the file is not yet loaded.
143 2015-02-16 Stefan Monnier <monnier@iro.umontreal.ca>
145 * emacs-lisp/eieio.el (defclass): Use make-instance rather than
147 (set-slot-value): Mark as obsolete.
148 (eieio-object-class-name): Improve call to eieio-class-name.
149 (eieio-slot-descriptor-name, eieio-class-slots): New functions.
150 (object-slots): Use it. Declare obsolete.
151 (eieio-constructor): Merge it with `make-instance'.
152 (initialize-instance): Use `dolist'.
153 (eieio-override-prin1, eieio-edebug-prin1-to-string):
154 Use eieio--class-print-name.
156 * emacs-lisp/eieio-core.el (eieio--class-print-name): New function.
157 (eieio-class-name): Make it do what the docstring claims.
158 (eieio-defclass-internal): Simplify since `prots' isn't used any more.
159 (eieio--slot-name-index): Simplify accordingly.
160 (eieio-barf-if-slot-unbound): Pass the class object rather than its
161 name to `slot-unbound'.
163 * emacs-lisp/eieio-base.el (make-instance): Add a method here rather
164 than on eieio-constructor.
166 2015-02-16 Stefan Monnier <monnier@iro.umontreal.ca>
168 * emacs-lisp/cl-macs.el (cl-defstruct): Keep type=nil by default.
169 * emacs-lisp/cl-preloaded.el (cl-struct-define): Add sanity checks
170 about relationship between `type', `named', and `slots'.
171 * emacs-lisp/cl-generic.el (cl--generic-struct-tagcode): Adjust to new
172 value of `cl-struct-type' property.
174 2015-02-15 Jérémy Compostella <jeremy.compostella@gmail.com>
176 * net/tramp-sh.el (tramp-remote-process-environment): Disable paging
177 with PAGER=cat. (Bug#19870)
179 2015-02-14 Artur Malabarba <bruce.connor.am@gmail.com>
181 * emacs-lisp/package.el (package-read-all-archive-contents):
182 Don't build the compatibility table.
183 (package-refresh-contents, package-initialize): Do build the
185 (package--build-compatibility-table): New function.
186 (describe-package-1): Describe why a package is incompatible.
188 2015-02-14 Stefan Monnier <monnier@iro.umontreal.ca>
190 * emacs-lisp/cl-preloaded.el (cl-struct-define): Register as children
192 (cl--assertion-failed): New function.
193 (cl-assertion-failed): Move in from cl-lib.el.
195 * emacs-lisp/cl-macs.el (cl-defstruct): Don't generate code to register
196 as children of its parents.
197 (cl--make-type-test, cl--compiler-macro-typep): Remove functions.
198 (cl-typep): Reimplement using define-inline.
199 (cl-assert): Use cl--assertion-failed.
200 (cl-struct-slot-value): Use define-inline.
202 * emacs-lisp/cl-lib.el: Move autoloaded code to cl-preload.
204 * textmodes/flyspell.el (flyspell-word): Defvar (bug#19844).
205 (flyspell-generic-check-word-p): Mark as obsolete.
207 2015-02-13 Artur Malabarba <bruce.connor.am@gmail.com>
209 * emacs-lisp/package.el (package--compatibility-table): New var.
210 (package--add-to-compatibility-table): New function.
211 (package-read-all-archive-contents): Populate compatibility table.
212 (package--incompatible-p): Also look in dependencies.
213 (describe-package-1): Fix "incompat" handling.
215 2015-02-13 Lars Ingebrigtsen <larsi@gnus.org>
217 * net/rfc2104.el: Moved here from lisp/gnus.
219 2015-02-13 Magnus Henoch <magnus.henoch@gmail.com>
221 * net/sasl-scram-rfc.el: New file.
223 * net/sasl.el (sasl-mechanisms): Remove SCRAM-MD5.
224 Add SCRAM-SHA-1 first.
225 (sasl-mechanism-alist): Remove SCRAM-MD5 entry. Add SCRAM-SHA-1
228 2015-02-13 Lars Ingebrigtsen <larsi@gnus.org>
230 * net/shr.el (shr-tag-li): Speed up rendering pages with lots of
233 2015-02-12 Oleh Krehel <ohwoeowho@gmail.com>
235 * progmodes/gdb-mi.el (gdb-display-io-nopopup): New defcustom.
236 (gdb-inferior-filter): Don't pop up the buried output buffer when
237 `gdb-display-io-nopopup' is non-nil.
239 2015-02-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
241 python.el: Allow killing shell buffer if process is dead. (Bug#19823)
243 * progmodes/python.el (python-shell-font-lock-kill-buffer):
244 Don't require a running process.
245 (python-shell-font-lock-post-command-hook): Fontify only if the
246 shell process is running.
248 2015-02-11 Stefan Monnier <monnier@iro.umontreal.ca>
250 * hi-lock.el (hi-lock-unface-buffer): Don't call
251 font-lock-remove-keywords if not needed (bug#19737).
253 2015-02-11 Artur Malabarba <bruce.connor.am@gmail.com>
255 * emacs-lisp/package.el (package-install): Invert the second
256 argument, for better backwards compatibility.
257 (package-install-button-action, package-reinstall)
258 (package-menu-execute): Account for the change.
260 2015-02-11 Nicolas Petton <nicolas@petton.fr>
262 * emacs-lisp/seq.el (seq-reverse): Add a backward-compatible
263 version of seq-reverse that works on sequences in Emacs 24.
264 Bump seq.el version to 1.2.
266 2015-02-11 Artur Malabarba <bruce.connor.am@gmail.com>
268 * emacs-lisp/package.el (package--incompatible-p): New function.
269 Return non-nil if PKG has no chance of being installable.
270 (package--emacs-version-list): New variable.
271 (describe-package-1, package-desc-status)
272 (package-menu--print-info, package-menu--status-predicate):
273 Account for the "incompat" status.
275 2015-02-11 Martin Rudalics <rudalics@gmx.at>
277 * frame.el (toggle-frame-maximized, toggle-frame-fullscreen):
278 Rename frame parameter `maximized' to `fullscreen-restore'.
279 Restore fullwidth/-height after fullboth state. Update doc-strings.
281 2015-02-11 Lars Ingebrigtsen <larsi@gnus.org>
283 * net/shr.el (shr-insert): Make sure the space inserted has the
284 right font (for width).
285 (shr-fill-line): Preserve background colours when indenting/folding.
286 (shr-ensure-paragraph): Don't insert a new paragraph as the first
289 2015-02-10 Lars Ingebrigtsen <larsi@gnus.org>
291 * net/shr.el (shr-use-fonts): New variable.
292 (shr-fill-text): Rename from "fold".
293 (shr-pixel-column, shr-pixel-region, shr-string-pixel-width):
295 (shr-insert): Just insert, don't fill the text. Filling is now
296 done afterwards per display unit.
297 (shr-fill-lines, shr-fill-line): New functions to fill text on a
299 (shr-find-fill-point): Take a "beginning" parameter.
300 (shr-indent): Indent using the :width display parameter when using
302 (shr-parse-style): Ignore "inherit" values, since we already do that.
303 (shr-tag-img): Remove the insertion states.
304 (shr-tag-blockquote): New-style filling.
307 (shr-mark-fill): New function to mark lines that need filling.
308 (shr-tag-h1): Use a larger font.
309 (shr-tag-table-1): Get the natural and suggested widths in one
311 (shr-tag-table): Create the "fixed" version of the table only once
312 so that we can cache data in the table.
313 (shr-insert-table): Get colspan calculations right by having
314 zero-width columns after colspan ones.
315 (shr-expand-alignments): New function to make :align-to specs work
316 right when rendered in one buffer and displayed in another one.
317 (shr-insert-table-ruler): Use :align-to to get the widths right.
318 (shr-make-table): Cache more.
319 (shr-make-table-1): Use the new <td> data layout.
320 (shr-pixel-buffer-width): New function.
321 (shr-render-td): Add a caching layer.
322 (shr-dom-max-natural-width): New function.
323 (shr-tag-h1): Don't use variable-pitch fonts on fontless rendering.
324 (shr-tag-tt): New function.
325 (shr-tag-hr): Compute the right length when using fonts.
326 (shr-table-widths): Off-by-one error in width computation.
327 (shr-expand-newlines): Remove dead code.
328 (shr-insert-table): Extend background colors to the end of the column.
329 (shr-insert-table): Only copy the background, not underline and
331 (shr-face-background): New function.
333 2015-02-10 Fabián Ezequiel Gallina <fgallina@gnu.org>
335 python.el: Improved shell font lock respecting markers. (Bug#19650)
337 * progmodes/python.el
338 (python-shell-font-lock-get-or-create-buffer): Use special buffer name.
339 (python-shell-font-lock-with-font-lock-buffer): Enable font lock.
340 (python-shell-font-lock-post-command-hook): Fontify by copying text
341 properties from fontified buffer to shell, keeping markers unchanged.
342 (python-shell-font-lock-turn-off): Fix typo.
343 (python-util-text-properties-replace-name): Delete function.
345 2015-02-09 Nicolas Petton <nicolas@petton.fr>
347 * emacs-lisp/seq.el (seq-group-by): Improves seq-group-by to
348 return sequence elements in correct order.
350 2015-02-09 Simen Heggestøyl <simenheg@gmail.com> (tiny change)
352 * textmodes/css-mode.el (css-smie-rules): Fix paren indent (bug#19815).
354 2015-02-09 Stefan Monnier <monnier@iro.umontreal.ca>
356 * emacs-lisp/cl-generic.el (cl--generic-lambda): Use macroexp-parse-body.
358 * emacs-lisp/eieio-core.el (eieio-oset-default): Catch the unexpected
359 case where the default value would be re-interpreted as a form!
361 2015-02-09 Christopher Genovese <genovese@cmu.edu> (tiny change)
363 * help-fns.el (help-fns--signature): Keep doc for keymap.
365 2015-02-09 Kelly Dean <kelly@prtime.org>
367 * desktop.el: Save mark-ring less verbosely.
368 (desktop-var-serdes-funs): New var.
369 (desktop-buffer-info, desktop-create-buffer): Use it.
370 (desktop-file-version): Update to 208.
372 2015-02-09 Leo Liu <sdl.web@gmail.com>
374 * emacs-lisp/pcase.el (pcase-lambda): New Macro. (Bug#19814)
376 * emacs-lisp/lisp-mode.el (el-kws-re): Include `pcase-lambda'.
378 * emacs-lisp/macroexp.el (macroexp-parse-body): New function.
380 2015-02-08 Paul Eggert <eggert@cs.ucla.edu>
382 Port to platforms lacking test -a and -o
383 * Makefile.in (compile-clean):
384 * net/tramp-sh.el (tramp-find-executable):
385 Prefer '&&' and '||' to 'test -a' and 'test -o'.
387 2015-02-08 Artur Malabarba <bruce.connor.am@gmail.com>
389 * newcomment.el (comment-line): Fix missing paren.
391 2015-02-08 Ulrich Müller <ulm@gentoo.org>
393 * play/gamegrid.el: Update comment to reflect that the
394 'update-game-score' helper program is now setgid by default.
396 2015-02-08 David Kastrup <dak@gnu.org>
398 * subr.el (apply-partially): Use lexical binding here.
400 2015-02-08 Artur Malabarba <bruce.connor.am@gmail.com>
402 * newcomment.el (comment-line): New command.
404 * bindings.el (ctl-x-map): Bind to `C-x C-;'.
406 2015-02-08 Oleh Krehel <ohwoeowho@gmail.com>
408 * outline.el (outline-show-entry): Fix one invisible char for the
409 file's last outline. Fixes Bug#19493.
411 2015-02-08 Stefan Monnier <monnier@iro.umontreal.ca>
413 * subr.el (indirect-function): Change advertised calling convention.
415 2015-02-08 Fabián Ezequiel Gallina <fgallina@gnu.org>
417 python.el: Fix completion-at-point. (Bug#19667)
419 * progmodes/python.el
420 (python-shell-completion-native-get-completions): Force process buffer.
421 (python-shell-completion-at-point): Handle case where call is not
424 2015-02-08 Fabián Ezequiel Gallina <fgallina@gnu.org>
426 python.el: Fix shell font-lock multiline input. (Bug#19744)
428 * progmodes/python.el
429 (python-shell-font-lock-post-command-hook): Handle multiline input.
431 2015-02-08 Fabián Ezequiel Gallina <fgallina@gnu.org>
433 python.el: Make shell font-lock respect markers. (Bug#19650)
435 * progmodes/python.el (python-shell-font-lock-cleanup-buffer):
437 (python-shell-font-lock-comint-output-filter-function):
439 (python-shell-font-lock-post-command-hook): Respect markers on
442 2015-02-07 Fabián Ezequiel Gallina <fgallina@gnu.org>
444 python.el: Keep eldoc visible while typing args. (Bug#19637)
446 * progmodes/python.el (python-eldoc--get-symbol-at-point):
447 New function based on Carlos Pita <carlosjosepita@gmail.com> patch.
448 (python-eldoc--get-doc-at-point, python-eldoc-at-point): Use it.
450 2015-02-07 Fabián Ezequiel Gallina <fgallina@gnu.org>
452 Fix hideshow integration. (Bug#19761)
454 * progmodes/python.el
455 (python-hideshow-forward-sexp-function): New function based on
456 Carlos Pita <carlosjosepita@gmail.com> patch.
457 (python-mode): Make `hs-special-modes-alist` use it and initialize
458 the end regexp with the empty string to avoid skipping parens.
460 2015-02-07 Fabián Ezequiel Gallina <fgallina@gnu.org>
462 * progmodes/python.el (python-check-custom-command): Do not use
463 defvar-local for compat with Emacs<24.3.
465 2015-02-07 Martin Rudalics <rudalics@gmx.at>
467 * frame.el (frame-notice-user-settings):
468 Update `frame-size-history'.
469 (make-frame): Update `frame-size-history'.
470 Call `frame-after-make-frame'.
471 * faces.el (face-set-after-frame-default): Remove call to
472 frame-can-run-window-configuration-change-hook.
474 2015-02-06 Dmitry Gutov <dgutov@yandex.ru>
476 * vc/vc-cvs.el (vc-cvs-dir-status-files): Don't pass DIR to
477 `vc-cvs-command' (bug#19732).
479 2015-02-06 Nicolas Petton <nicolas@petton.fr>
481 * emacs-lisp/seq.el (seq-mapcat, seq-partition, seq-group-by): New functions.
482 * emacs-lisp/seq.el (seq-drop-while, seq-take-while, seq-count)
483 (seq--drop-list, seq--take-list, seq--take-while-list): Better docstring.
485 2015-02-06 Artur Malabarba <bruce.connor.am@gmail.com>
487 * doc-view.el (doc-view-kill-proc-and-buffer): Obsolete. Use
488 `image-kill-buffer' instead.
490 2015-02-06 Thomas Fitzsimmons <fitzsim@fitzsim.org>
492 * net/ldap.el (ldap-search-internal): Fix docstring.
494 2015-02-06 Lars Ingebrigtsen <larsi@gnus.org>
496 * subr.el (define-error): The error conditions may be constant
497 lists, so use `append' to concatenate them.
499 2015-02-06 Wolfgang Jenkner <wjenkner@inode.at>
501 * net/network-stream.el (network-stream-open-tls): Respect the
502 :end-of-capability setting.
504 2015-02-05 Artur Malabarba <bruce.connor.am@gmail.com>
506 * emacs-lisp/package.el (package--sort-by-dependence):
507 New function. Return PACKAGE-LIST sorted by dependencies.
508 (package-menu-execute): Use it to delete packages in order.
509 (package--sort-deps-in-alist): New function.
510 (package-menu-mark-install): Can mark dependencies.
511 (package--newest-p): New function.
512 (package-delete): Don't delesect when deleting an older version of
515 * emacs-lisp/package.el: Add missing (require 'subr-x)
517 2015-02-05 Stefan Monnier <monnier@iro.umontreal.ca>
519 * textmodes/css-mode.el (scss-smie--not-interpolation-p): Vars can be
520 hyphenated (bug#19263).
522 * textmodes/css-mode.el (css-fill-paragraph): Fix filling in presence
523 of variable interpolation (bug#19751).
525 2015-02-05 Era Eriksson <era+emacs@iki.fi>
527 * json.el (json-end-of-file): New error (bug#19768).
528 (json-pop, json-read): Use it.
530 2015-02-05 Kelly Dean <kelly@prtime.org>
532 * help-mode.el (help-xref-interned): Pass BUFFER and FRAME to
535 * help-fns.el (describe-function-or-variable): New function.
537 * help.el (help-map): Bind `describe-function-or-variable' to o.
538 (help-for-help-internal): Document o key.
540 2015-02-05 Stefan Monnier <monnier@iro.umontreal.ca>
542 * emacs-lisp/eieio-compat.el (eieio--defmethod): Use new
543 special (:documentation ...) feature.
544 * emacs-lisp/eieio-core.el (eieio-make-class-predicate)
545 (eieio-make-child-predicate): Same.
546 (eieio-copy-parents-into-subclass): Remove unused arg.
547 (eieio-defclass-internal): Adjust call accordingly and remove redundant
549 (eieio--slot-name-index): Remove unused arg `obj' and adjust all
552 * emacs-lisp/cconv.el (cconv--convert-function):
553 Add `docstring' argument.
554 (cconv-convert): Use it to handle the new (:documentation ...) form.
555 (cconv-analyze-form): Handle the new (:documentation ...) form.
557 * emacs-lisp/bytecomp.el:
558 (byte-compile-initial-macro-environment): Use macroexp-progn.
559 (byte-compile-cl-warn): Don't silence use of cl-macroexpand-all.
560 (byte-compile-file-form-defvar-function): Rename from
561 byte-compile-file-form-define-abbrev-table.
562 (defvaralias, byte-compile-file-form-custom-declare-variable): Use it.
563 (byte-compile): Use byte-compile-top-level rather than
564 byte-compile-lambda so we can compile non-values.
565 (byte-compile-form): Add warnings for failed uses of lexical vars via
567 (byte-compile-unfold-bcf): Improve message for failed inlining.
568 (byte-compile-make-closure): Handle new format of internal-make-closure
569 for dynamically-generated docstrings.
571 * delsel.el: Deprecate the `kill' option. Use lexical-binding.
572 (open-line): Delete like all other commands, instead of killing.
573 (delete-active-region): Don't define any return any value.
575 * progmodes/python.el: Try to preserve compatibility with Emacs-24.
576 (python-mode): Don't assume eldoc-documentation-function has a non-nil
579 2015-02-04 Sam Steingold <sds@gnu.org>
581 * progmodes/python.el (python-indent-calculate-indentation):
582 Avoid the error when computing top-level indentation.
584 2015-02-04 Stefan Monnier <monnier@iro.umontreal.ca>
586 * emacs-lisp/cl-generic.el (cl--generic-member-method): Fix paren typo.
588 * textmodes/flyspell.el: Use lexical-binding and cl-lib.
589 (mail-mode-flyspell-verify): Fix last change.
590 (flyspell-external-point-words, flyspell-large-region):
591 Avoid add-to-list on local vars.
593 2015-02-04 Tassilo Horn <tsdh@gnu.org>
595 * emacs-lisp/package.el (package-installed-p): Fix typo causing
598 2015-02-04 Artur Malabarba <bruce.connor.am@gmail.com>
600 * image-mode.el (image-kill-buffer): New command.
601 (image-mode-map): Bind it to k.
603 * emacs-lisp/package.el (package-delete): Remove package from
604 `package-selected-packages' even if it can't be deleted.
605 (package-installed-p): Accept package-desc objects.
606 (package-install): Can be used to mark dependencies as
607 selected. When given a package-desc object which is already
608 installed, the package is not downloaded again, but it is marked
609 as selected (if it wasn't already).
610 (package-reinstall): Accept package-desc objects.
612 2015-02-03 Artur Malabarba <bruce.connor.am@gmail.com>
614 * emacs-lisp/package.el (package-delete): Document NOSAVE.
615 (package--get-deps): delete-dups when ONLY is nil.
616 (package-autoremove): Warn the user if `package-selected-packages'
619 (package--user-selected-p): New function.
620 (package-delete, package-install, package-install-from-buffer):
622 (package-selected-packages): Mention it.
624 (package-initialize): Don't populate `package-selected-packages'.
625 (package-install-user-selected-packages, package-autoremove):
626 Special handling for empty `package-selected-packages'.
627 (package-install): Fix when PKG is a package-desc.
629 (package-desc-status): Add "dependency" status to the Package
631 (package-menu--status-predicate, package-menu--print-info)
632 (package-menu-mark-delete, package-menu--find-upgrades)
633 (package-menu--status-predicate, describe-package-1): Use it
635 (package--removable-packages): New function.
636 (package-autoremove): Use it.
637 (package-menu-execute): Offer to remove unneeded packages.
639 (package--read-pkg-desc, package-tar-file-info): Fix reference to
642 2015-02-03 Thierry Volpiatto <thierry.volpiatto@gmail.com>
644 * emacs-lisp/package.el (package-reinstall): Don't change package's selected status.
645 (package-delete): New NOSAVE argument.
647 2015-02-03 Michael Albinus <michael.albinus@gmx.de>
649 * net/tramp-sh.el (tramp-histfile-override): Fix docstring.
650 (tramp-open-shell, tramp-maybe-open-connection): Set also
651 HISTFILESIZE and HISTSIZE when needed. (Bug#19731)
653 2015-02-02 Artur Malabarba <bruce.connor.am@gmail.com>
655 * emacs-lisp/package.el (package--find-non-dependencies):
657 (package-initialize): Use it to populate `package-selected-packages'.
658 (package-menu-execute): Clean unnecessary `and'.
659 (package--get-deps): Fix returning duplicates.
661 2015-02-02 Michael Albinus <michael.albinus@gmx.de>
663 * net/tramp-sh.el (tramp-histfile-override): Add another choice t.
665 (tramp-open-shell, tramp-maybe-open-connection): Support it.
668 2015-02-02 Thierry Volpiatto <thierry.volpiatto@gmail.com>
670 * emacs-lisp/package.el (package-delete): Remove package from
671 package-selected-packages.
672 (package-autoremove): Remove unneeded variable.
674 2015-02-01 Artur Malabarba <bruce.connor.am@gmail.com>
676 * emacs-lisp/package.el (package-selected-packages): Fix :type
677 (package-install): Rename ARG to MARK-SELECTED.
678 (package--get-deps): Fix for indirect dependencies.
679 (package-used-elsewhere-p): Rename to
680 (package--used-elsewhere-p): New function.
681 (package-reinstall, package-user-selected-packages-install)
682 (package-autoremove): Use sharp-quote.
683 (package-user-selected-packages-install): Reindent and rename to
684 (package-install-user-selected-packages): New function.
686 2015-02-01 Thierry Volpiatto <thierry.volpiatto@gmail.com>
688 * emacs-lisp/package.el: Don't allow deleting dependencies.
690 (package-used-elsewhere-p): New function.
691 (package-delete): Use it, return now an error when trying to
692 delete a package used as dependency by another package.
694 Add a reinstall package command.
695 (package-reinstall): New function.
697 Add a package-autoremove command.
698 (package-selected-packages): New user var.
699 (package-install): Add an optional arg to notify interactive use.
700 Fix docstring. Save installed package to
701 packages-installed-directly.
702 (package-install-from-buffer): Same.
703 (package-user-selected-packages-install): Allow installing all
704 packages in packages-installed-directly at once.
705 (package--get-deps): New function.
706 (package-autoremove): New function.
707 (package-install-button-action): Call package-install with
709 (package-menu-execute): Same but only for only for not installed
712 2015-01-31 Stefan Monnier <monnier@iro.umontreal.ca>
714 * emacs-lisp/eieio.el (defclass): Use new eieio-make-class-predicate
715 and eieio-make-child-predicate.
716 (eieio-class-parents): Use eieio--class-object.
717 (slot-boundp, find-class, eieio-override-prin1): Avoid class-p.
718 (slot-exists-p): Use find-class.
720 * emacs-lisp/eieio-opt.el (eieio-help-class, eieio-help-constructor):
721 Use find-lisp-object-file-name, help-fns-short-filename and new calling
722 convention for eieio-class-def.
723 (eieio-build-class-list): Remove function, unused.
724 (eieio-method-def): Remove button type, unused.
725 (eieio-class-def): Inherit from help-function-def.
726 (eieio--defclass-regexp): New constant.
727 (find-function-regexp-alist): Use it.
728 (eieio--specializers-apply-to-class-p): Handle eieio--static as well.
729 (eieio-help-find-method-definition, eieio-help-find-class-definition):
732 * emacs-lisp/eieio-core.el (eieio--check-type): Remove.
733 Use cl-check-type everywhere instead.
734 (eieio-class-object): Remove, use find-class instead when needed.
735 (class-p): Don't inline.
736 (eieio-object-p): Check more thoroughly, so we don't treat cl-structs,
737 such as eieio classes, as objects. Don't inline.
738 (object-p): Mark as obsolete.
739 (eieio-defclass-autoload, eieio-defclass-internal, eieio-oref)
740 (eieio--generic-tagcode): Avoid `class-p'.
741 (eieio-make-class-predicate, eieio-make-child-predicate): New functions.
742 (eieio-defclass-internal): Use current-load-list rather than
745 * emacs-lisp/cl-generic.el (cl--generic-search-method): Fix regexp.
747 2015-01-30 Stefan Monnier <monnier@iro.umontreal.ca>
749 * emacs-lisp/backquote.el (backquote-delay-process): Don't reuse `s'
750 since it may be "equivalent" in some sense, yet different (bug#19734).
752 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
754 * outline.el (outline-font-lock-face): Add docstring.
755 (outline-invisible-p): Improve docstring.
756 (outline-invent-heading): Add docstring.
757 (outline-promote): Improve docstring.
758 (outline-demote): Improve docstring.
759 (outline-head-from-level): Improve docstring.
760 (outline-end-of-heading): Add docstring.
761 (outline-next-visible-heading): Improve docstring.
762 (outline-previous-visible-heading): Improve docstring.
763 (outline-hide-region-body): Improve docstring.
764 (outline-flag-subtree): Add docstring.
765 (outline-end-of-subtree): Add docstring.
766 (outline-headers-as-kill): Improve docstring.
768 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
770 * outline.el (outline-hide-entry): Rename from `hide-entry'.
771 (hide-entry): Declare as obsolete.
772 (outline-show-entry): Rename from `show-entry'.
773 (show-entry): Declare as obsolete.
774 (outline-hide-body): Rename from `hide-body'.
775 (hide-body): Declare as obsolete.
776 (outline-hide-region-body): Rename from `hide-region-body'.
777 (hide-region-body): Declare as obsolete.
778 (outline-show-all): Rename from `show-all'.
779 (show-all): Declare as obsolete.
780 (outline-hide-subtree): Rename from `hide-subtree'.
781 (hide-subtree): Declare as obsolete.
782 (outline-hide-leaves): Rename from `hide-leaves'.
783 (hide-leaves): Declare as obsolete.
784 (outline-show-subtree): Rename from `show-subtree'.
785 (show-subtree): Declare as obsolete.
786 (outline-hide-sublevels): Rename from `hide-sublevels'.
787 (hide-sublevels): Declare as obsolete.
788 (outline-hide-other): Rename from `hide-other'.
789 (hide-other): Declare as obsolete.
790 (outline-show-children): Rename from `show-children'.
791 (show-children): Declare as obsolete.
792 (outline-show-branches): Rename from `show-branches'.
793 (show-branches): Declare as obsolete.
795 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
797 * outline.el (outline-mode): Clean up docstring.
798 (font-lock-warning-face): Remove obsolete declaration.
799 (outline-font-lock-face): Remove obsolete comment.
801 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
803 * lisp/custom.el (defface): Set `indent' to 1.
805 2015-01-30 Oleh Krehel <ohwoeowho@gmail.com>
807 * emacs-lisp/easy-mmode.el (define-minor-mode): Set `indent' to 1.
809 2015-01-30 Michal Nazarewicz <mina86@mina86.com>
811 * lisp/files.el (save-buffers-kill-emacs): If `confirm-kill-emacs'
812 is set, but user has just been asked whether they really want to
813 kill Emacs (for example with a ‘Modified buffers exist; exit
814 anyway?’ prompt), do not ask them for another confirmation.
816 2015-01-29 Jay Belanger <jay.p.belanger@gmail.com>
818 * lisp/calc/calc-units.el (calc-convert-exact-units): New function.
819 (calc-convert-units): Check for missing units.
820 (math-consistent-units-p): Strengthen the test for consistent units.
822 * lisp/calc/calc-ext.el (calc-init-extensions): Autoload
823 `calc-convert-exact-units' and assign it a keybinding.
825 * lisp/calc/calc-help (calc-u-prefix-help): Add help for the
828 2015-01-28 Stefan Monnier <monnier@iro.umontreal.ca>
830 * emacs-lisp/cl.el (cl--function-convert): Simplify.
832 2015-01-28 Tassilo Horn <tsdh@gnu.org>
834 * textmodes/reftex.el (reftex-syntax-table-for-bib): Give ( and )
835 punctuation syntax since to allow bibtex fields with values such
836 as {Test 1) and 2)} (bug#19205, bug#19707).
837 (reftex--prepare-syntax-tables): New function.
838 (reftex-mode): Use it.
840 2015-01-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
842 python.el: New non-global state dependent indentation engine.
843 (Bug#18319, Bug#19595)
844 * progmodes/python.el (python-syntax-comment-or-string-p):
845 Accept PPSS as argument.
846 (python-syntax-closing-paren-p): New function.
847 (python-indent-current-level)
848 (python-indent-levels): Mark obsolete.
849 (python-indent-context): Return more context cases.
850 (python-indent--calculate-indentation)
851 (python-indent--calculate-levels): New functions.
852 (python-indent-calculate-levels): Use them.
853 (python-indent-calculate-indentation, python-indent-line):
854 (python-indent-line-function): Rewritten to use new API.
855 (python-indent-dedent-line): Simplify logic.
856 (python-indent-dedent-line-backspace): Use `unless`.
857 (python-indent-toggle-levels): Delete function.
859 2015-01-28 Daniel Koning <dk@danielkoning.com> (tiny change)
861 * subr.el (posnp): Correct docstring of `posnp'.
862 (posn-col-row): Make it work with all mouse position objects.
863 * textmodes/artist.el (artist-mouse-draw-continously):
864 Cancel timers if an error occurs during continuous drawing. (Bug#6130)
866 2015-01-28 Eli Zaretskii <eliz@gnu.org>
868 * button.el (button-activate, push-button): Doc fix. (Bug#19628)
870 2015-01-28 Michael Albinus <michael.albinus@gmx.de>
872 * filenotify.el (file-notify-descriptors, file-notify-handle-event):
874 (file-notify--descriptor): New defun.
875 (file-notify-callback, file-notify-add-watch, file-notify-rm-watch):
876 Adapt docstring. Handle multiple values for
877 `file-notify-descriptors' entries. (Bug#18880)
879 * net/tramp.el (tramp-handle-file-notify-rm-watch): Do not check
880 `file-notify-descriptors', the implementation has been changed.
882 2015-01-28 Eli Zaretskii <eliz@gnu.org>
884 * net/net-utils.el (net-utils-run-program, net-utils-run-simple):
885 On MS-Windows, bind coding-system-for-read to the console output
886 codepage. (Bug#19458)
888 2015-01-28 Dmitry Gutov <dgutov@yandex.ru>
890 Unbreak `mouse-action' property in text buttons.
891 * button.el (push-button): Fix regression from 2012-12-06.
893 2015-01-28 Glenn Morris <rgm@gnu.org>
895 * progmodes/sh-script.el (sh-mode): Doc fix.
896 (sh-basic-indent-line): Handle electric newline. (Bug#18756)
898 2015-01-28 Paul Eggert <eggert@cs.ucla.edu>
900 Fix dired quoting bug with "Hit`N`Hide". Fixes Bug#19498.
901 * files.el (shell-quote-wildcard-pattern): Also quote "`".
903 2015-01-28 Stefan Monnier <monnier@iro.umontreal.ca>
905 Tighten up the tagcode used for eieio and cl-struct objects.
906 * loadup.el: Load cl-preloaded.
907 * emacs-lisp/eieio-core.el (eieio-defclass-internal): Set the function
908 slot of the tag symbol to :quick-object-witness-check.
909 (eieio-object-p): Use :quick-object-witness-check.
910 (eieio--generic-tagcode): Use cl--generic-struct-tag.
911 * emacs-lisp/cl-preloaded.el: New file.
912 * emacs-lisp/cl-macs.el (cl--bind-inits): Remove, unused.
913 (cl--transform-lambda, cl-destructuring-bind): Remove cl--bind-inits.
914 (cl--make-usage-args): Strip away &aux args.
915 (cl-case, cl-typecase, cl--parse-loop-clause): Use macroexp-let2.
916 (cl-the, cl-check-type): Use macroexp-let2 and cl-typep.
917 (cl-defstruct): Use `declare' and cl-struct-define.
918 * emacs-lisp/cl-generic.el (cl--generic-struct-tag): New function.
919 (cl--generic-struct-tagcode): Use it to tighten the tagcode.
921 2015-01-27 Katsumi Yamaoka <yamaoka@jpl.org>
923 * emacs-lisp/cl.el (cl--function-convert):
924 Merge cache that cl--labels-convert adds (bug#19699).
926 2015-01-27 Ivan Shmakov <ivan@siamics.net>
928 * tar-mode.el: Allow for adding new archive members. (Bug#19274)
929 (tar-new-regular-file-header, tar--pad-to, tar--put-at)
930 (tar-header-serialize): New functions.
931 (tar-current-position): Split from tar-current-descriptor.
932 (tar-current-descriptor): Use it.
933 (tar-new-entry): New command.
934 (tar-mode-map): Bind it.
936 2015-01-27 Sam Steingold <sds@gnu.org>
938 * progmodes/python.el (python-check-custom-command): Buffer local
939 because it usually includes the buffer name.
940 (python-check-command): Set to epylint when pyflakes is not available.
942 2015-01-27 Artur Malabarba <bruce.connor.am@gmail.com>
944 * isearch.el (isearch-process-search-char): Add docstring.
946 2015-01-27 Oleh Krehel <ohwoeowho@gmail.com>
948 * emacs-lisp/derived.el (define-derived-mode): Declare indent 3.
950 2015-01-27 Katsumi Yamaoka <yamaoka@jpl.org>
952 * emacs-lisp/cl.el (cl--function-convert): Run cl--labels-convert
953 for the case cl-flet or cl-labels form is wrapped with lexical-let
956 2015-01-26 Stefan Monnier <monnier@iro.umontreal.ca>
958 * emacs-lisp/cl-generic.el (cl--generic-method): New struct.
959 (cl--generic): The method-table is now a (list-of cl--generic-method).
960 (cl--generic-member-method): New function.
961 (cl-generic-define-method): Use it.
962 (cl--generic-build-combined-method, cl--generic-cache-miss):
963 Adapt to new method-table.
964 (cl--generic-no-next-method-function): Add `method' argument.
965 (cl-generic-call-method): Adapt to new method representation.
966 (cl--generic-cnm-sample, cl--generic-nnm-sample): Adjust.
967 (cl-find-method, cl-method-qualifiers): New functions.
968 (cl--generic-method-info): Adapt to new method representation.
969 Return a string for the qualifiers.
970 (cl--generic-describe):
971 * emacs-lisp/eieio-opt.el (eieio-help-class): Adjust accordingly.
972 (eieio-all-generic-functions, eieio-method-documentation):
973 Adjust to new method representation.
975 * emacs-lisp/eieio-compat.el (eieio--defmethod): Use cl-find-method.
977 2015-01-26 Stefan Monnier <monnier@iro.umontreal.ca>
979 * emacs-lisp/cl-generic.el: Add a method-combination hook.
980 (cl-generic-method-combination-function): New var.
981 (cl--generic-lambda): Remove `with-cnm' arg.
982 (cl-defmethod): Change accordingly.
983 (cl-generic-define-method): Don't check qualifiers validity.
984 Preserve all qualifiers in `method-table'.
985 (cl-generic-call-method): New function.
986 (cl--generic-nest): Remove (morph into cl-generic-call-method).
987 (cl--generic-build-combined-method): Adjust to new format of method-table
988 and use cl-generic-method-combination-function.
989 (cl--generic-standard-method-combination): New function, extracted from
990 cl--generic-build-combined-method.
991 (cl--generic-cnm-sample): Adjust to new format of method-table.
993 * emacs-lisp/eieio-compat.el (eieio--defmethod): Use () qualifiers
996 * emacs-lisp/eieio-datadebug.el (eieio-debug-methodinvoke):
997 Remove obsolete function.
999 2015-01-26 Lars Ingebrigtsen <larsi@gnus.org>
1001 * net/shr.el (shr-make-table-1): Fix colspan typo.
1002 (shr-make-table-1): Add comments.
1003 (shr-make-table-1): Make colspan display more sensibly.
1005 * net/eww.el (eww-add-bookmark): Fix prompt and clean up the code
1008 2015-01-25 Stefan Monnier <monnier@iro.umontreal.ca>
1010 * emacs-lisp/cl-generic.el (cl--generic-no-next-method-function): New fun.
1011 (cl--generic-build-combined-method, cl--generic-nnm-sample): Use it
1013 (cl--generic-typeof-types): Add support for `sequence'.
1014 (cl-defmethod): Add non-keywords in the qualifiers.
1016 2015-01-25 Dmitry Gutov <dgutov@yandex.ru>
1018 * emacs-lisp/find-func.el (find-function-regexp): Don't match
1019 `defgroup' (regression from the previous change here).
1021 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1023 * net/ldap.el (ldap-search-internal): Mention binddn in invalid
1024 credentials error message.
1026 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1028 * net/ldap.el (ldap-password-read): Validate password before
1030 (ldap-search-internal): Handle ldapsearch error conditions.
1032 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1034 * net/ldap.el (ldap-password-read): Handle password-cache being nil.
1036 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1038 * net/eudc.el (eudc-expand-inline): Always restore former server
1041 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1043 * net/eudcb-ldap.el: Don't nag the user in case a default base is
1044 provided by the LDAP system configuration file.
1046 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1048 * net/eudc.el (eudc-format-query): Preserve the
1049 eudc-inline-query-format ordering of attributes in the returned list.
1050 * net/eudcb-ldap.el (eudc-ldap-format-query-as-rfc1558):
1051 Append the LDAP wildcard character to the last attribute value.
1053 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1055 * net/eudcb-ldap.el (eudc-ldap-cleanup-record-simple):
1056 Downcase field names of LDAP results.
1057 (eudc-ldap-cleanup-record-filtering-addresses): Likewise.
1059 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1061 * net/ldap.el (ldap-ldapsearch-password-prompt): New defcustom.
1062 (ldap-search-internal): Send password to ldapsearch through a pipe
1063 instead of via the command line.
1065 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1067 * net/ldap.el: Require password-cache.
1068 (ldap-password-read): New function.
1069 (ldap-search-internal): Call ldap-password-read when it is
1070 configured to be called.
1072 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1074 * net/eudc-vars.el (eudc-expansion-overwrites-query):
1075 Change default to nil.
1077 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1079 * net/eudc.el (eudc-expand-inline): Ignore text properties of
1082 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1084 * net/eudc-vars.el (eudc-inline-expansion-format): Default to a
1085 format that includes first name and surname.
1087 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1089 * net/eudc-vars.el (eudc-inline-query-format): Change default to
1090 query email and first name instead of surname.
1092 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1094 * net/ldap.el (ldap-search-internal): Support new-style LDAP URIs.
1096 2015-01-23 Thomas Fitzsimmons <fitzsim@fitzsim.org>
1098 * net/eudc-vars.el (eudc-server): Adjust docstring to mention
1099 eudc-server-hotlist.
1100 (eudc-server-hotlist): Move from eudc.el and make defcustom.
1101 * net/eudc.el (eudc-server-hotlist): Move to eudc-vars.el.
1102 (eudc-set-server): Allow setting protocol to nil.
1103 (eudc-expand-inline): Support hotlist-only expansions when server
1106 2015-01-23 Stefan Monnier <monnier@iro.umontreal.ca>
1108 * emacs-lisp/cl-generic.el (cl-no-primary-method): New fun and error.
1109 (cl--generic-build-combined-method): Use it.
1111 2015-01-22 Paul Eggert <eggert@cs.ucla.edu>
1113 Don't downcase system diagnostics' first letters
1114 * emacs-lisp/bytecomp.el (byte-compile-file):
1115 * ffap.el (find-file-at-point):
1116 * files.el (insert-file-1):
1117 * net/ange-ftp.el (ange-ftp-barf-if-not-directory)
1118 (ange-ftp-copy-file-internal):
1119 * progmodes/etags.el (visit-tags-table):
1120 Keep diagnostics consistent with system's.
1121 * ffap.el (ffap-machine-p):
1122 Ignore case while comparing diagnostics.
1124 2015-01-22 Stefan Monnier <monnier@iro.umontreal.ca>
1126 * help.el (help-make-usage): Don't turn a "_" arg into an empty-string
1128 * emacs-lisp/cl-generic.el (cl--generic-lambda): Don't confuse a string
1129 body with a docstring.
1131 2015-01-22 Dmitry Gutov <dgutov@yandex.ru>
1133 * progmodes/xref.el (xref-location-marker, xref-location-group):
1134 Use `cl-defgeneric' and `cl-defmethod' instead of the EIEIO
1137 * progmodes/etags.el (xref-location-marker): Same.
1139 * progmodes/xref.el (xref--current): Rename from `xref--selected'.
1140 (xref--inhibit-mark-current): Rename from
1141 `xref--inhibit-mark-selected'. Update the usages.
1142 (xref-quit): Reword the docstring. Kill buffers after quitting
1143 windows instead of before.
1144 (xref--insert-xrefs): Tweak help-echo.
1145 (xref--read-identifier-history, xref--read-pattern-history):
1147 (xref--read-identifier, xref-find-apropos): Use them.
1149 2015-01-21 Ulrich Müller <ulm@gentoo.org>
1151 * play/gamegrid.el (gamegrid-add-score-with-update-game-score):
1152 Allow the 'update-game-score' helper program to run suid or sgid.
1154 2015-01-21 Stefan Monnier <monnier@iro.umontreal.ca>
1156 * emacs-lisp/eieio.el: Use cl-defmethod.
1157 (defclass): Generate cl-defmethod calls; use setf methods for :accessor.
1158 (eieio-object-name-string): Declare as obsolete.
1160 * emacs-lisp/eieio-opt.el: Adapt to cl-generic.
1161 (eieio--specializers-apply-to-class-p): New function.
1162 (eieio-all-generic-functions): Use it.
1163 (eieio-method-documentation): Use it as well as cl--generic-method-info.
1164 Change format of return value.
1165 (eieio-help-class): Adapt accordingly.
1167 * emacs-lisp/eieio-compat.el (eieio--defmethod): Avoid no-next-method
1168 errors when there's a `before' but no `primary' (bug#19645).
1169 (next-method-p): Return nil rather than signal an error.
1170 (eieio-defgeneric): Remove bogus (fboundp 'method).
1172 * emacs-lisp/eieio-speedbar.el:
1173 * emacs-lisp/eieio-datadebug.el:
1174 * emacs-lisp/eieio-custom.el:
1175 * emacs-lisp/eieio-base.el: Use cl-defmethod.
1177 * emacs-lisp/cl-generic.el (cl-defgeneric): Add support for `declare'.
1178 (cl--generic-setf-rewrite): Setup the setf expander right away.
1179 (cl-defmethod): Make sure the setf expander is setup before we expand
1181 (cl-defmethod): Silence byte-compiler warnings.
1182 (cl-generic-define-method): Shuffle code to change return value.
1183 (cl--generic-method-info): New function, extracted from
1184 cl--generic-describe.
1185 (cl--generic-describe): Use it.
1187 2015-01-21 Dmitry Gutov <dgutov@yandex.ru>
1189 * progmodes/xref.el (xref--xref-buffer-mode-map): Define before
1190 the major mode. Remap `quit-window' to `xref-quit'.
1191 (xref--xref-buffer-mode): Inherit from special-mode.
1193 xref: Keep track of temporary buffers (bug#19466).
1194 * progmodes/xref.el (xref--temporary-buffers, xref--selected)
1195 (xref--inhibit-mark-selected): New variables.
1196 (xref--mark-selected): New function.
1197 (xref--show-location): Maybe add the buffer to
1198 `xref--temporary-buffers', add `xref--mark-selected' to
1199 `buffer-list-update-hook' there.
1200 (xref--window): Add docstring.
1201 (xref-quit): Rename from `xref--quit'. Update both references.
1202 Add KILL argument. When it's non-nil, kill the temporary buffers
1203 that haven't been selected by the user.
1204 (xref--show-xref-buffer): Change the second argument to alist,
1205 extract the values for `xref--window' and
1206 `xref--temporary-buffers' from it. Add `xref--mark-selected' to
1207 `buffer-list-update-hook' to each buffer in the list.
1208 (xref--show-xrefs): Move the logic of calling `xref-find-function'
1209 here. Save the difference between buffer lists before and after
1210 it's called as "temporary buffers", and `pass it to
1211 `xref-show-xrefs-function'.
1212 (xref--find-definitions, xref-find-references)
1213 (xref-find-apropos): Update accordingly.
1215 2015-01-20 Artur Malabarba <bruce.connor.am@gmail.com>
1217 * emacs-lisp/package.el (package-dir-info): Fix `while' logic.
1219 2015-01-20 Stefan Monnier <monnier@iro.umontreal.ca>
1221 * emacs-lisp/eieio-generic.el: Remove.
1222 (defgeneric, defmethod): Move to eieio-compat.el. Mark obsolete.
1223 * emacs-lisp/eieio-compat.el: New file.
1224 * emacs-lisp/eieio.el: Don't require eieio-generic any more.
1225 * emacs-lisp/eieio-core.el (eieio--slot-originating-class-p):
1226 Remove unused function.
1227 (eieio-defclass): Move to eieio-compat.el.
1228 * emacs-lisp/macroexp.el (macroexp-macroexpand): New function.
1229 (macroexp--expand-all): Use it.
1230 * emacs-lisp/bytecomp.el (byte-compile-recurse-toplevel): Here too.
1232 2015-01-20 Michal Nazarewicz <mina86@mina86.com>
1234 * emacs-lisp/eldoc.el (eldoc-documentation-function): Describe how
1235 major modes should use `add-function' to alter value of the variable.
1236 * hexl.el (hexl-mode):
1237 * ielm.el (inferior-emacs-lisp-mode):
1238 * progmodes/cfengine.el (cfengine3-mode):
1239 * progmodes/elisp-mode (emacs-lisp-mode):
1240 * progmodes/octave.el (octave-mode):
1241 * progmodes/python.el (python-mode):
1242 * simple.el (read--expression): Set `eldoc-documentation-function'
1243 using `add-function' so the default value is always used.
1245 * descr-text.el (describe-char-eldoc): New function returning
1246 basic Unicode codepoint information (e.g. name) about character
1247 at point. It is meant to be used as a default value of the
1248 `eldoc-documentation-function' variable.
1249 (describe-char-eldoc--format, describe-char-eldoc--truncate):
1250 New helper functions for `describe-char-eldoc' function.
1252 2015-01-20 Michal Nazarewicz <mina86@mina86.com>
1254 * textmodes/paragraphs.el (sentence-end-base): Include an
1255 ellipsis (…) and interrobang (‽) characters as end of a sentence,
1256 and a closing single quote (’) as an end of a quote.
1258 2015-01-20 Michal Nazarewicz <mina86@mina86.com>
1260 * textmodes/tildify.el (tildify-double-space-undos): A new
1261 variable specifying whether pressing space in `tildify-mode' after
1262 a space has been replaced with hard space undos the substitution.
1263 (tildify-space): Add code branch for handling `tildify-doule-space'.
1265 * textmodes/tildify.el (tildify-space): A new function
1266 which can be used as a `post-self-insert-hook' to automatically
1267 convert spaces into hard spaces.
1268 (tildify-space-pattern): A new variable specifying pattern where
1269 `tildify-space' should take effect.
1270 (tildify-space-predicates): A new variable specifying list of
1271 predicate functions that all must return non-nil for
1272 `tildify-space' to take effect.
1273 (tildify-space-region-predicate): A new functions meant to be
1274 used as a predicate in `tildify-space-predicates' list.
1275 (tildify-mode): A new minor mode enabling `tildify-space' as a
1276 `post-self-insert-hook'
1278 2015-01-20 Daniel Colascione <dancol@dancol.org>
1280 * vc/vc-dir.el (vc-dir): Default to repository root, not
1283 2015-01-20 Dmitry Gutov <dgutov@yandex.ru>
1285 * progmodes/etags.el (xref-etags-location): New class.
1286 (xref-make-etags-location): New function.
1287 (etags--xref-find-definitions): Use it.
1288 (xref-location-marker): New method implementation.
1290 * progmodes/xref.el: Mention that xref-location is an EIEIO class.
1291 (xref--insert-xrefs): Expand help-echo string.
1293 2015-01-19 Dmitry Gutov <dgutov@yandex.ru>
1295 * ido.el: Update Customization instructions.
1297 2015-01-19 Jonas Bernoulli <jonas@bernoul.li>
1299 Define Ido keymaps once (bug#17000).
1300 * ido.el (ido-common-completion-map)
1301 (ido-file-dir-completion-map)
1302 (ido-file-completion-map, ido-buffer-completion-map): Set up key
1303 bindings when each variable is defined.
1304 (ido-completion-map): Move definition.
1305 (ido-init-completion-maps): Noop.
1306 (ido-common-initialization): Don't call it.
1307 (ido-setup-completion-map): Improve doc-string, cleanup.
1309 2015-01-19 Ivan Shmakov <ivan@siamics.net>
1311 * cus-dep.el (custom-make-dependencies): Ensure that
1312 default-directory is interpreted as a directory (see bug#19140.)
1314 2015-01-19 Dmitry Gutov <dgutov@yandex.ru>
1316 * progmodes/xref.el (xref--display-position):
1317 Set `other-window-scroll-buffer'.
1318 (xref-goto-xref): Use `user-error'.
1320 2015-01-19 Dmitry Gutov <dgutov@yandex.ru>
1322 * progmodes/xref.el (xref--display-history): New variable.
1323 (xref--window-configuration): Remove.
1324 (xref--save-to-history): New function.
1325 (xref--display-position): Use it. Add new argument.
1326 (xref--restore-window-configuration): Remove.
1327 (xref--show-location, xref-show-location-at-point):
1329 (xref--xref-buffer-mode): Don't use `pre-command-hook'.
1330 (xref--quit): New command.
1331 (xref-goto-xref): Use it.
1332 (xref--xref-buffer-mode-map): Bind `q' to it.
1334 2015-01-18 Dmitry Gutov <dgutov@yandex.ru>
1336 * progmodes/xref.el (xref-goto-xref): Perform the jump even inside
1337 indentation or at eol.
1339 2015-01-18 Stefan Monnier <monnier@iro.umontreal.ca>
1341 * emacs-lisp/eieio-core.el: Add `subclass' specializer for cl-generic.
1342 (eieio--generic-subclass-tagcode, eieio--generic-subclass-tag-types):
1344 (cl-generic-tagcode-function, cl-generic-tag-types-function): Use them.
1346 * emacs-lisp/eieio.el (defclass): Add obsolescence warning for the
1349 * emacs-lisp/cl-generic.el (cl-generic-define-method): Correctly handle
1350 introduction of a new dispatch argument.
1351 (cl--generic-cache-miss): Handle dispatch on an argument which was not
1352 considered as dispatchable for this method.
1353 (cl-defmethod): Warn when adding a method to an obsolete generic function.
1354 (cl--generic-lambda): Make sure it works if cl-lib is not yet loaded.
1356 * emacs-lisp/eieio-generic.el (eieio--defgeneric-init-form): Use autoloadp.
1358 2015-01-18 Artur Malabarba <bruce.connor.am@gmail.com>
1360 * emacs-lisp/package.el (package--append-to-alist): Rename from
1361 `package--add-to-alist'
1362 Updated docstring due to new name.
1364 2015-01-18 Leo Liu <sdl.web@gmail.com>
1366 * emacs-lisp/cl-extra.el (cl-subseq): Use seq-subseq and fix
1367 multiple evaluation. (Bug#19519)
1369 * emacs-lisp/seq.el (seq-subseq): Throw bad bounding indices
1372 2015-01-18 Stefan Monnier <monnier@iro.umontreal.ca>
1374 * emacs-lisp/eieio-core.el: Add `subclass' specializer for cl-generic.
1375 (eieio--generic-subclass-tagcode, eieio--generic-subclass-tag-types):
1377 (cl-generic-tagcode-function, cl-generic-tag-types-function): Use them.
1379 * emacs-lisp/cl-macs.el (cl-defstruct): Minor optimization when include
1381 (cl-struct-type-p): New function.
1383 * emacs-lisp/cl-generic.el: Add support for cl-next-method-p.
1384 (cl-defmethod): Add edebug spec.
1385 (cl--generic-build-combined-method): Fix call to
1386 cl-no-applicable-method.
1387 (cl--generic-nnm-sample, cl--generic-cnm-sample): New constant.
1388 (cl--generic-isnot-nnm-p): New function.
1389 (cl--generic-lambda): Use it to add support for cl-next-method-p.
1390 (cl-no-next-method, cl-no-applicable-method): Simplify arg list.
1391 (cl-next-method-p): New function.
1393 2015-01-17 Ulrich Müller <ulm@gentoo.org>
1395 * version.el (emacs-repository-get-version): Update docstring.
1397 2015-01-17 Ivan Shmakov <ivan@siamics.net>
1399 * files.el (find-file-other-window, find-file-other-frame):
1400 Use mapc instead of mapcar. (Bug#18175)
1402 * files.el (dir-locals-collect-variables): Use default-directory
1403 in place of the file name while working on non-file buffers, just
1404 like hack-dir-local-variables already does. (Bug#19140)
1406 * textmodes/enriched.el (enriched-encode):
1407 Use inhibit-point-motion-hooks in addition to inhibit-read-only.
1410 * desktop.el (desktop-read): Do not call desktop-clear when no
1411 desktop file is found. (Bug#18371)
1413 * misearch.el (multi-isearch-unload-function): New function.
1414 (misearch-unload-function): New alias. (Bug#19566)
1416 2015-01-17 Stefan Monnier <monnier@iro.umontreal.ca>
1418 * emacs-lisp/eieio-core.el (eieio--class-constructor): Rename from
1419 class-constructor, and make it an alias for `identity'.
1422 * emacs-lisp/eieio.el (eieio-constructor): Handle obsolete object name
1423 argument here (bug#19620)...
1424 (defclass): ...instead of in the constructor here.
1426 2015-01-16 Jorgen Schaefer <contact@jorgenschaefer.de>
1428 * emacs-lisp/package.el (package-archive-priorities):
1429 Specify correct type.
1431 2015-01-17 Ulrich Müller <ulm@gentoo.org>
1433 * version.el (emacs-bzr-version-dirstate, emacs-bzr-version-bzr):
1435 (emacs-repository-get-version): Discard the Bazaar case.
1436 * vc/vc-bzr.el (vc-bzr-version-dirstate): Rename from
1437 emacs-bzr-version-dirstate and move from version.el to here.
1438 (vc-bzr-working-revision): Use it.
1440 2015-01-17 Stefan Monnier <monnier@iro.umontreal.ca>
1442 * emacs-lisp/eieio-generic.el (call-next-method): Don't bother checking
1443 eieio--scoped-class any more.
1445 * emacs-lisp/eieio-core.el (eieio--scoped-class-stack): Remove var.
1446 (eieio--scoped-class): Remove function.
1447 (eieio--with-scoped-class): Remove macro. Replace uses with `progn'.
1448 (eieio--slot-name-index): Don't check the :protection anymore.
1449 (eieio-initializing-object): Remove var.
1450 (eieio-set-defaults): Don't let-bind eieio-initializing-object.
1452 2015-01-17 Stefan Monnier <monnier@iro.umontreal.ca>
1454 Improve handling of doc-strings and describe-function for cl-generic.
1456 * help-mode.el (help-function-def): Add optional arg `type'.
1458 * help-fns.el (find-lisp-object-file-name): Accept any `type' as long
1460 (help-fns-short-filename): New function.
1461 (describe-function-1): Use it. Use autoload-do-load.
1463 * emacs-lisp/find-func.el: Use lexical-binding.
1464 (find-function-regexp): Don't rule out `defgeneric'.
1465 (find-function-regexp-alist): Document new possibility of including
1466 a function instead of a regexp.
1467 (find-function-search-for-symbol): Implement that new possibility.
1468 (find-function-library): Don't assume that `function' is a symbol.
1469 (find-function-do-it): Remove unused var `orig-buf'.
1471 * emacs-lisp/eieio-generic.el (eieio--defalias): Move from eieio-core.
1472 (eieio--defgeneric-init-form): Don't throw away a previous docstring.
1473 (eieio--method-optimize-primary): Don't mess with the docstring.
1474 (defgeneric): Keep the `args' in the docstring.
1475 (defmethod): Don't use the method's docstring for the generic
1476 function's docstring.
1478 * emacs-lisp/eieio-core.el (eieio--defalias): Move to eieio-generic.el.
1479 (eieio-defclass-autoload): Don't record the superclasses any more.
1480 (eieio-defclass-internal): Reuse the old class object if it was just an
1482 (eieio--class-precedence-list): Load the class if it's autoloaded.
1484 * emacs-lisp/cl-generic.el (cl-generic-ensure-function): It's OK to
1485 override an autoload.
1486 (cl-generic-current-method-specializers): Replace dyn-bind variable
1487 with a lexically-scoped macro.
1488 (cl--generic-lambda): Update accordingly.
1489 (cl-generic-define-method): Record manually in the load-history with
1490 type `cl-defmethod'.
1491 (cl--generic-get-dispatcher): Minor optimization.
1492 (cl--generic-search-method): New function.
1493 (find-function-regexp-alist): Add entry for `cl-defmethod' type.
1494 (cl--generic-search-method): Add hyperlinks for methods. Merge the
1495 specializers and the function's arguments.
1497 2015-01-16 Artur Malabarba <bruce.connor.am@gmail.com>
1499 * emacs-lisp/package.el (package--read-pkg-desc):
1500 New function. Read a `define-package' form in current buffer.
1501 Return the pkg-desc, with desc-kind set to KIND.
1502 (package-dir-info): New function. Find package information for a
1503 directory. The return result is a `package-desc'.
1504 (package-install-from-buffer): Install packages from dired buffer.
1505 (package-install-file): Install packages from directory.
1506 (package-desc-suffix)
1507 (package-install-from-archive)
1508 * emacs-lisp/package-x.el (package-upload-buffer-internal):
1509 Ensure all remaining instances of `package-desc-kind' handle the 'dir
1512 2015-01-16 Jorgen Schaefer <contact@jorgenschaefer.de>
1514 * emacs-lisp/package.el: Provide repository priorities.
1515 (package-archive-priorities): New variable.
1516 (package--add-to-alist): New function.
1517 (package--add-to-archive-contents): Use it.
1518 (package-menu--find-upgrades): Use it as well. Small clean up to
1519 make the use of the package name here explicit.
1520 (package-archive-priority): New function.
1521 (package-desc-priority-version): New function.
1523 2015-01-16 Daniel Colascione <dancol@dancol.org>
1525 * cus-start.el (all): Make `ring-bell-function' customizable.
1527 2015-01-16 Dmitry Gutov <dgutov@yandex.ru>
1529 * vc/vc-svn.el (vc-svn-dir-status-files): Pass t as
1530 vc-svn-after-dir-status's second argument. (Bug#19429)
1532 2015-01-16 Samer Masterson <samer@samertm.com> (tiny change)
1534 * pcomplete.el (pcomplete-parse-arguments): Parse arguments
1535 regardless of pcomplete-cycle-completions's value. (Bug#18950)
1537 2015-01-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
1539 * dom.el (dom-strings): New function.
1541 * files.el (directory-files-recursively): Don't use the word
1542 "path" for a file name.
1544 2015-01-15 Wolfgang Jenkner <wjenkner@inode.at>
1546 * calc/calc-units.el (math-units-in-expr-p)
1547 (math-single-units-in-expr-p, math-find-compatible-unit-rec)
1548 (math-extract-units): Handle the `neg' operator. (Bug#19582)
1550 2015-01-15 Stefan Monnier <monnier@iro.umontreal.ca>
1552 * emacs-lisp/cl-macs.el (cl--labels-magic): New constant.
1553 (cl--labels-convert): Use it to ask the macro what is its replacement
1556 * emacs-lisp/cl-generic.el (cl--generic-build-combined-method):
1557 Return the value of the primary rather than the after method.
1559 * emacs-lisp/eieio-core.el: Provide support for cl-generic.
1560 (eieio--generic-tagcode): New function.
1561 (cl-generic-tagcode-function): Use it.
1562 (eieio--generic-tag-types): New function.
1563 (cl-generic-tag-types-function): Use it.
1564 (eieio-object-p): Tighten up the test.
1566 * emacs-lisp/cl-generic.el (cl-generic-define-method): Fix paren typo.
1568 2015-01-14 Stefan Monnier <monnier@iro.umontreal.ca>
1570 * emacs-lisp/cl-generic.el: New file.
1572 * emacs-lisp/cl-macs.el (cl-flet): Allow (FUN EXP) forms.
1573 (cl-load-time-value, cl-labels): Use closures rather than
1575 (cl-macrolet): Use `eval' to create the function value, and support CL
1576 style arguments in for the defined macros.
1578 2015-01-14 Stefan Monnier <monnier@iro.umontreal.ca>
1580 * net/eww.el: Use lexical-binding.
1581 (eww-links-at-point): Remove unused arg.
1582 (eww-mode-map): Inherit from special-mode-map.
1583 (eww-mode): Derive from special-mode. Don't use `setq' on a hook.
1585 2015-01-13 Alan Mackenzie <acm@muc.de>
1587 Allow compilation during loading of CC Mode-derived modes (bug#19206).
1588 * progmodes/cc-bytecomp.el (cc-bytecomp-compiling-or-loading):
1589 New function which walks the stack to discover whether we're compiling
1591 (cc-bytecomp-is-compiling): Reformulate, and move towards beginning.
1592 (cc-bytecomp-is-loading): New defsubst.
1593 (cc-bytecomp-setup-environment, cc-bytecomp-restore-environment):
1594 Use the above defsubsts.
1595 (cc-require-when-compile, cc-bytecomp-defvar)
1596 (cc-bytecomp-defun): Simplify conditionals.
1597 * progmodes/cc-defs.el (cc-bytecomp-compiling-or-loading):
1598 "Borrow" this function from cc-bytecomp.el.
1599 (c-get-current-file): Reformulate using the above.
1600 (c-lang-defconst): Prevent duplicate entries of file names in a
1601 symbol's 'source property.
1602 (c-lang-const): Use cc-bytecomp-is-compiling.
1603 * progmodes/cc-langs.el (c-make-init-lang-vars-fun):
1604 Use cc-bytecomp-is-compiling.
1606 2015-01-13 Stefan Monnier <monnier@iro.umontreal.ca>
1608 * emacs-lisp/eieio-core.el (eieio-defclass): Fix call to `defclass'
1611 2015-01-13 Dmitry Gutov <dgutov@yandex.ru>
1613 * menu-bar.el (menu-bar-goto-menu): Before calling
1614 `xref-marker-stack-empty-p', first check that `xref' is loaded.
1617 2015-01-12 Martin Rudalics <rudalics@gmx.at>
1619 * progmodes/xref.el (xref-marker-stack-empty-p): Add autoload
1622 * frame.el (frame-notice-user-settings): Remove code dealing with
1623 frame-initial-frame-tool-bar-height. Turn off `tool-bar-mode'
1624 only if `window-system-frame-alist' or `default-frame-alist' ask
1626 (make-frame): Update frame-adjust-size-history if needed.
1628 2015-01-12 Paul Eggert <eggert@cs.ucla.edu>
1630 Have 'make' output better GEN names
1631 * Makefile.in (PHONY_EXTRAS): New macro.
1632 (.PHONY): Depend on it, and on $(lisp)/loaddefs.el, so that the
1633 relevant files' time stamps are ignored.
1634 (custom-deps, $(lisp)/cus-load.el, finder-data)
1635 ($(lisp)/finder-inf.el): Use PHONY_EXTRAS.
1636 (custom-deps, $(lisp)/cus-load.el, finder-data)
1637 ($(lisp)/finder-inf.el, autoloads, $(lisp)/loaddefs.el)
1638 ($(lisp)/subdirs.el, update-subdirs):
1639 Output more-accurate destination names with GEN.
1641 Say "ELC foo.elc" instead of "GEN foo.elc"
1642 * Makefile.in (AM_V_ELC, am__v_ELC_, am__v_ELC_0, am__v_ELC_1):
1644 ($(THEFILE)c, .el.elc): Use them.
1646 2015-01-11 Michael Albinus <michael.albinus@gmx.de>
1648 * files.el (directory-files-recursively): Do not include
1649 superfluous remote file names.
1651 2015-01-11 Lars Magne Ingebrigtsen <larsi@gnus.org>
1653 * net/eww.el (eww): Interpret anything that looks like a protocol
1654 designator as a full URL.
1656 2015-01-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
1658 * net/shr.el (shr-urlify): Don't bother the user about
1659 invalidly-encoded display strings.
1661 2015-01-10 Ivan Shmakov <ivan@siamics.net>
1663 * net/shr.el (shr-urlify): Decode URLs before using them as titles
1666 2015-01-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
1668 * net/eww.el (eww): Always interpret URLs that start with https?:
1669 as plain URLs, even if they have spaces in them (bug#19556).
1670 (eww): Also interpret things like "en.wikipedia.org/wiki/Free
1671 software" as an URL.
1672 (eww): Don't interpret "org/foo" as an URL.
1673 (eww): Clear the title when loading so that we don't display
1674 misleading information.
1676 2015-01-10 Daniel Colascione <dancol@dancol.org>
1678 * vc/vc-hooks.el (vc-prefix-map): Bind vc-delete-file to C-x v x,
1679 by analogy with dired.
1681 2015-01-09 Daniel Colascione <dancol@dancol.org>
1683 * progmodes/js.el (js--function-heading-1-re)
1684 (js--function-prologue-beginning): Parse ES6 generator function
1685 declarations. (That is, "function* name()").
1687 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1689 * emacs-lisp/eieio.el (defclass): Move from eieio-defclass all the code
1690 that creates functions, and most of the sanity checks.
1691 Mark as obsolete the <class>-child-p function.
1692 * emacs-lisp/eieio-core.el (eieio--define-field-accessors): Remove.
1693 (eieio--class, eieio--object): Use cl-defstruct.
1694 (eieio--object-num-slots): Define manually.
1695 (eieio-defclass-autoload): Use eieio--class-make.
1696 (eieio-defclass-internal): Rename from eieio-defclass. Move all the
1697 `(lambda...) definitions and most of the sanity checks to `defclass'.
1698 Mark as obsolete the <class>-list-p function, the <class> variable and
1699 the <initarg> variables. Use pcase-dolist.
1700 (eieio-defclass): New compatibility function.
1701 * emacs-lisp/eieio-opt.el (eieio-build-class-alist)
1702 (eieio-class-speedbar): Don't use eieio-default-superclass var.
1704 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1706 * emacs-lisp/eieio-generic.el: New file.
1707 * emacs-lisp/eieio-core.el: Move all generic function code to
1709 (eieio--defmethod): Declare.
1711 * emacs-lisp/eieio.el: Require eieio-generic. Move all generic
1712 function code to eieio-generic.el.
1713 * emacs-lisp/eieio-opt.el (eieio-help-generic): Move to
1715 * emacs-lisp/eieio-datadebug.el (eieio-debug-methodinvoke): Update call
1716 to eieio--generic-call.
1717 * emacs-lisp/eieio-base.el (eieio-instance-inheritor): Don't use
1720 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1722 * emacs-lisp/chart.el (chart-add-sequence, chart-bar-quickie):
1723 Don't use <class> as a variable.
1725 * emacs-lisp/eieio.el (same-class-p): Accept class object as well.
1726 (call-next-method): Simplify.
1727 (clone): Obey eieio-backward-compatibility.
1729 * emacs-lisp/eieio-opt.el (eieio-read-generic-p): Remove.
1730 (eieio-read-generic): Use `generic-p' instead.
1732 * emacs-lisp/eieio-core.el (eieio-backward-compatibility): New var.
1733 (eieio-defclass-autoload): Obey it.
1734 (eieio--class-object): Improve error behavior.
1735 (eieio-class-children-fast, same-class-fast-p): Remove. Inline at
1737 (eieio--defgeneric-form-primary-only): Rename from
1738 eieio-defgeneric-form-primary-only; update all callers.
1739 (eieio--defgeneric-form-primary-only-one): Rename from
1740 eieio-defgeneric-form-primary-only-one; update all callers.
1741 (eieio-defgeneric-reset-generic-form)
1742 (eieio-defgeneric-reset-generic-form-primary-only)
1743 (eieio-defgeneric-reset-generic-form-primary-only-one): Remove.
1744 (eieio--method-optimize-primary): New function to replace them.
1745 (eieio--defmethod, eieio-defmethod): Use it.
1746 (eieio--perform-slot-validation): Rename from
1747 eieio-perform-slot-validation; update all callers.
1748 (eieio--validate-slot-value): Rename from eieio-validate-slot-value.
1749 Change `class' to be a class object. Update all callers.
1750 (eieio--validate-class-slot-value): Rename from
1751 eieio-validate-class-slot-value. Change `class' to be a class object.
1753 (eieio-oset-default): Accept class object as well.
1754 (eieio--generic-call-primary-only): Rename from
1755 eieio-generic-call-primary-only. Update all callers.
1757 * emacs-lisp/eieio-base.el (eieio-persistent-validate/fix-slot-value):
1758 Improve error messages.
1759 (eieio-persistent-slot-type-is-class-p): Handle `list-of' types, as
1760 well as user-defined types. Emit errors for legacy types like
1761 <class>-child and <class>-list, if not eieio-backward-compatibility.
1763 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1765 * emacs-lisp/eieio.el (eieio-class-parents): Accept class objects.
1766 (eieio--class-slot-initarg): Rename from class-slot-initarg.
1767 Change `class' arg to be a class object. Update all callers.
1768 (call-next-method): Adjust to new return value of `eieio-generic-form'.
1769 (eieio-default-superclass): Set var to the class object.
1770 (eieio-edebug-prin1-to-string): Fix recursive call for lists.
1771 Change print behavior to affect class objects rather than
1774 * emacs-lisp/eieio-core.el (eieio-class-object): New function.
1775 (eieio-class-parents-fast): Remove macro.
1776 (eieio--class-option-assoc): Rename from class-option-assoc.
1778 (eieio--class-option): Rename from class-option. Change `class' arg to
1779 be a class object. Update all callers.
1780 (eieio--class-method-invocation-order): Rename from
1781 class-method-invocation-order. Change `class' arg to be a class
1782 object. Update all callers.
1783 (eieio-defclass-autoload, eieio-defclass): Set the `parent' field to
1784 a list of class objects rather than names.
1785 (eieio-defclass): Remove redundant quotes. Use `eieio-oref-default'
1786 for accessors to class allocated slots.
1787 (eieio--perform-slot-validation-for-default): Rename from
1788 eieio-perform-slot-validation-for-default. Update all callers.
1789 (eieio--add-new-slot): Rename from eieio-add-new-slot.
1790 Update all callers. Use push.
1791 (eieio-copy-parents-into-subclass): Adjust to new content of
1792 `parent' field. Use dolist.
1793 (eieio-oref): Remove support for providing a class rather than
1795 (eieio-oref-default): Prefer class objects over class names.
1796 (eieio--slot-originating-class-p): Rename from
1797 eieio-slot-originating-class-p. Update all callers. Use `or'.
1798 (eieio--slot-name-index): Turn check into assertion.
1799 (eieio--class-slot-name-index): Rename from
1800 eieio-class-slot-name-index. Change `class' arg to be a class object.
1802 (eieio-attribute-to-initarg): Move to eieio-test-persist.el.
1803 (eieio--c3-candidate): Rename from eieio-c3-candidate.
1805 (eieio--c3-merge-lists): Rename from eieio-c3-merge-lists.
1807 (eieio--class-precedence-c3): Rename from eieio-class-precedence-c3.
1809 (eieio--class-precedence-dfs): Rename from eieio-class-precedence-dfs.
1811 (eieio--class-precedence-bfs): Rename from eieio-class-precedence-bfs.
1812 Update all callers. Adjust to new `parent' content.
1813 (eieio--class-precedence-list): Rename from -class-precedence-list.
1815 (eieio-generic-call): Use autoloadp and autoload-do-load.
1816 Slight simplification.
1817 (eieio-generic-call, eieio-generic-call-primary-only): Adjust to new
1818 return value of `eieio-generic-form'.
1819 (eieiomt-add): Index the hashtable with class objects rather than
1821 (eieio-generic-form): Accept class objects as well.
1823 * emacs-lisp/eieio-base.el (eieio-persistent-convert-list-to-object):
1824 Adjust to new convention for eieio-persistent-validate/fix-slot-value.
1825 (eieio-persistent-validate/fix-slot-value):
1826 Change `class' arg to be a class object. Update all callers.
1828 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1830 * emacs-lisp/eieio.el (child-of-class-p): Make it accept class objects
1831 additionally to class names.
1833 * emacs-lisp/eieio-core.el (eieio--with-scoped-class): Use let-binding.
1834 (object): Remove first (constant) slot; rename second to `class-tag'.
1835 (eieio--object-class-object, eieio--object-class-name): New funs
1836 to replace eieio--object-class.
1837 (eieio--class-object, eieio--class-p): New functions.
1838 (same-class-fast-p): Make it a defsubst, change its implementation
1839 to check the class objects rather than their names.
1840 (eieio-object-p): Rewrite.
1841 (eieio-defclass): Adjust the object initialization according to the new
1843 (eieio--scoped-class): Declare it returns a class object (not a class
1844 name any more). Adjust calls accordingly (along with calls to
1845 eieio--with-scoped-class).
1846 (eieio--slot-name-index): Rename from eieio-slot-name-index and change
1847 its class arg to be a class object. Adjust callers accordingly.
1848 (eieio-slot-originating-class-p): Make its start-class arg a class
1849 object. Adjust all callers.
1850 (eieio--initarg-to-attribute): Rename from eieio-initarg-to-attribute.
1851 Make its `class' arg a class object. Adjust all callers.
1853 * emacs-lisp/eieio-base.el (eieio-persistent-validate/fix-slot-value):
1854 Use eieio--slot-name-index rather than eieio-slot-name-index.
1856 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1858 * emacs-lisp/eieio.el (make-instance): Simplify by not adding an object
1860 (eieio-object-name): Use eieio-object-name-string.
1861 (eieio--object-names): New const.
1862 (eieio-object-name-string, eieio-object-set-name-string): Re-implement
1863 using a hashtable rather than a built-in slot.
1864 (eieio-constructor): Rename from `constructor'. Remove `newname' arg.
1865 (clone): Don't mess with the object's "name".
1867 * emacs-lisp/eieio-custom.el (eieio-widget-test): Remove dummy arg.
1868 (eieio-object-value-get): Use eieio-object-set-name-string.
1870 * emacs-lisp/eieio-core.el (eieio--defalias): Follow aliases.
1871 (eieio--object): Remove `name' field.
1872 (eieio-defclass): Adjust to new convention where constructors don't
1873 take an "object name" any more.
1874 (eieio--defgeneric-init-form, eieio--defmethod): Follow aliases.
1875 (eieio-validate-slot-value, eieio-oset-default)
1876 (eieio-slot-name-index): Don't hardcode eieio--object-num-slots.
1877 (eieio-generic-call-primary-only): Simplify.
1879 * emacs-lisp/eieio-base.el (clone) <eieio-instance-inheritor>:
1880 Use call-next-method.
1881 (eieio-constructor): Rename from `constructor'.
1882 (eieio-persistent-convert-list-to-object): Drop objname.
1883 (eieio-persistent-validate/fix-slot-value): Don't hardcode
1884 eieio--object-num-slots.
1885 (eieio-named): Use a normal slot.
1886 (slot-missing) <eieio-named>: Remove.
1887 (eieio-object-name-string, eieio-object-set-name-string, clone)
1888 <eieio-named>: New methods.
1890 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1892 * emacs-lisp/eieio-core.el (eieio--class-v): Rename from class-v.
1893 (method-*): Add a "eieio--" prefix to those constants.
1895 * emacs-lisp/eieio.el: Move edebug specs to the corresponding macro.
1897 * emacs-lisp/eieio-speedbar.el: Use lexical-binding.
1899 2015-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
1901 * emacs-lisp/eieio.el (child-of-class-p): Fix case where `class' is
1902 `eieio-default-superclass'.
1904 * emacs-lisp/eieio-datadebug.el: Use lexical-binding.
1906 * emacs-lisp/eieio-custom.el: Use lexical-binding.
1907 (eieio-object-value-to-abstract): Simplify.
1909 * emacs-lisp/eieio-opt.el (eieio-build-class-list): Use cl-mapcan.
1910 (eieio-build-class-alist): Use dolist.
1911 (eieio-all-generic-functions): Adjust to use of hashtables.
1913 * emacs-lisp/eieio-core.el (class): Rename field symbol-obarray to
1914 symbol-hashtable. It contains a hashtable instead of an obarray.
1915 (generic-p): Use symbol property `eieio-method-hashtable' instead of
1916 `eieio-method-obarray'.
1917 (generic-primary-only-p, generic-primary-only-one-p):
1918 Slight optimization.
1919 (eieio-defclass-autoload-map): Use a hashtable instead of an obarray.
1920 (eieio-defclass-autoload, eieio-defclass): Adjust/simplify accordingly.
1921 (eieio-class-un-autoload): Use autoload-do-load.
1922 (eieio-defclass): Use dolist, cl-pushnew, cl-callf.
1923 Use new cl-deftype-satisfies. Adjust to use of hashtables.
1924 Don't hardcode the value of eieio--object-num-slots.
1925 (eieio-defgeneric-form-primary-only-one): Remove `doc-string' arg.
1926 Use a closure rather than a backquoted lambda.
1927 (eieio--defmethod): Adjust call accordingly. Set doc-string via the
1928 function-documentation property.
1929 (eieio-slot-originating-class-p, eieio-slot-name-index)
1930 (eieiomt--optimizing-hashtable, eieiomt-install, eieiomt-add)
1931 (eieio-generic-form): Adjust to use of hashtables.
1932 (eieiomt--sym-optimize): Rename from eieiomt-sym-optimize; take
1933 additional class argument.
1934 (eieio-generic-call-methodname): Remove, unused.
1936 * emacs-lisp/eieio-base.el (eieio-persistent-slot-type-is-class-p):
1939 2015-01-08 Eli Zaretskii <eliz@gnu.org>
1941 * simple.el (line-move-visual): When converting X pixel coordinate
1942 to temporary-goal-column, adjust the value for right-to-left
1943 screen lines. This fixes vertical-motion, next/prev-line, etc.
1945 2015-01-08 Glenn Morris <rgm@gnu.org>
1947 * files.el (file-tree-walk): Remove; of unknown authorship. (Bug#19325)
1949 2015-01-07 K. Handa <handa@gnu.org>
1951 * international/ccl.el (define-ccl-program): Improve the docstring.
1953 2015-01-06 Sam Steingold <sds@gnu.org>
1955 * shell.el (shell-display-buffer-actions): Remove,
1956 use `display-buffer-alist' instead.
1958 2015-01-05 Dmitry Gutov <dgutov@yandex.ru>
1960 * progmodes/xref.el (xref--insert-xrefs): Add `help-echo' property
1963 2015-01-05 Stefan Monnier <monnier@iro.umontreal.ca>
1965 * minibuffer.el (completion-category-defaults): New var.
1966 Set unicode-name to use substring completion.
1967 (completion-category-defaults): Set it to nil.
1969 2015-01-04 Dmitry Gutov <dgutov@yandex.ru>
1971 Add mouse interaction to xref.
1972 * progmodes/xref.el (xref--button-map): New variable.
1973 (xref--mouse-2): New command.
1974 (xref--insert-xrefs): Add `mouse-face' and `keymap' properties to
1975 the inserted references.
1977 2015-01-04 Paul Eggert <eggert@cs.ucla.edu>
1979 Less 'make' chatter for lisp dir
1980 * Makefile.in (THEFILE): Define to be 'no-such-file' by default,
1981 to make it clearer that the caller must specify it.
1982 (compile-onefile): Remove, replacing by ...
1983 ($(THEFILE)c): ... new rule. This lets us use AM_V_GEN here.
1984 ($(THEFILE)c, .el.elc, $(MH_E_DIR)/mh-loaddefs.el)
1985 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
1986 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
1987 Use AM_V_GEN to lessen 'make' chatter.
1988 (.el.elc): Omit duplicate comment.
1990 Less 'make' chatter in batch mode
1991 * emacs-lisp/autoload.el (autoload-generate-file-autoloads):
1992 * emacs-lisp/bytecomp.el (byte-compile-file):
1993 * files.el (save-buffer, basic-save-buffer):
1994 * international/quail.el (quail-update-leim-list-file):
1995 Don't output messages like "Generating ..." in batch mode.
1997 2015-01-04 Dmitry Gutov <dgutov@yandex.ru>
1999 Unbreak `mouse-action' property in text buttons.
2000 * button.el (push-button): Fix regression from 2012-12-06.
2002 2015-01-03 Dmitry Gutov <dgutov@yandex.ru>
2004 * progmodes/xref.el (xref-marker-stack-empty-p): New function.
2006 * menu-bar.el (menu-bar-goto-menu): Use it.
2008 2015-01-03 Dmitry Gutov <dgutov@yandex.ru>
2010 * progmodes/xref.el (xref--window-configuration): New variable.
2011 (xref-show-location-at-point): New command.
2012 (xref--restore-window-configuration): New function.
2013 (xref-next-line, xref-prev-line): Delegate to
2014 `xref-show-location-at-point'.
2015 (xref--location-at-point): Don't signal the error.
2016 (xref-goto-xref): Do that here instead.
2017 (xref--xref-buffer-mode): Add `xref--restore-window-configuration'
2018 to `pre-command-hook'.
2019 (xref--xref-buffer-mode-map): Don't remap `next-line' and
2020 `previous-line'. Additionally bind `xref-next-line' and
2021 `xref-prev-line' to `n' and `p' respectively.
2022 Bind `xref-show-location-at-point' to `C-o'.
2024 2015-01-01 Eli Zaretskii <eliz@gnu.org>
2026 * tool-bar.el (tool-bar-local-item)
2027 (tool-bar-local-item-from-menu): Call force-mode-line-update to
2028 make sure the tool-bar changes show on display.
2030 2015-01-01 Michael Albinus <michael.albinus@gmx.de>
2032 Sync with Tramp 2.2.11.
2034 * net/tramp-compat.el (top): Require cl-macs for Emacs 22.
2035 Make an alias for `default-toplevel-value' if it doesn't exist.
2037 * net/tramp-smb.el (tramp-smb-handle-copy-directory):
2038 Use `tramp-compat-delete-directory'.
2040 * net/trampver.el: Update release number.
2042 2015-01-01 Filipp Gunbin <fgunbin@fastmail.fm>
2044 * autorevert.el (auto-revert-handler): Fix auto-revert-tail-mode
2045 for remote files. (Bug#19449)
2047 2015-01-01 Simen Heggestøyl <simenheg@gmail.com> (tiny change)
2049 * textmodes/css-mode.el (scss-mode): Fix typo (bug#19446).
2051 2014-12-31 Paul Eggert <eggert@cs.ucla.edu>
2053 Less 'make' chatter in lisp directory
2054 * Makefile.in (AM_DEFAULT_VERBOSITY, AM_V_GEN, am__v_GEN_)
2055 (am__v_GEN_0, am__v_GEN_1): New macros, from ../src/Makefile.in.
2056 (custom-deps, finder-data, autoloads, update-subdirs): Use them.
2058 2014-12-31 Filipp Gunbin <fgunbin@fastmail.fm>
2060 * info.el (info-display-manual): Limit the completion alternatives
2061 to currently visited manuals if prefix argument is non-nil.
2063 2014-12-30 Paul Eggert <eggert@cs.ucla.edu>
2065 * Makefile.in (semantic): Simplify.
2067 2014-12-30 Juri Linkov <juri@linkov.net>
2069 * net/eww.el (eww-isearch-next-buffer): New function.
2070 (eww-mode): Set multi-isearch-next-buffer-function to it.
2072 2014-12-30 Dmitry Gutov <dgutov@yandex.ru>
2074 * progmodes/xref.el (xref-find-definitions): Mention "no
2075 identifier at point" case in the docstring.
2077 * menu-bar.el (menu-bar-goto-uses-etags-p): New function.
2078 (menu-bar-goto-menu): Use it to show or hide the `set-tags-name'
2079 and `separator-tag-file' items.
2081 2014-12-29 Paul Eggert <eggert@cs.ucla.edu>
2083 * obsolete/pc-select.el (pc-selection-mode): Use system-type.
2084 This is instead of system-name, which is both wrong here and obsolete.
2085 * desktop.el (desktop-save-frameset):
2086 * dnd.el (dnd-get-local-file-uri):
2087 * nxml/rng-uri.el (rng-uri-file-name-1):
2088 Prefer (system-name) to system-name, and avoid naming
2089 locals 'system-name'.
2090 * startup.el (system-name): Now an obsolete variable. (Bug#19438)
2092 2014-12-29 Dmitry Gutov <dgutov@yandex.ru>
2094 * menu-bar.el (menu-bar-next-tag-other-window)
2095 (menu-bar-next-tag): Remove.
2097 2014-12-29 K. Handa <handa@gnu.org>
2099 * international/mule.el (make-translation-table-from-alist):
2100 Accept nil or zero-length vector for FROM and TO.
2102 2014-12-29 Lars Ingebrigtsen <larsi@gnus.org>
2104 * net/eww.el (eww-mode): Truncate overlong lines for prettier
2105 display when resizing.
2107 * net/shr.el (shr-width): Default to using the window width when
2110 2014-12-29 Dmitry Gutov <dgutov@yandex.ru>
2112 Unbreak jumping to an alias's definition.
2113 * emacs-lisp/find-func.el (find-function-library): Return a pair
2114 (ORIG-FUNCTION . LIBRARY) instead of just its second element.
2115 (find-function-noselect): Use it.
2116 * progmodes/elisp-mode.el (elisp--xref-identifier-file): Rename to
2117 `elisp--xref-identifier-location', incorporate logic from
2118 `elisp--xref-find-definitions', use the changed
2119 `find-function-library' return value.
2121 2014-12-29 Juri Linkov <juri@linkov.net>
2123 * comint.el (comint-history-isearch-message): Use field-beginning
2124 instead of comint-line-beginning-position - that's more fixes for
2125 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00305.html
2126 (comint-history-isearch-message): Fix args of isearch-message-prefix.
2128 2014-12-29 Juri Linkov <juri@linkov.net>
2130 * vc/vc-dir.el (vc-dir-display-file): New command (bug#19450).
2131 (vc-dir-mode-map): Bind it to "\C-o".
2132 (vc-dir-menu-map): Add it to menu.
2134 2014-12-29 Dmitry Gutov <dgutov@yandex.ru>
2136 * progmodes/etags.el (find-tag-other-window)
2137 (find-tag-other-frame, find-tag-regexp, tags-loop-continue)
2138 (tags-apropos): Declare obsolete.
2140 * menu-bar.el (menu-bar-goto-menu): Replace all but one etags item
2143 2014-12-28 Eli Zaretskii <eliz@gnu.org>
2145 * international/mule.el (define-coding-system): Fix typos in the
2148 2014-12-28 Kenichi Handa <handa@gnu.org>
2150 * international/mule.el (define-coding-system): Improve the doc
2153 2014-12-28 Ivan Shmakov <ivan@siamics.net>
2155 * net/shr.el (shr-tag-table): Fix handling of tbody/header/footer
2156 elements in tables (bug#19444).
2158 * net/eww.el (eww-handle-link): Fix typo in "up" rel handling
2161 2014-12-28 Juri Linkov <juri@linkov.net>
2163 * vc/compare-w.el: Require diff-mode for diff faces.
2164 (compare-windows-removed, compare-windows-added): New faces
2165 inheriting from diff faces.
2166 (compare-windows): Define obsolete face alias.
2167 (compare-windows-highlight): Replace face `compare-windows' with
2168 new faces `compare-windows-added' and `compare-windows-removed'
2170 (compare-windows-get-recent-window): Signal an error when
2171 no other window is found (bug#19170).
2173 2014-12-27 Dmitry Gutov <dgutov@yandex.ru>
2175 * progmodes/elisp-mode.el (elisp--xref-identifier-file):
2176 Skip features that have no sources.
2178 * simple.el (execute-extended-command):
2179 When `suggest-key-bindings' is nil, don't.
2181 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
2183 python.el: Native readline completion.
2184 * progmodes/python.el (python-shell-completion-native-disabled-interpreters)
2185 (python-shell-completion-native-enable)
2186 (python-shell-completion-native-output-timeout): New defcustoms.
2187 (python-shell-completion-native-interpreter-disabled-p)
2188 (python-shell-completion-native-try)
2189 (python-shell-completion-native-setup)
2190 (python-shell-completion-native-turn-off)
2191 (python-shell-completion-native-turn-on)
2192 (python-shell-completion-native-turn-on-maybe)
2193 (python-shell-completion-native-turn-on-maybe-with-msg)
2194 (python-shell-completion-native-toggle): New functions.
2195 (python-shell-completion-native-get-completions): New function.
2196 (python-shell-completion-at-point): Use it.
2198 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
2200 python.el: Enhance shell user interaction and deprecate
2201 python-shell-get-or-create-process.
2202 * progmodes/python.el (python-shell-get-process-or-error):
2204 (python-shell-with-shell-buffer): Use it.
2205 (python-shell-send-string, python-shell-send-region)
2206 (python-shell-send-buffer, python-shell-send-defun)
2207 (python-shell-send-file, python-shell-switch-to-shell): Use it.
2208 Add argument MSG to display user-friendly message when no process
2210 (python-shell-switch-to-shell): Call pop-to-buffer with NORECORD.
2211 (python-shell-make-comint): Rename argument SHOW from POP.
2212 Use display-buffer instead of pop-to-buffer.
2213 (run-python): Doc fix. Return process.
2214 (python-shell-get-or-create-process): Make obsolete.
2216 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
2218 * progmodes/python.el (python-shell-buffer-substring):
2219 Handle cornercase when region sent starts at point-min.
2221 2014-12-27 Eli Zaretskii <eliz@gnu.org>
2223 * language/misc-lang.el (composition-function-table): Add Syriac
2224 characters and also ZWJ/ZWNJ.
2225 See http://lists.gnu.org/archive/html/help-gnu-emacs/2014-12/msg00248.html
2228 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
2230 python.el: Fix message when sending region.
2231 * progmodes/python.el (python-shell-send-region): Rename argument
2232 send-main from nomain. Fix message.
2233 (python-shell-send-buffer): Rename argument send-main from arg.
2235 python.el: Cleanup temp files even with eval errors.
2236 * progmodes/python.el (python-shell-send-file): Make file-name
2237 mandatory. Fix temp file removal in the majority of cases.
2239 python.el: Handle file encoding for shell.
2240 * progmodes/python.el (python-rx-constituents): Add coding-cookie.
2241 (python-shell--save-temp-file): Write file with proper encoding.
2242 (python-shell-buffer-substring): Add coding cookie for detected
2243 encoding to generated content. Fix blank lines when removing
2245 (python-shell-send-file): Handle file encoding.
2246 (python-info-encoding-from-cookie)
2247 (python-info-encoding): New functions.
2249 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
2251 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
2252 Use `tramp-rsh-end-of-line', it ought to be more robust.
2254 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
2256 * progmodes/js.el (js-syntax-propertize): "return" can't be divided
2259 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
2261 * net/tramp.el (tramp-read-passwd): Ignore errors from `auth-source-*'.
2263 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band): Use "\n"
2264 as end-of-line delimeter for passwords, when running on MS Windows.
2266 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
2268 * progmodes/sh-script.el (sh-set-shell): Don't change the global value
2269 of indent-line-function (bug#19433).
2271 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
2273 Fix line numbers on Python shell.
2274 * progmodes/python.el (python-shell--save-temp-file): Do not
2275 append coding cookie.
2276 (python-shell-send-string): Generalize for
2277 python-shell-send-region.
2278 (python--use-fake-loc): Delete var.
2279 (python-shell-buffer-substring): Cleanup fake-loc logic.
2280 (python-shell-send-region): Remove fake-loc logic, simplify.
2282 2014-12-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
2284 * progmodes/python.el (python-indent-post-self-insert-function):
2285 Make colon to re-indent only for dedenters, handling
2286 multiline-statements gracefully.
2288 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
2290 * net/tramp.el (tramp-handle-insert-file-contents):
2291 Set `find-file-not-found-functions' in case of errors. (Bug#18623)
2293 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
2295 * net/tramp-sh.el (tramp-send-command-and-read): New optional
2297 (tramp-get-remote-path): Use it.
2299 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
2301 * subr.el (redisplay-dont-pause): Mark as obsolete.
2303 2014-12-27 Michael Albinus <michael.albinus@gmx.de>
2305 * net/tramp.el (tramp-error-with-buffer): Call `message' properly.
2306 (tramp-accept-process-output): Use nil as argument for
2307 `accept-process-output', when there is a gateway prepended.
2309 * net/tramp-gw.el (tramp-gw-open-connection): Suppress traces in
2311 (tramp-gw-open-connection): Set process coding system 'binary.
2312 (tramp-gw-open-network-stream): Handle HTTP error 403.
2314 * net/tramp-sh.el (tramp-compute-multi-hops): Suppress traces in
2316 (tramp-maybe-open-connection): Set connection property "gateway".
2318 2014-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
2320 * subr.el (sit-for): Tweak docstring (bug#19381).
2322 2014-12-27 Dmitry Gutov <dgutov@yandex.ru>
2324 * vc/vc-git.el (vc-git-after-dir-status-stage): Move `up-to-date'
2325 stage to after `diff-index' (bug#19386).
2327 2014-12-27 João Távora <joaotavora@gmail.com>
2329 * textmodes/tex-mode.el (tex-insert-quote): Consider and respect
2330 `electric-pair-mode' (bug#19356).
2332 2014-12-27 Dmitry Gutov <dgutov@yandex.ru>
2334 elisp-xref-find: Don't create buffers eagerly.
2336 * progmodes/elisp-mode.el (elisp--identifier-location): Fold back
2337 into `elisp--company-location'.
2338 (elisp--identifier-completion-table): Rename to
2339 `elisp--identifier-completion-table', and do not include just any
2340 symbols with a property list.
2341 (elisp-completion-at-point): Revert the 2014-12-25 change.
2342 (elisp--xref-identifier-file): New function.
2343 (elisp--xref-find-definitions): Use it.
2345 * emacs-lisp/find-func.el (find-function-library): New function,
2346 extracted from `find-function-noselect'.
2348 * progmodes/xref.el (xref-elisp-location): New class.
2349 (xref-make-elisp-location): New function.
2350 (xref-location-marker): New implementation.
2352 2014-12-27 Juri Linkov <juri@linkov.net>
2354 * minibuffer.el (minibuffer-completion-help):
2355 Use shrink-window-if-larger-than-buffer in window-height
2356 when temp-buffer-resize-mode is nil.
2358 * window.el (with-displayed-buffer-window): Remove window-height
2359 from the action alist in the temp-buffer-window-show call
2360 when window-height is handled explicitly afterwards (bug#19355).
2362 2014-12-27 Juri Linkov <juri@linkov.net>
2364 Support subdirectories when saving places in dired.
2365 * saveplace.el (toggle-save-place, save-place-to-alist)
2366 (save-places-to-alist, save-place-dired-hook):
2367 Use dired-current-directory instead of dired-directory (bug#19436).
2368 (save-place-dired-hook): Add check for alist to make the new
2369 format future-proof to allow other possible formats.
2371 2014-12-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
2373 python.el: Generate clearer shell buffer names.
2374 * progmodes/python.el (python-shell-get-process-name)
2375 (python-shell-internal-get-process-name): Use `buffer-name`.
2376 (python-shell-internal-get-or-create-process): Simplify.
2378 2014-12-26 Dmitry Gutov <dgutov@yandex.ru>
2380 Add basic xref apropos implementation to elisp-mode.
2382 * progmodes/elisp-mode.el (elisp--xref-find-definitions):
2383 Filter out nil results.
2384 (elisp--xref-find-apropos): New function.
2385 (elisp-xref-find): Use it.
2387 * progmodes/xref.el (xref--show-xrefs): Use `user-error'.
2389 2014-12-25 Filipp Gunbin <fgunbin@fastmail.fm>
2391 * dired-aux.el (dired-maybe-insert-subdir):
2392 Make dired-maybe-insert-subdir always skip trivial files.
2394 2014-12-25 Helmut Eller <eller.helmut@gmail.com>
2395 Dmitry Gutov <dgutov@yandex.ru>
2397 Consolidate cross-referencing commands.
2399 Move autoloaded bindings for `M-.', `M-,', `C-x 4 .' and
2400 `C-x 5 .' from etags.el to xref.el.
2402 * progmodes/xref.el: New file.
2404 * progmodes/elisp-mode.el (elisp--identifier-types): New variable.
2405 (elisp--identifier-location): New function, extracted from
2406 `elisp--company-location'.
2407 (elisp--company-location): Use it.
2408 (elisp--identifier-completion-table): New variable.
2409 (elisp-completion-at-point): Use it.
2410 (emacs-lisp-mode): Set the local values of `xref-find-function'
2411 and `xref-identifier-completion-table-function'.
2412 (elisp-xref-find, elisp--xref-find-definitions)
2413 (elisp--xref-identifier-completion-table): New functions.
2415 * progmodes/etags.el (find-tag-marker-ring): Mark obsolete in
2416 favor of `xref--marker-ring'.
2417 (tags-lazy-completion-table): Autoload.
2418 (tags-reset-tags-tables): Use `xref-clear-marker-stack'.
2419 (find-tag-noselect): Use `xref-push-marker-stack'.
2420 (pop-tag-mark): Make an alias for `xref-pop-marker-stack'.
2421 (etags--xref-limit): New constant.
2422 (etags-xref-find, etags--xref-find-definitions): New functions.
2424 2014-12-25 Martin Rudalics <rudalics@gmx.at>
2426 * cus-start.el (resize-mini-windows): Make it customizable.
2428 2014-12-24 Stephen Leake <stephen_leake@stephe-leake.org>
2430 * startup.el (fancy-about-text): Change buttons for etc/CONTRIBUTE
2431 to (info "(emacs)Contributing"). (Bug#19299)
2433 2014-12-24 Martin Rudalics <rudalics@gmx.at>
2435 * window.el (mouse-autoselect-window-position-1): New variable.
2436 (mouse-autoselect-window-cancel)
2437 (mouse-autoselect-window-select, handle-select-window):
2438 With delayed autoselection select window only if mouse moves after
2439 selecting its frame.
2441 2014-12-24 Michael Albinus <michael.albinus@gmx.de>
2443 * eshell/esh-ext.el (eshell-find-interpreter): Expand relative
2444 remote file names. (Bug#18782)
2446 2014-12-23 Sam Steingold <sds@gnu.org>
2448 * shell.el (shell-display-buffer-actions): New user option.
2449 (shell): Pass it to `pop-to-buffer' instead of hard-coding
2450 `pop-to-buffer-same-window'.
2452 2014-12-23 Stefan Monnier <monnier@iro.umontreal.ca>
2454 * progmodes/js.el (js--syntax-propertize-regexp-syntax-table): New var.
2455 (js-syntax-propertize-regexp): Use it to recognize "slash in
2456 a character class" (bug#19397).
2458 2014-12-22 Stefan Monnier <monnier@iro.umontreal.ca>
2460 * completion.el: Use post-self-insert-hook (bug#19400).
2461 (completion-separator-self-insert-command)
2462 (completion-separator-self-insert-autofilling): Remove.
2463 (completion-separator-chars): New var.
2464 (completion-c-mode-hook, completion-setup-fortran-mode): Use it instead
2465 of changing the keymap.
2466 (completion--post-self-insert): New function.
2467 (dynamic-completion-mode): Use it instead of rebinding keys.
2468 (cmpl--completion-string): Rename from completion-string.
2469 (add-completion-to-head, delete-completion): Let-bind it explicitly.
2471 2014-12-22 Bozhidar Batsov <bozhidar@batsov.com>
2473 * progmodes/ruby-mode.el (ruby--string-region): Simplify code
2474 by leveraging `syntax-ppss'.
2476 2014-12-22 Artur Malabarba <bruce.connor.am@gmail.com>
2478 * let-alist.el (let-alist): Use `make-symbol' instead of `gensym'.
2480 2014-12-20 Michael Albinus <michael.albinus@gmx.de>
2482 * net/tramp-sh.el (tramp-histfile-override): Add :version.
2484 2014-12-20 Teodor Zlatanov <tzz@lifelogs.com>
2486 * net/tramp-sh.el (tramp-histfile-override): Clarify docstring.
2488 2014-12-19 Artur Malabarba <bruce.connor.am@gmail.com>
2490 * let-alist.el (let-alist): Enable access to deeper alists by
2491 using dots inside the dotted symbols.
2493 2014-12-19 Alan Mackenzie <acm@muc.de>
2495 Make C++11 uniform init syntax work.
2496 New keywords "final" and "override".
2497 * progmodes/cc-engine.el (c-back-over-member-initializer-braces):
2499 (c-guess-basic-syntax): Set `containing-sex' and `lim' using the
2501 * progmodes/cc-fonts.el (c-font-lock-declarations): Check more
2502 carefully for "are we at a declarator?" using
2503 c-back-over-member-initializers.
2504 * progmodes/cc-langs.el (c-type-modifier-kwds): Include "final"
2505 and "override" in the C++ value.
2507 2014-12-19 Martin Rudalics <rudalics@gmx.at>
2509 * textmodes/ispell.el (ispell-command-loop): Don't use `next-window'.
2511 2014-12-21 Lars Ingebrigtsen <larsi@gnus.org>
2513 * net/nsm.el (nsm-save-host): Don't save the host name twice
2516 2014-12-18 Sam Steingold <sds@gnu.org>
2518 Keyboard interface (C-f10) to `mouse-buffer-menu' (C-down-mouse-1).
2519 * mouse.el (mouse-buffer-menu-map): Extract from `mouse-buffer-menu'.
2520 (mouse-buffer-menu): Use `mouse-buffer-menu-map'.
2521 * menu-bar.el (menu-bar-buffer-vector): Extract from
2522 `menu-bar-update-buffers'.
2523 (menu-bar-update-buffers): Use `menu-bar-buffer-vector'.
2524 (buffer-menu-open): New user command, bound globally to C-f10,
2525 provides a keyboard interface to `mouse-buffer-menu' (C-down-mouse-1).
2526 (mouse-buffer-menu-keymap): Use `menu-bar-buffer-vector' to
2527 convert the value returned by `mouse-buffer-menu-map' to a list
2528 acceptable to `popup-menu' for `buffer-menu-open'.
2530 2014-12-18 Artur Malabarba <bruce.connor.am@gmail.com>
2532 * let-alist.el (let-alist): Evaluate the `alist' argument only once.
2534 2014-12-18 Sam Steingold <sds@gnu.org>
2536 * emacs-lisp/package.el: Avoid compilation warning by declaring
2537 the `find-library-name' function.
2538 (package-activate-1): Fix the `with-demoted-errors' calls:
2539 the first argument must be a string literal.
2541 2014-12-18 Martin Rudalics <rudalics@gmx.at>
2543 Add code for "preserving" window sizes.
2544 * dired.el (dired-pop-to-buffer): Call fit-window-to-buffer with
2546 (dired-mark-pop-up): Preserve size of window showing marked files.
2547 * electric.el (Electric-pop-up-window):
2548 * help.el (resize-temp-buffer-window): Call fit-window-to-buffer
2549 with `preserve-size' t.
2550 * minibuffer.el (minibuffer-completion-help):
2551 Use `resize-temp-buffer-window' instead of `fit-window-to-buffer'
2552 (Bug#19355). Preserve size of completions window.
2553 * register.el (register-preview): Preserve size of register
2555 * tmm.el (tmm-add-prompt): Call fit-window-to-buffer
2556 with `preserve-size' t (Bug#1291).
2557 * window.el (with-displayed-buffer-window): Add calls to
2558 `window-preserve-size'.
2559 (window-min-pixel-size, window--preservable-size)
2560 (window-preserve-size, window-preserved-size)
2561 (window--preserve-size, window--min-size-ignore-p): New functions.
2562 (window-min-size, window-min-delta, window--resizable)
2563 (window--resize-this-window, split-window-below)
2564 (split-window-right): Amend doc-string.
2565 (window--min-size-1, window-sizable, window--size-fixed-1)
2566 (window-size-fixed-p, window--min-delta-1)
2567 (frame-windows-min-size, window--max-delta-1, window-resize)
2568 (window--resize-child-windows, window--resize-siblings)
2569 (enlarge-window, shrink-window, split-window): Handle preserving
2571 (adjust-window-trailing-edge): Handle preserving window
2572 sizes. Signal user-error instead of an error when there's no
2573 window above or below.
2574 (window--state-put-2): Handle horizontal scroll bars.
2575 (window--display-buffer): Call `preserve-size' if asked for.
2576 (display-buffer): Mention `preserve-size' alist member in doc-string.
2577 (fit-window-to-buffer): New argument PRESERVE-SIZE.
2578 * textmodes/ispell.el (ispell-command-loop): Suppress horizontal
2579 scroll bar on ispell's windows. Don't count window lines and
2580 don't deal with dedicated windows.
2581 (ispell-show-choices, ispell-help): Let `ispell-display-buffer'
2582 do the window handling.
2583 (ispell-adjusted-window-height, ispell-overlay-window): Remove.
2584 (ispell-display-buffer): New function to reuse, create and fit
2585 window to ispell's buffers. (Bug#3413)
2587 2014-12-18 Dmitry Gutov <dgutov@yandex.ru>
2589 * emacs-lisp/package.el (package-activate): Do not re-activate or
2590 reload the dependencies (bug#19390).
2592 2014-12-18 Stefan Monnier <monnier@iro.umontreal.ca>
2594 * progmodes/cc-cmds.el (c-subword-mode): Alias to subword-mode.
2595 (c-update-modeline):
2596 * progmodes/cc-langs.el (c-mode-menu): Use c-subword-mode.
2597 * progmodes/cc-mode.el (subword-mode): Move autoload to cc-cmds.el.
2598 (c-mode-base-map): Use c-subword-mode.
2600 2014-12-18 Eli Zaretskii <eliz@gnu.org>
2602 * international/mule-diag.el (describe-font-internal):
2603 Display additional info returned by font-info.
2605 * linum.el (linum--face-width): Rename from linum--face-height,
2606 and use the new functionality of font-info.
2607 (linum-update-window): Use linum--face-width and frame-char-width,
2608 instead of approximating with height.
2610 2014-12-18 Dmitry Gutov <dgutov@yandex.ru>
2612 * vc/vc-svn.el (vc-svn-dir-status-files): Revert the 2014-12-02
2613 change (bug#19387). Use `apply' on `vc-dir-command' (bug#19405).
2615 * emacs-lisp/package.el (package-activate-1): Add RELOAD argument
2617 (package-activate): Call itself on dependencies on PACKAGE with
2618 the same FORCE argument. Pass FORCE as RELOAD into
2619 `package-activate-1' (bug#19390).
2621 2014-12-17 Sam Steingold <sds@gnu.org>
2623 * emacs-lisp/package.el (package--list-loaded-files):
2624 Handle `(nil ...)' elements in `load-history'.
2626 2014-12-17 Teodor Zlatanov <tzz@lifelogs.com>
2628 * net/tramp-sh.el (tramp-histfile-override): New variable.
2629 (tramp-open-shell, tramp-maybe-open-connection): Use it.
2631 2014-12-17 Dmitry Gutov <dgutov@yandex.ru>
2633 * vc/vc.el: Improve `dir-status-files' description.
2635 * emacs-lisp/package.el (package--list-loaded-files): Don't call
2636 file-truename on load-history elements (bug#19390).
2638 2014-12-16 Nicolas Petton <petton.nicolas@gmail.com>
2640 * emacs-lisp/seq.el: New file.
2642 2014-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
2644 * jit-lock.el (jit-lock-function): Don't defer if jit-lock-defer-time
2645 is 0 and there is no input pending.
2647 2014-12-15 Juri Linkov <juri@linkov.net>
2649 * replace.el (query-replace-read-from): Use query-replace-compile-replacement
2650 only on the return value (bug#19383).
2652 2014-12-15 Juri Linkov <juri@linkov.net>
2654 * isearch.el (isearch-lazy-highlight-search): Extend the bound of
2655 the wrapped search by the length of the search string to be able
2656 to lazy-highlight the whole search string at point (bug#19353).
2658 2014-12-15 Lars Magne Ingebrigtsen <larsi@gnus.org>
2660 * net/shr.el (shr-fold-text): Don't bug out on zero-length text.
2662 2014-12-14 Alan Mackenzie <acm@muc.de>
2664 * cus-start.el (all): Add fast-but-imprecise-scrolling.
2666 2014-12-14 Artur Malabarba <bruce.connor.am@gmail.com>
2668 * let-alist.el: Add lexical binding.
2670 2014-12-14 Steve Purcell <steve@sanityinc.com> (tiny change)
2672 * emacs-lisp/package.el (package-menu-mode): Use an extra column
2673 for the "Version" column, to accomodate date-and-time-based versions.
2675 2014-12-14 Cameron Desautels <camdez@gmail.com>
2677 * cus-edit.el (custom-unsaved-options): New function, extracted
2678 from `customize-unsaved'.
2679 (custom-unsaved): Use it.
2680 (custom-prompt-customize-unsaved-options): New function.
2683 2014-12-14 Dmitry Gutov <dgutov@yandex.ru>
2685 * fringe.el (fringe-bitmap-p): Fix 2014-12-05 breakage.
2687 2014-12-14 Dmitry Gutov <dgutov@yandex.ru>
2689 Move ASYNC argument to the `diff' VC command to the fifth
2690 position, for better compatibility with existing third-party code,
2693 * vc/vc.el (vc-diff-internal): Pass `async' argument to the
2694 backend `diff' command in the last position.
2696 * vc/vc-svn.el (vc-svn-diff):
2697 * vc/vc-src.el (vc-src-diff):
2698 * vc/vc-sccs.el (vc-sccs-diff):
2699 * vc/vc-rcs.el (vc-rcs-diff):
2700 * vc/vc-mtn.el (vc-mtn-diff):
2701 * vc/vc-hg.el (vc-hg-diff):
2702 * vc/vc-git.el (vc-git-diff):
2703 * vc/vc-dav.el (vc-dav-diff):
2704 * vc/vc-cvs.el (vc-cvs-diff):
2705 * vc/vc-bzr.el (vc-bzr-diff):
2706 * obsolete/vc-arch.el (vc-arch-diff): Move ASYNC argument to the end.
2708 2014-12-14 Paul Eggert <eggert@cs.ucla.edu>
2710 * emacs-lisp/cconv.el (cconv--analyze-use):
2711 Rename from cconv--analyse-use.
2712 (cconv--analyze-function): Rename from cconv--analyse-function.
2713 (cconv-analyze-form): Rename from cconv-analyse-form.
2715 2014-12-13 Andreas Schwab <schwab@linux-m68k.org>
2717 * net/shr.el (shr-next-link): Don't error out at eob.
2719 2014-12-05 Nicolas Richard <theonewiththeevillook@yahoo.fr>
2721 * isearch.el (isearch-open-necessary-overlays): Open overlay
2722 ending at point (bug#19333).
2724 2014-12-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
2726 * net/shr.el (shr-fold-text): New function.
2727 (shr-show-alt-text, shr-urlify, shr-tag-img): Use it to fold long
2729 (shr-fold-text): Inhibit state from being altered.
2731 * files.el (directory-files-recursively): Really check whether
2733 (directory-name-p): New function.
2734 (directory-files-recursively): Use it.
2736 2014-12-13 Artur Malabarba <bruce.connor.am@gmail.com>
2738 * emacs-lisp/package.el (package--list-loaded-files): New function
2739 to list files in a given directory which correspond to already
2741 (package-activate-1): Reload files given by `package--list-loaded-files'.
2742 Fix bug#10125, bug#18443, and bug#18448.
2744 2014-12-13 Eric S. Raymond <esr@snark.thyrsus.com>
2746 * vc/vc-svn.el (vc-svn-diff): Fix bug #19312.
2748 2014-12-13 Michael Albinus <michael.albinus@gmx.de>
2750 * simple.el (password-word-equivalents): Add "passcode", used for
2751 numeric secrets like PINs or RSA tokens.
2753 2014-12-13 Michael Albinus <michael.albinus@gmx.de>
2755 * net/tramp-sh.el (tramp-get-remote-path): Use a login shell in
2756 order to determine `tramp-own-remote-path'.
2758 2014-12-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
2760 * progmodes/python.el (python-shell-parse-command):
2761 Quote `python-shell-interpreter`. (Bug#19289)
2763 2014-12-12 Stefan Monnier <monnier@iro.umontreal.ca>
2765 * progmodes/python.el (python-indent-line): Use `noindent' in strings.
2766 (python-indent-levels): Document extra value.
2767 (python-indent-calculate-indentation): Return `noindent' in strings.
2768 (python-indent-post-self-insert-function)
2769 (python-indent-calculate-levels): Handle new value.
2771 2014-12-12 Lars Magne Ingebrigtsen <larsi@gnus.org>
2773 * net/network-stream.el (network-stream-open-starttls): No need to
2774 check for the availability of `gnutls-available-p'.
2776 * files.el (directory-files-recursively): Don't follow symlinks to
2779 2014-12-12 Eric S. Raymond <esr@snark.thyrsus.com>
2781 * vc/vc-dav.el, vc/vc-git.el, vc/vc-hg.el, vc/vc-src.el:
2782 * vc/vc.el: latest-on-branch-p is no longer a public method.
2784 * vc/vc.el, vc/vc-hg.el, vc/vc-git.el, vc/vc-hooks.el:
2785 * vc/vc-mtn.el, vc/vc-rcs.el, vc/vc-sccs.el, vc/vc-src.el:
2786 Remove `rollback' method, to be replaced in the future by uncommit.
2788 2014-12-11 Michael Albinus <michael.albinus@gmx.de>
2790 * vc/vc-hg.el (vc-hg-state): Make FILE absolute. Handle the case
2791 that there is empty output.
2793 2014-12-11 Stefan Monnier <monnier@iro.umontreal.ca>
2795 * emacs-lisp/eldoc.el (eldoc-documentation-function): Change default.
2796 (eldoc-mode, eldoc-schedule-timer): Adjust to new default.
2798 2014-12-10 Artur Malabarba <bruce.connor.am@gmail.com>
2800 * let-alist.el: Add new package and macro.
2802 2014-12-10 Eric S. Raymond <esr@snark.thyrsus.com>
2804 * vc/vc-dispatcher.el, vc/vc-hooks.el, vc/vc-rcs.el:
2805 * vc/vc-sccs.el, vc/vc.el: Righteous featurectomy of vc-keep-workfiles,
2806 it's a shoot-self-in-foot archaism. Workfiles are always kept.
2808 2014-12-10 Rasmus Pank Roulund <emacs@pank.eu>
2810 * net/ange-ftp.el (ange-ftp-switches-ok): Disallow flags causing
2811 trouble with ls over ftp. These flags result in ls returning no
2812 output, causing Tramp-breakage. (bug#19192)
2814 2014-12-10 Andreas Schwab <schwab@suse.de>
2816 * files.el (file-tree-walk): Use file-name-as-directory unconditionally.
2818 2014-12-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
2820 * files.el (directory-files-recursively):
2821 Use `file-name-all-completions' instead of `directory-files' for
2824 * net/shr.el (shr-tag-object): Don't bug out on text elements in
2827 2014-12-09 Bozhidar Batsov <bozhidar@batsov.com>
2829 * progmodes/ruby-mode.el (auto-mode-alist): Add .rabl, Berksfile
2831 (ruby-toggle-string-quotes): New command that allows you to quickly
2832 toggle between single-quoted and double-quoted string literals.
2834 2014-12-09 Eric S. Raymond <esr@snark.thyrsus.com>
2836 * vc/vc-src.el (vc-src-do-comand): Prepend -- to file argument
2837 list, avoids problems witt names containing hyphens.
2839 2014-12-09 Wilson Snyder <wsnyder@wsnyder.org>
2841 Sync with upstream verilog-mode revision aa4b777.
2842 * progmodes/verilog-mode.el (verilog-mode-version): Update.
2843 (verilog-auto-end-comment-lines-re, verilog-end-block-ordered-re)
2844 (verilog-set-auto-endcomments): Automatically comment property/
2845 endproperty blocks to match other similar blocks like sequence/
2846 endsequence, function/endfunction, etc. Reported by Alex Reed.
2847 (verilog-set-auto-endcomments): Fix end comments for functions of
2848 type void, etc. Detect the function- or task-name when
2849 auto-commenting blocks that lack an explicit portlist.
2850 Reported by Alex Reed.
2851 (verilog-nameable-item-re): Fix nameable items that can have an
2852 end-identifier to include endchecker, endgroup, endprogram,
2853 endproperty, and endsequence. Reported by Alex Reed.
2854 (verilog-preprocessor-re, verilog-beg-of-statement):
2855 Fix indentation of property/endproperty around pre-processor
2856 directives. Reported by Alex Reed.
2857 (verilog-label-be): When auto-commenting a buffer, consider
2858 auto-comments on all known keywords (not just a subset thereof).
2859 Reported by Alex Reed.
2860 (verilog-beg-of-statement): Fix labeling do-while blocks, bug842.
2861 Reported by Alex Reed.
2862 (verilog-beg-of-statement-1, verilog-at-constraint-p):
2863 Fix hanging with many curly-bracket pairs, bug663.
2864 (verilog-do-indent): Fix electric tab deleting form-feeds.
2865 Note caused by indent-line-to deleting tabls pre 24.5.
2866 (verilog-auto-output, verilog-auto-input, verilog-auto-inout)
2867 (verilog-auto-inout-module, verilog-auto-inout-in): Doc fixes.
2868 (verilog-read-always-signals, verilog-auto-sense-sigs)
2869 (verilog-auto-reset): Fix AUTORESET with always_comb and always_latch,
2870 bug844. Reported by Greg Hilton.
2872 2014-12-09 Alex Reed <acreed4@gmail.com> (tiny change)
2874 * progmodes/verilog-mode.el (verilog-no-indent-begin-re):
2875 Fix `verilog-indent-begin-after-if' nil not honoring 'forever',
2876 'foreach', and 'do' keywords.
2877 (verilog-endcomment-reason-re, verilog-beg-of-statement):
2878 Fix labeling do-while blocks, bug842.
2879 (verilog-backward-token): Fix indenting sensitivity lists with
2880 named events, bug840.
2882 2014-12-09 Reto Zimmermann <reto@gnu.org>
2884 Sync with upstream vhdl mode v3.36.1.
2885 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
2886 (vhdl-compiler-alist): Anchor all error regexps.
2887 (vhdl-compile-use-local-error-regexp): Change default to nil.
2888 (vhdl-asort, vhdl-anot-head-p): Remove.
2889 (vhdl-aput, vhdl-adelete, vhdl-aget): Simplify.
2890 Remove optional argument of vhdl-aget and update all callers.
2891 (vhdl-import-project): Also set `vhdl-compiler'.
2893 2014-12-09 Lars Magne Ingebrigtsen <larsi@gnus.org>
2895 * files.el (find-files): New function.
2897 * net/shr.el (shr-dom-print): Don't print comments.
2898 (shr-tag-svg): Give inline SVG images the right type.
2900 * net/eww.el (eww-update-header-line-format): Mark valid/invalid
2901 certificates in the header line.
2902 (eww-invalid-certificate, eww-valid-certificate): New faces.
2904 2014-12-09 Fabián Ezequiel Gallina <fgallina@gnu.org>
2906 * progmodes/python.el (inferior-python-mode):
2907 Set `comint-prompt-read-only` to `t` only locally.
2909 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
2911 * net/nsm.el (nsm-check-protocol): Test for RC4 on `high'.
2912 (nsm-format-certificate): Include more data about the connection.
2913 (nsm-query): Fill the text to that it looks nicer.
2914 (nsm-check-protocol): Also warn if using SSL3 or older.
2916 2014-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
2918 * progmodes/gud.el (gud-gdb-completions): Remove unused var `start'.
2920 * obsolete/gulp.el (gulp-create-m-p-alist): Remove unused var `mnt-tm'.
2922 * net/tramp.el (tramp-handle-make-symbolic-link): Mark unused arg.
2924 * info.el (Info-mode-map): Remove left-over binding.
2926 * emacs-lisp/avl-tree.el: Use lexical-binding and cl-lib.
2927 (avl-tree--root): Remove redundant defsetf.
2929 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
2931 * net/nsm.el (network-security-level): Remove the detailed
2932 description, which was already outdated, and refer the users to
2934 (nsm-check-protocol): Check for weak Diffie-Hellman prime bits
2937 2014-12-06 Andrey Kotlarski <m00naticus@gmail.com>
2939 * net/eww.el (eww-buffers-mode): New major mode.
2940 (eww-list-buffers, eww-buffer-select, eww-buffer-show-next)
2941 (eww-buffer-show-previous, eww-buffer-kill, eww-buffer-show):
2942 New commands/functions (bug#19131).
2944 2014-12-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
2946 * net/gnutls.el (gnutls-negotiate): Ignore files found via
2947 'file-name-handler-alist' since the gnutls library can't use those
2950 2014-12-08 Dmitry Gutov <dgutov@yandex.ru>
2952 * vc/vc-hg.el (vc-hg-dir-status-files): Only include ignores files
2953 when FILES is non-nil (bug#19304).
2955 2014-12-08 Eric S. Raymond <esr@snark.thyrsus.com>
2957 * vc/vc-arch.el: Move to obsolete directory so a test framework
2958 won't trip over bit-rot in it. There has been no Arch snapshot
2961 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
2963 * net/eww.el (eww-follow-link): Revert prefix behaviour to
2965 (eww-copy-page-url): Add doc string.
2967 2014-12-07 Ivan Shmakov <ivan@siamics.net>
2969 * net/eww.el (eww): Move history recording here...
2970 (eww-browse-url): ... from here (bug#19253).
2972 * net/eww.el (eww-browse-url): Use generate-new-buffer (was:
2973 iterating over possible buffer names.)
2975 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
2977 * net/eww.el (eww-reload): Take a prefix to work locally (bug#19086).
2978 (eww-current-buffer): Compilation fix for bug#18550 patch.
2980 2014-12-07 Ivan Shmakov <ivan@siamics.net>
2982 * net/eww.el (eww-list-histories): Restore the history in the
2983 correct buffer (bug#18550).
2985 2014-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
2987 * net/eww.el (eww-bookmark-prepare): Display URLs in first by
2988 displaying shortened titles first (bug#16398).
2990 2014-12-07 Tom Willemse <tom@ryuslash.org> (tiny change)
2992 * progmodes/python.el: Recognize docstrings.
2993 (python-docstring-at-p, python-font-lock-syntactic-face-function):
2995 (python-mode): Use them.
2997 2014-12-06 Ulf Jasper <ulf.jasper@web.de>
2999 * net/newst-treeview.el (newsticker--treeview-list-add-item)
3000 (newsticker--treeview-propertize-tag): Bind tree menu to mouse-3.
3001 (newsticker--treeview-create-groups-menu)
3002 (newsticker--treeview-create-tree-menu): Remove.
3003 (newsticker--treeview-tree-open-menu): New.
3004 (newsticker-treeview-tree-click): Pass event to
3005 `newsticker-treeview-tree-do-click'.
3006 (newsticker-treeview-tree-do-click): Open treemenu on mouse-3.
3008 2014-12-05 Juri Linkov <juri@linkov.net>
3010 * comint.el (comint-history-isearch-search)
3011 (comint-history-isearch-wrap): Use field-beginning instead of
3012 comint-line-beginning-position.
3013 (comint-send-input): Go to the end of the field instead of the end
3014 of the line to accept whole multi-line input.
3015 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00305.html
3017 2014-12-05 Juri Linkov <juri@linkov.net>
3019 * minibuffer.el (minibuffer-completion-help):
3020 Compare selected-window with minibuffer-window to check whether
3021 completions should be displayed near the minibuffer. (Bug#17809)
3022 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00311.html
3024 2014-12-05 Michael Albinus <michael.albinus@gmx.de>
3026 * vc/vc-mtn.el (vc-mtn-root):
3027 * vc/vc-svn.el (vc-svn-registered): Make FILE absolute.
3029 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
3031 * progmodes/sh-script.el (sh-smie-sh-rules): Go back to the beginning
3032 of the whole pipe when indenting an opening keyword after a |.
3033 Generalize this treatment to opening keywords like "while" (bug#18031).
3035 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
3037 * simple.el (newline): Place the hook buffer-locally,
3038 to make sure it's first.
3040 * progmodes/prog-mode.el (prettify-symbols--compose-symbol):
3041 Fix handling of symbols with different syntax at beginning/end or with
3042 symbol rather than word syntax.
3044 2014-12-05 Eli Zaretskii <eliz@gnu.org>
3046 * simple.el (line-move): If noninteractive, call line-move-1, not
3047 forward-line, since the former is compatible with line-move-visual
3048 both in terms of the column to which it moves and the return
3051 2014-12-05 Stefan Monnier <monnier@iro.umontreal.ca>
3053 * vc/ediff-init.el (ediff-odd-p): Remove.
3054 (ediff-background-face): Use cl-oddp instead.
3055 (ediff-buffer-live-p): Make it a defsubst.
3057 * tooltip.el (tooltip-region-active-p): Remove.
3059 * net/shr.el (shr-char-breakable-p, shr-char-kinsoku-bol-p)
3060 (shr-char-kinsoku-eol-p, shr-char-nospace-p): Use define-inline.
3062 * fringe.el (fringe-bitmap-p): Make it a plain function.
3064 * emacs-lisp/eieio-core.el: Prefer inlinable functions over macros.
3065 (class-p, generic-p, eieio-object-p, class-abstract-p):
3066 Make them defsubst, so as to avoid corner case problems where
3067 the arg might be evaluated in the condition-case, or it can't be passed
3068 to higher-order functions like `cl-some'.
3070 2014-12-05 Nicolas Richard <theonewiththeevillook@yahoo.fr>
3072 * wid-edit.el (widget-choose): Let numeric keypad work (bug#19268)
3073 and remove old menu-related code.
3075 2014-12-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
3077 * net/eww.el (eww-display-pdf): Let mailcap determine how to
3078 display PDF files (bug#19270).
3080 2014-12-05 Juri Linkov <juri@linkov.net>
3082 Compare with the most recent window by default.
3083 * vc/compare-w.el (compare-windows-get-window-function): New defcustom.
3084 (compare-windows-get-recent-window)
3085 (compare-windows-get-next-window): New functions.
3086 (compare-windows, compare-windows-sync-default-function):
3087 Use `compare-windows-get-window-function' instead of `next-window'.
3088 (compare-windows): Add diff/match messages with region boundaries.
3091 2014-12-04 Stefan Monnier <monnier@iro.umontreal.ca>
3093 * subr.el (filter): Remove. Use `cl-remove-if-not' or `seq-filter'.
3095 2014-12-04 Lars Magne Ingebrigtsen <larsi@gnus.org>
3097 * net/shr.el (shr--extract-best-source): Ignore non-text children.
3099 2014-12-04 Eli Zaretskii <eliz@gnu.org>
3101 Implement copying of a buffer portion while preserving visual order.
3102 * simple.el (bidi-directional-controls-chars)
3103 (bidi-directional-non-controls-chars): New variables.
3104 (squeeze-bidi-context-1, squeeze-bidi-context)
3105 (line-substring-with-bidi-context)
3106 (buffer-substring-with-bidi-context): New functions.
3108 * files.el (file-tree-walk): Doc fix.
3110 2014-12-04 Rupert Swarbrick <ruperts@broadcom.com> (tiny change)
3111 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
3113 * autoinsert.el (auto-insert-alist): Update C/C++ header and
3114 program support to match more extensions. Replace non-alnum
3115 characters when generating include guards (headers) and check for
3116 more extensions when generating includes (programs)
3119 2014-12-03 Eric S. Raymond <esr@snark.thyrsus.com>
3121 * files.el (file-tree-walk): Fix docstring.
3123 2014-12-03 Karl Fogel <kfogel@red-bean.com>
3125 Fix bug whereby saving files hung in VC hook.
3127 Saving a buffer visiting a file under SVN control would hang if
3128 the remote repository were unreachable, because the VC hooks tried
3129 to run "svn status -u" on the file, where the "-u" tells svn to
3130 get update information from the remote repository.
3131 http://lists.gnu.org/archive/html/emacs-devel/2014-12/msg00174.html
3133 * vc/vc-svn.el (vc-svn-state): Remove optional `localp'
3134 argument and always pass "-v" to "svn status", never "-u".
3136 2014-12-03 Stefan Monnier <monnier@iro.umontreal.ca>
3138 * emacs-lisp/inline.el: Fix up copyright header.
3139 (inline-quote, inline-const-p, inline-const-val, inline-error):
3140 Silence compiler warnings.
3141 (inline-letevals): Fix edebug spec.
3142 (inline--testconst-p): Consider lambda expressions as const-p.
3143 (inline--getconst-val): Use inline--testconst-p.
3145 * minibuffer.el (completion-table-dynamic): Add arg `switch-buffer'
3146 and change default to stay in the minibuffer when called from
3147 the minibuffer (bug#19250).
3148 (lazy-completion-table): Use this new argument to preserve the
3151 * progmodes/elisp-mode.el (elisp--local-variables): Don't burp on
3152 incorrect lexical elements (bug#19250).
3154 2014-12-03 A. N. Other <none@example.com>
3156 * files.el (file-tree-walk): Lisp translation of ANSI ftw(3).
3158 2014-12-02 Glenn Morris <rgm@gnu.org>
3160 * whitespace.el (whitespace-big-indent-regexp): Add :version.
3162 2014-12-02 Eric S. Raymond <esr@snark.thyrsus.com>
3164 * subr.el (filter): New macro. Because it's just silly for a Lisp
3165 not to have this in 2014. And VC needs it.
3167 * vc.el: All backends: API simplification: Abolish dir-status.
3168 It's replaced by dir-status-files.
3170 * vc.el: All backends: API simplification: Remove 4th
3171 'default-state' argument from vc-dir-status files and its backend
3172 methods - no backend method ever set it. It was used only in the
3173 fallback method to to set a default of 'up-to-date, though a
3174 convoluted call chain obscured this.
3176 * vc-hooks.el: Bind vc-delete-file to Ctrl-x v delete.
3178 * vc.el (vc-expand-dirs): Now takes a second BACKEND argument,
3179 improving behavior on directories using multiple file-oriented VCSes.
3181 * vc/vc.el: All backends: API simplification; clear-headers
3182 is no longer a public method. It is now local to the one place
3183 it's used, in the RCS steal-lock method.
3185 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
3187 * vc/vc.el: In all backends: API simplification; could-register
3188 is no longer a public method. (vc-cvs.el still has a private
3191 * vc/vc.el: In all backends: API cleanup; the backend diff method
3192 takes an explicit async flag. This eliminates a particularly ugly
3195 * vc-bzr.el: Restore vc-bzr-state-heuristic as a private method.
3196 VC randomly/unpredictably fails without it; cause not yet established.
3198 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
3200 Merge some of the differences from the standalone CC-mode.
3201 The main change is to only use the `category' text-property only when
3202 available. For that many calls are changed to use c-get-char-property,
3203 c-next-single-property-change, c-sc-scan-lists,
3204 c-sc-parse-partial-sexp, c-unmark-<->-as-paren.
3206 * progmodes/cc-mode.el (c-just-done-before-change): New var.
3207 (c-basic-common-init): Initialize it.
3208 (c-common-init): Only use mode-require-final-newline when available.
3209 (c-before-change): Check and set c-just-done-before-change.
3210 (c-after-change): Re-set c-just-done-before-change.
3211 (c-advise-fl-for-region): New macro.
3212 (lazy-lock-defer-rest-after-change, lazy-lock-defer-line-after-change)
3213 (font-lock-after-change-function, jit-lock-after-change):
3216 * progmodes/cc-langs.el (c-modified-constant): New lang var.
3217 (c-known-type-key): Don't make a list just to throw it away.
3219 * progmodes/cc-engine.el (c-invalidate-state-cache, c-parse-state):
3220 Handle the case where categories are not available.
3221 (c-record-parse-state-state, c-replay-parse-state-state):
3222 Handle marker values.
3223 (c-before-change-check-<>-operators): Look for the `syntax-table'
3224 property rather than for the corresponding `category'.
3225 (c-looking-at-decl-block): Remove unused var
3226 `c-disallow-comma-in-<>-arglists'.
3227 (c-forward-<>-arglist-recur): Remove unused var
3228 `orig-record-found-types'.
3230 * progmodes/cc-defs.el (c-version): Bump up to 5.33.
3231 (c-use-category): New const.
3232 (c-next-single-property-change): New macro.
3233 (c-region-is-active-p): Prefer region-active-p when available.
3234 (c-search-backward-char-property): Fix old min/max typo; probably
3236 (c-mark-<-as-paren, c-mark->-as-paren, c-unmark-<->-as-paren):
3237 Turn them into macros that obey c-use-category.
3238 (c-sc-scan-lists-no-category+1+1, c-sc-scan-lists-no-category+1-1)
3239 (c-sc-scan-lists-no-category-1+1, c-sc-scan-lists-no-category-1-1)
3240 (c-sc-scan-lists, c-sc-parse-partial-sexp)
3241 (c-looking-at-non-alphnumspace): New macros.
3242 (c-sc-parse-partial-sexp-no-category): New function.
3243 (c-emacs-features): Add `category-properties' element.
3245 * progmodes/cc-cmds.el (c-forward-into-nomenclature)
3246 (c-backward-into-nomenclature): Use cc-subword if subword-mode is
3248 (c-beginning-of-defun, c-end-of-defun, c-mark-function)
3249 (c-indent-line-or-region): Use c-region-is-active-p.
3251 * progmodes/cc-bytecomp.el (cc-bytecomp-unbound-variables)
3252 (cc-bytecomp-original-functions, cc-bytecomp-original-properties)
3253 (cc-bytecomp-loaded-files): Re-set each time the file is loaded.
3254 (cc-bytecomp-obsolete-var, cc-bytecomp-ignore-obsolete)
3255 (cc-bytecomp-obsolete-fun): Delete unused functions.
3257 * progmodes/cc-align.el (c-lineup-respect-col-0): New function.
3259 2014-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
3261 * net/shr.el (shr-dom-print): Fix up `shr-dom-print' after the
3264 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
3266 * vc/vc.el (vc-find-conflicted-file): Look for conflicted files in the
3267 current "project" rather than just the current directory.
3268 * vc/vc-git.el (vc-git-conflicted-files): Clarify in which directory
3269 the file names make sense.
3271 * vc/smerge-mode.el (smerge-swap): New command.
3273 * vc/diff-mode.el (diff-kill-applied-hunks): New command.
3275 2014-12-01 Ulf Jasper <ulf.jasper@web.de>
3277 * net/newst-treeview.el (newsticker--treeview-item-show):
3278 Check window liveliness before measuring its width.
3280 * net/newst-backend.el (newsticker--get-news-by-url-callback):
3281 Pass correct status to `newsticker--sentinel-work'.
3282 (newsticker--sentinel-work): Use "newsticker--download-error" as
3283 guid in order to prevent multiple "Could not download..."
3284 messages. Fixes bug#19166.
3286 2014-12-01 Ivan Shmakov <ivan@siamics.net>
3288 * net/eww.el (eww-render): Call `eww-after-render-hook' in the
3289 correct buffer (bug#19225).
3291 2014-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
3293 * net/nsm.el (network-security-level): Change the default to `medium'.
3295 * net/eww.el (eww): Leave point in a place that doesn't cause
3296 scrolling when displaying "Loading...".
3298 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
3300 * vc/vc.el, vc/vc-cvs.el, vc/vc-rcs.el, vc/vc-svn.el: The 'merge'
3301 backend method of RCS/CVS/SVN is now 'merge-file', to contrast with
3302 'merge-branch'. Prompting for merge revisions is pushed down to
3303 the back ends; this fixes a layering violation that caused bad
3306 * vc/vc.el, vc-hooks.el: All backends: API simplification;
3307 vc-stay-local-p and repository-hostname are no longer public
3308 methods. Only the CVS and SVN backends used these, and the SVN
3309 support was conditioned out because svn status -v is too slow.
3310 The CVS back end retains this machinery and the vc-stay-local
3311 configuration variable now only affects it.
3313 2014-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
3315 * emacs-lisp/inline.el: New file.
3317 2014-12-01 Eric S. Raymond <esr@snark.thyrsus.com>
3319 * vc/vc.el, vc-hooks.el: All backends: API simplification;
3320 vc-state-heuristic is no longer a public method, having been
3321 removed where it is redundant, unnecessary, or known buggy.
3322 This eliminated all backends except CVS. Eliminates bug#7850.
3324 * vc/vc-cvs.el, vc/vc-hooks.el, vc/vc-rcs.el, vc/vc-sccs.el:
3325 Eliminate vc-mistrust-permissions. It was only relevant to the
3326 RCS and SCCS back ends and defaulted to t. Code now always
3327 mistrusts permissions - by actual measurement the effect on
3328 performance is negligible. As a side effect bug#11490 is now
3331 * vc/vc.el, vc-hooks.el: All backends: API simplification;
3332 vc-workfile-unchanged-p is no longer a public method (but the RCS
3333 and SCCS back ends retain it as a private method used in state
3334 computation). This method was redundant with vc-state and usually
3335 implemented as a trivial call to same. Fixes the failure mode
3336 described in bug#694.
3338 * vc/vc.el: All backends: API simplification; init-revision is
3339 gone, and vc-registered functions no longer take an
3340 initial-revision argument.
3342 2014-11-29 Glenn Morris <rgm@gnu.org>
3344 * vc/vc-src.el (vc-src, vc-src-diff-switches)
3345 (vc-src-master-templates): Fix :version tags.
3347 2014-11-29 Paul Rankin <paul@tilk.co> (tiny change)
3349 * outline.el (outline-move-subtree-down): Refactor and improve code.
3351 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
3352 Stefan Monnier <monnier@iro.umontreal.ca>
3354 * outline.el (outline-move-subtree-down): Make sure we can move
3355 forward to find the end of the subtree and the insertion point
3358 2014-11-29 Fabián Ezequiel Gallina <fgallina@gnu.org>
3360 * progmodes/python.el (python-shell-completion-setup-code):
3361 Use __builtin__ module (or builtins in Python 3) and catch all errors
3362 when importing readline and rlcompleter.
3364 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
3366 * calendar/todo-mode.el: Handle calling revert-buffer (bug#19187).
3367 (todo-revert-buffer): New function.
3368 (todo-modes-set-1): Use it as the buffer-local value of
3369 revert-buffer-function.
3371 2014-11-29 Stephen Berman <stephen.berman@gmx.net>
3373 * calendar/todo-mode.el (todo-mode): If called interactively, just
3374 display a message saying to call todo-show to enter Todo mode
3377 2014-11-29 Dmitry Gutov <dgutov@yandex.ru>
3379 * vc/vc-hg.el (vc-hg-dir-status-files): Include ignored files.
3382 * vc/vc-bzr.el (vc-bzr-after-dir-status): Don't skip ignored
3385 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
3387 * textmodes/makeinfo.el (makeinfo-buffer): Make it work also for
3388 remote `buffer-file-name'.
3390 2014-11-29 Leo Liu <sdl.web@gmail.com>
3392 * calendar/diary-lib.el (calendar-mark-1): Fix thinko.
3394 2014-11-29 Fabián Ezequiel Gallina <fgallina@gnu.org>
3396 Set PYTHONUNBUFFERED on shell startup.
3398 * progmodes/python.el (python-shell-unbuffered): New var.
3399 (python-shell-calculate-process-environment): Use it.
3401 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
3403 * net/tramp.el (tramp-action-password): Clean password on subsequent
3404 attempts even if there was no wrong password indication. (Bug#19047)
3406 * net/tramp-sh.el (tramp-get-remote-locale): Return "LC_ALL=C" as
3408 (tramp-open-connection-setup-interactive-shell): No need to check
3409 for nil as `tramp-get-remote-locale' return value.
3411 2014-11-29 Eli Zaretskii <eliz@gnu.org>
3413 * vc/vc-git.el (vc-git-command, vc-git--call):
3414 Bind coding-system-for-read and coding-system-for-write to
3415 vc-git-commits-coding-system.
3416 (vc-git-previous-revision): Use "~1" instead of "^", since the
3417 latter is a special character for MS-Windows system shells.
3419 2014-11-29 Michael Albinus <michael.albinus@gmx.de>
3421 Improve XEmacs compatibility.
3423 * net/tramp.el (tramp-autoload-file-name-handler):
3424 Wrap `temporary-file-directory' by `symbol-value', it doesn't
3426 (tramp-read-passwd): Don't use `with-timeout-suspend' and
3427 `with-timeout-unsuspend' if they don't exist, like in XEmacs.
3428 (tramp-time-less-p, tramp-time-subtract): Remove functions.
3429 (tramp-handle-file-newer-than-file-p, tramp-time-diff):
3430 * net/tramp-adb.el (tramp-adb-ls-output-time-less-p):
3431 * net/tramp-cache.el (tramp-get-file-property):
3432 * net/tramp-smb.el (tramp-smb-handle-insert-directory):
3433 Use `time-less-p' and `time-subtract, respectively.
3435 * net/tramp-adb.el (top): Do not require time-date.el.
3437 * net/tramp-compat.el (top): Require time-date.el for XEmacs.
3439 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
3440 Check, whether `utf-8' is a valid coding system.
3442 2014-11-29 Eli Zaretskii <eliz@gnu.org>
3444 * vc/vc.el (vc-retrieve-tag): Doc fix.
3446 2014-11-28 Stefan Monnier <monnier@iro.umontreal.ca>
3448 * simple.el (execute-extended-command--shorter): Fix the "M-p" case
3451 2014-11-28 Martin Rudalics <rudalics@gmx.at>
3453 Fix two issues around help-window-select. (Bug#11039) (Bug#19012)
3454 * help.el (help-window-old-frame): New variable.
3455 (help-window-select): Default to nil (Bug#11039).
3457 (help-window-setup): When the help window appears on another
3458 frame and `help-window-select' is non-nil, give that frame input
3459 focus too (Bug#19012).
3460 (with-help-window): Store selected frame in
3461 help-window-old-frame.
3463 2014-11-28 Ulf Jasper <ulf.jasper@web.de>
3465 * net/newst-treeview.el (newsticker--treeview-load): Take care of
3466 nil value for `newsticker-groups-filename'.
3468 2014-11-28 Daiki Ueno <ueno@gnu.org>
3470 * epa.el (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
3471 (epa-sign-region, epa-encrypt-region):
3472 Use `epg-context-set-{passphrase,progress}-callback', instead of
3473 `setf'. This partially reverts commit 9e48a95c (bug#19150).
3474 Reported by José A. Romero L.
3476 2014-11-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
3478 * net/eww.el (eww-restore-history):
3479 Bind `inhibit-modification-hooks' instead of `after-change-functions'.
3481 2014-11-27 Ulf Jasper <ulf.jasper@web.de>
3483 * net/newst-backend.el (newsticker--parse-atom-1.0):
3484 Handle embedded (x)html in summary node.
3486 2014-11-27 Sam Steingold <sds@gnu.org>
3488 * menu-bar.el (menu-bar-open): When everything else fails,
3489 use (mouse-menu-bar-map).
3491 2014-11-27 Ulf Jasper <ulf.jasper@web.de>
3493 * net/newst-treeview.el (newsticker-groups-filename):
3494 Change default value to nil. Point out that variable is obsolete in doc
3496 (newsticker--treeview-load): Change wording of the questions the
3497 user is asked when `newsticker-groups-filename' is found to be
3498 used and we offer to read and remove the groups file. (Bug#19165)
3500 2014-11-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
3502 * net/eww.el (eww): Record the new URL immediately, so that if the
3503 HTTP fetch fails, we have the right URL in the buffer.
3504 (eww-process-text-input): Don't shorten the input field if
3505 deleting at the last character (bug#19085).
3506 (eww-restore-history): Inhibit change functions while restoring
3508 (eww-process-text-input): Fix deletion at the start of the field, too.
3509 (eww-mode): Revert mistanken removal of `buffer-disable-undo'.
3510 (eww-process-text-input): Try to keep track of the size more reliably.
3512 * dom.el (dom-pp): New function.
3514 2014-11-27 Eli Zaretskii <eliz@gnu.org>
3516 * vc/vc-bzr.el (vc-bzr-print-log, vc-bzr-expanded-log-entry):
3517 Don't assume --long is the default for "bzr log", always specify
3518 it explicitly, in case the user defined an alias for 'log' that
3519 uses some other format.
3521 2014-11-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
3523 * progmodes/python.el (python-eldoc--get-doc-at-point):
3524 Strip shell output before returning. (bug#18794)
3526 2014-11-27 Dmitry Gutov <dgutov@yandex.ru>
3528 Fix indentation before `!=' and after `+='. Originally reported
3529 in https://github.com/mooz/js2-mode/issues/174.
3530 * progmodes/js.el (js--indent-operator-re): Make assignments and
3531 (in)equality operator a separate case.
3532 (js--continued-expression-p): Escape the second `+' in the regexp.
3534 2014-11-27 Stefan Monnier <monnier@iro.umontreal.ca>
3536 * window.el (handle-select-window): Deactivate shift-region (bug#19003).
3538 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
3540 * net/nsm.el (nsm-new-fingerprint-ok-p): Display the certificate
3541 when querying about new certificates.
3543 * net/shr.el (shr-make-table-1): dom.el changes for table rendering.
3545 * dom.el (dom-by-tag): Use `equal' for comparisons so that tags
3547 (dom-elements): Protect against non-text nodes.
3548 (dom-non-text-children): New function.
3550 * net/eww.el (eww-tag-title): Use `dom-text'.
3552 2014-11-26 Sam Steingold <sds@gnu.org>
3554 * textmodes/sgml-mode.el (sgml-validate-command): Pass -utf8 to tidy.
3556 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
3558 * net/eww.el (eww-highest-readability): More dom.el fixes.
3560 2014-11-26 Ulf Jasper <ulf.jasper@web.de>
3562 * net/newst-backend.el (newsticker--parse-generic-items):
3563 Take care of UIDs when adding elements to cache.
3565 2014-11-26 Alan Mackenzie <acm@muc.de>
3567 Remove spurious reference to symbol category_properties.
3568 * progmodes/cc-engine.el (c-state-pp-to-literal): Fix here.
3570 2014-11-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
3572 * net/eww.el: Use the new dom.el accessors throughout.
3574 * net/shr.el: Ditto.
3578 2014-11-26 Glenn Morris <rgm@gnu.org>
3580 * arc-mode.el (archive-visit-single-files): Add :version.
3582 2014-11-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
3584 * net/nsm.el (nsm-format-certificate): Don't bug out on missing
3586 (nsm-warnings-ok-p): The new version of this function always
3587 returned nil when everything was OK.
3589 2014-11-25 Teodor Zlatanov <tzz@lifelogs.com>
3591 * net/gnutls.el (gnutls): Set :group to 'comm so it's near NSM.
3593 * net/nsm.el (nsm-check-tls-connection, nsm-save-host)
3594 (nsm-warnings-ok-p): Use `gnutls-peer-status-warning-describe'.
3596 2014-11-20 Nicolas Richard <theonewiththeevillook@yahoo.fr>
3598 * emacs-lisp/byte-run.el (function-put): Match argument names to
3601 2014-11-24 Sam Steingold <sds@gnu.org>
3603 * vc/vc-hooks.el (vc-directory-exclusion-list):
3604 Fix a trivial typo (bug#19171).
3606 2014-11-24 Stefan Monnier <monnier@iro.umontreal.ca>
3608 * vc/vc-hooks.el (vc-state-base-face): Don't override
3611 2014-11-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
3613 * net/eww.el (eww-set-character-encoding): Use `read-coding-system'.
3614 (eww-process-text-input): Inhibit read only so that input fields
3615 don't get shortened (bug#19085).
3617 2014-11-24 Leo Liu <sdl.web@gmail.com>
3619 * emacs-lisp/macroexp.el (macroexp-let2*): New macro.
3621 * window.el (with-temp-buffer-window)
3622 (with-current-buffer-window, with-displayed-buffer-window):
3623 * emacs-lisp/cl-macs.el (cl--compiler-macro-adjoin):
3624 * emacs-lisp/cl-lib.el (substring):
3625 * emacs-lisp/cl-extra.el (cl-getf): Use it.
3627 2014-11-24 Eli Zaretskii <eliz@gnu.org>
3629 * isearch.el (isearch-update): Don't assume
3630 pos-visible-in-window-p will return nil when point is hscrolled
3631 out of view. (Bug#19157)
3633 2014-11-20 Andrey Kotlarski <m00naticus@gmail.com>
3635 * net/eww.el (eww-browse-url): Optionally create new eww buffer.
3636 (eww-follow-link): Follow in new buffer in case of prefix
3637 argument, open externally with double prefix (bug#19130).
3639 2014-11-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
3641 * net/eww.el (eww-display-html): Decode the document-defined charset.
3642 (eww): Pop to the *eww* buffer immediately after executing the
3643 `M-x eww' command to avoid having buffers pop up later.
3644 (eww-display-html): Don't pop the *eww* buffer.
3645 (eww-display-raw): Ditto.
3646 (eww-display-image): Ditto.
3647 (eww-follow-link): Make going to #targets in the page work again.
3649 2014-11-23 Ivan Shmakov <ivan@siamics.net>
3651 * net/eww.el (eww-suggest-uris): New variable.
3652 (eww-suggested-uris): New function.
3653 (eww): Default to URL under point.
3654 (eww-links-at-point): New function.
3656 2014-11-20 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
3658 * net/eww.el (eww-add-bookmark): Fix bookmark titles.
3660 2014-11-17 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
3662 * net/eww.el (eww-mode-map): Bind backtab to shr-previous-link.
3664 2014-11-23 Kenjiro Nakayama <nakayamakenjiro@gmail.com>
3666 * net/eww.el (eww-set-character-encoding): New command and keystroke.
3667 (eww-display-raw): Use it (bug#16225).
3669 2014-11-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
3671 * net/nsm.el (network-security-level): Rename from
3672 `nsm-security-level' and documented.
3674 * mail/smtpmail.el (smtpmail-via-smtp): Warn unless encrypted and
3675 we're sending a password.
3677 * net/nsm.el: New file that implements a Network Security Manager.
3679 * net/network-stream.el (open-network-stream): Add a new
3680 :warn-unless-encrypted parameter.
3681 (network-stream-open-plain): Allow warning unless encrypted.
3682 (network-stream-open-starttls): Call the Network Security Manager.
3683 (network-stream-open-tls): Ditto.
3685 2014-11-23 Leo Liu <sdl.web@gmail.com>
3687 * calendar/cal-china.el (calendar-chinese-from-absolute-for-diary)
3688 (calendar-chinese-to-absolute-for-diary)
3689 (calendar-chinese-mark-date-pattern, diary-chinese-anniversary):
3690 Handle leap months in Chinese calendar. (Bug#18953)
3692 2014-11-22 Alan Mackenzie <acm@muc.de>
3694 Fix error with `mark-defun' and "protected:" in C++ Mode.
3695 Fixes: debbugs:19134.
3697 * progmodes/cc-cmds.el (c-where-wrt-brace-construct): Handle a
3698 return code of (label) from c-beginning-of-decl-1.
3700 2014-11-22 Ulf Jasper <ulf.jasper@web.de>
3702 * net/newst-backend.el (newsticker--sentinel-work):
3703 Tell `libxml-parse-xml-region' to discard comments. Fixes bug#18787.
3705 2014-11-22 Michael Albinus <michael.albinus@gmx.de>
3707 * net/tramp-sh.el (tramp-sh-handle-start-file-process)
3708 (tramp-sh-handle-process-file): Propagate `process-environment'.
3710 * vc/vc-hg.el (vc-hg-state): No special handling for remote files;
3711 Tramp propagates environment variables now.
3713 2014-11-22 Eric S. Raymond <esr@snark>
3715 * vc/vc-filewise.el: New file to isolate code used only by the
3716 file-oriented back ends (SCCS/RCS/CVS/SRC) which should not
3717 live in vc.el and certainly not in vc-hooks.el.
3719 * vc/vc-hooks.el, vc-rcs.el, vc-sccs.el: vc-name -> vc-master-name.
3720 This is preparatory to isolating all the 'master' functions
3721 used only by the file-oriented back ends. With this done first,
3722 the substantive diffs will be easier to read.
3724 2014-11-21 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
3726 * play/morse.el (nato-alphabet): Mark URL in docstring in a way
3727 that is recognized by `help-mode'.
3729 2014-11-21 Stefan Monnier <monnier@iro.umontreal.ca>
3731 * desktop.el (desktop-create-buffer): Use activate-mark to set
3732 `mark-active' (bug#19058).
3734 2014-11-21 Eric S. Raymond <esr@snark>
3736 * vc/vc-src.el (vc-src-state): Fix bug that produced spurious
3739 2014-11-21 Eli Zaretskii <eliz@gnu.org>
3741 * vc/vc.el (vc-deduce-fileset): Support invocation from
3742 *vc-change-log* buffer. (Bug#19084)
3744 2014-11-13 Matthew Leach <matthew@mattleach.net>
3746 * arc-mode.el (archive-visit-single-files): New.
3747 (archive-mode): Visit file if archive contains a single file.
3750 2014-11-21 Ulrich Müller <ulm@gentoo.org>
3752 * vc/vc.el: Fix a typo in the commentary.
3754 2014-11-20 Eric S. Raymond <esr@snark.thyrsus.com>
3756 * vc/vc-src.el, vc/vc.el: Added support for SRC. Needs more
3757 testing and a real log-view mode.
3759 * vc/vc-bzr.el, vc/vc-cvs.el, vc/vc-dav.el, vc/vc-git.el:
3760 * vc/vc-hg.el, vc/vc-mtn.el, vc/vc-rcs.el, vc/vc-sccs.el:
3761 * vc/vc-svn.el, vc/vc.el: Remove editable argument from the backend
3762 checkout methods; where it matters (which is only in SCCS and RCS)
3763 files are always checked out editable. This may actually have
3764 been dynamically true already - it looks like the vc-next-action
3765 code evolved past visiting the other case. Tested with RCS.
3767 * vc/vc-arch.el, vc/vc-bzr.el, vc/vc-cvs.el, vc/vc-dav.el:
3768 * vc/vc-git.el, vc/vc-hg.el, vc/vc-mtn.el, vc/vc-rcs.el:
3769 * vc/vc-sccs.el, vc/vc-svn.el, vc/vc.el: Remove never-used rev
3770 argument from the backend checkin methods. Only the RCS, SCCS,
3771 and CVS back ends tried to do anything with it, and that code was
3772 never exercised. Chiseling away the cruft of decades...
3774 2014-11-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
3776 * net/eww.el (eww-render): Remove a no-op :title setting.
3778 2014-11-19 Ivan Shmakov <ivan@siamics.net>
3780 * net/eww.el (eww-history-limit): New variable.
3781 (eww-save-history): Use it (bug#19105).
3782 (eww-reload): Reload the page in the right buffer.
3784 2014-11-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
3786 * net/eww.el (eww-desktop-misc-data): Use `cl-remove-duplicates'.
3788 2014-11-19 Ivan Shmakov <ivan@siamics.net>
3790 * net/eww.el (eww-desktop-remove-duplicates)
3791 (eww-restore-desktop, eww-restore-reload-prompt): New variables.
3792 (eww-mode): Set up desktop mode (bug#18010).
3793 (eww-desktop-data-save, eww-desktop-data-1)
3794 (eww-desktop-history-duplicate, eww-desktop-misc-data)
3795 (eww-restore-desktop): New functions.
3797 2014-11-19 Eli Zaretskii <eliz@gnu.org>
3799 * vc/vc.el (vc-log-internal-common): Turn on log-view-mode in the
3800 correct buffer. (Bug#19101)
3802 2014-11-19 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
3804 * vc/vc-git.el (vc-git-diff): Use "difftool -x diff" with
3805 `diff-switches' if `vc-git-diff-switches' is nil. (Bug#19099)
3807 2014-11-19 Artur Malabarba <bruce.connor.am@gmail.com>
3809 * ido.el (ido-bury-buffer-at-head): New command.
3810 (ido-buffer-completion-map): Bind it to C-S-b.
3812 2014-11-18 Juri Linkov <juri@linkov.net>
3814 * simple.el (next-line-or-history-element): Wrap next-line
3815 in with-no-warnings.
3816 (previous-line-or-history-element): Wrap previous-line
3817 in with-no-warnings.
3819 2014-11-18 Juri Linkov <juri@linkov.net>
3821 * progmodes/grep.el (grep-compute-defaults):
3822 Compute grep-highlight-matches before its use.
3824 2014-11-18 Juri Linkov <juri@linkov.net>
3826 * replace.el (query-replace-from-to-separator): Turn defvar into
3827 defcustom. Wrap char-displayable-p in ignore-errors because an
3828 attempt to autoload char-displayable-p fails during pre-loading.
3829 Move (propertize "\0" ... 'separator t) out of customizable part
3830 to query-replace-read-from.
3831 (query-replace-read-from): Call custom-reevaluate-setting on
3832 query-replace-from-to-separator to reevaluate the separator
3833 depending on the return value of char-displayable-p.
3834 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00466.html
3836 2014-11-18 Juri Linkov <juri@linkov.net>
3838 * bindings.el (minibuffer-local-map): Rebind [down] from
3839 next-history-element to next-line-or-history-element, and [up]
3840 from previous-history-element to previous-line-or-history-element.
3842 * simple.el (next-line-or-history-element)
3843 (previous-line-or-history-element): New commands.
3844 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00822.html
3846 2014-11-18 Leo Liu <sdl.web@gmail.com>
3848 * emacs-lisp/nadvice.el (define-advice): New macro.
3849 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
3851 (lisp-font-lock-keywords-1): Add define-advice.
3853 2014-11-18 Daiki Ueno <ueno@gnu.org>
3855 * epg.el (epg-context): New slot EDIT-CALLBACK.
3856 (epg--process-filter): Call EDIT-CALLBACK when editing a key.
3857 (epg-reset): Reset EDIT-CALLBACK of the context.
3858 (epg-start-edit-key): New function.
3859 (epg-edit-key): New function.
3861 2014-11-18 Paul Eggert <eggert@cs.ucla.edu>
3863 Port new time stamp handling to Emacs 23.2.
3864 This fix is for Gnus. Reported by Katsumi Yamaoka.
3865 * calendar/time-date.el (time-add, time-subtract, time-less-p):
3866 Use eval-and-compile, not eval-when-compile.
3868 2014-11-18 Daiki Ueno <ueno@gnu.org>
3870 * epg.el (epg-context-set-passphrase-callback)
3871 (epg-context-set-progress-callback): Check if the CALLBACK
3872 argument is a function, instead of a cons.
3874 2014-11-18 Daiki Ueno <ueno@gnu.org>
3876 * epa-file.el (epa-file-insert-file-contents)
3877 (epa-file-write-region): Remove redundant check of
3879 * epa.el (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
3880 (epa-sign-region, epa-encrypt-region): Remove redundant check of
3883 2014-11-18 Daiki Ueno <ueno@gnu.org>
3885 * epa-file.el (epa-file-insert-file-contents): Don't show
3886 "*Error*" buffer if input file does not exist.
3887 Reported by Herbert J. Skuhra.
3889 2014-11-18 Paul Pogonyshev <pogonyshev@gmail.com>
3890 Rüdiger Sonderfeld <ruediger@c-plusplus.net>
3892 * progmodes/cc-langs.el: Support some of the new keywords in C++11.
3893 An alternative version of the patch from bug#13871.
3894 (c-operators): Add "alignof".
3895 (c-primitive-type-kwds): Add "char16_t", "char32_t".
3896 (c-type-modifier-kwds): Add "constexpr", "noexcept".
3897 (c-modifier-kwds): Add "thread_local".
3898 (c-constant-kwds): Add "nullptr".
3900 2014-11-17 Michal Nazarewicz <mina86@mina86.com>
3902 * textmodes/tildify.el (tildify-pattern, tildify-space-string):
3903 New variables for specifying tildify pattern and representation of
3904 a hard space -- a no-break space by default -- respectively.
3905 Being buffer-local they are much easier to handle than
3906 `tildify-string-alist' and `tildify-pattern-alist' respectively
3907 that have been used so far. They also works better with derived
3909 (tildify-foreach-region-function): New variable specifying
3910 a function determining portions of buffer that should be
3911 tildified. It allows major modes to create a filtering function
3912 more elaborate than a set of regular expressions. Initialised to
3913 `tildify--deprecated-ignore-evironments' by default to handle now
3914 deprecated `tildify-ignored-environments-alist' variable.
3915 (tildify--foreach-region): A new function that takes
3916 `tildify-foreach-region-function' into account and calls callback
3917 for regions of the buffer that should be tildified.
3918 (tildify-foreach-ignore-environments): A new function which can be
3919 partially applied and used as `tildify-foreach-region-function'.
3920 (tildify-ignored-environments-alist, tildify-pattern)
3921 (tildify-string-alist, tildify--pick-alist-entry): Mark as obsolete.
3922 (tildify--find-env): Rename from `tildify-find-env' and mark as
3924 (tildify--deprecated-ignore-evironments): New function,
3925 immediately marked as obsolete, used to handle deprecated
3926 `tildify-ignored-environments-alist'.
3928 * textmodes/tex-mode.el (tex-common-initialization):
3929 Set `tildify-space-string' and `tildify-foreach-region-function'
3930 variables in all variants of TeX mode since `tildify-string-alist'
3931 and `tildify-ignored-environments-alist' are now empty by default.
3933 * nxml/nxml-mode.el (nxml-mode): Ditto in `nxml-mode'.
3934 If encoding supports it use no-break space instead of character
3935 entity; this changes previous default which used a numeric
3938 * textmodes/sgml-mode.el (sgml-mode): ditto in `sgml-mode'.
3939 If encoding does not support no-break space, use numeric reference;
3940 this changes previous default which used named entity (“ ”)
3943 2014-11-17 Ulf Jasper <ulf.jasper@web.de>
3945 * calendar/icalendar.el (icalendar-export-alarms):
3946 New customizable variable. (Bug#5433)
3947 (icalendar-export-region): Export alarms as specified in
3948 `icalendar-export-alarms'.
3949 (icalendar--create-ical-alarm, icalendar--do-create-ical-alarm):
3950 New functions for exporting alarms.
3952 2014-11-17 Lars Magne Ingebrigtsen <larsi@gnus.org>
3954 * bindings.el (search-map): Move `eww-search-words' to `M-s M-w'.
3956 2014-11-17 Paul Eggert <eggert@cs.ucla.edu>
3958 Port new time stamp handling to old Emacs and to XEmacs.
3959 This is needed for Gnus, which copies time-date.el and which
3960 runs on older Emacs implementations.
3961 * calendar/time-date.el (with-decoded-time-value):
3962 Handle 'nil' and floating-point arg more compatibly with new Emacs.
3963 (encode-time-value, with-decoded-time-value):
3964 Obsolete only if new Emacs.
3965 (time-add, time-subtract, time-less-p): Define if not new Emacs.
3967 Improve time stamp handling, and be more consistent about it.
3968 This implements a suggestion made in:
3969 http://lists.gnu.org/archive/html/emacs-devel/2014-10/msg00587.html
3970 Among other things, this means timer.el no longer needs to
3971 autoload the time-date module.
3972 * allout-widgets.el (allout-elapsed-time-seconds): Doc fix.
3973 * arc-mode.el (archive-ar-summarize):
3974 * calendar/time-date.el (seconds-to-time, days-to-time, time-since):
3975 * emacs-lisp/timer.el (timer-relative-time, timer-event-handler)
3976 (run-at-time, with-timeout-suspend, with-timeout-unsuspend):
3977 * net/tramp.el (tramp-time-less-p, tramp-time-subtract):
3978 * proced.el (proced-time-lessp):
3979 * timezone.el (timezone-time-from-absolute):
3980 * type-break.el (type-break-schedule, type-break-time-sum):
3981 Simplify by using new functionality.
3982 * calendar/cal-dst.el (calendar-next-time-zone-transition):
3983 Do not return time values in obsolete and undocumented (HI . LO)
3984 format; use (HI LO) instead.
3985 * calendar/time-date.el (with-decoded-time-value):
3986 Treat 'nil' as current time. This is mostly for XEmacs.
3987 (encode-time-value, with-decoded-time-value): Obsolete.
3988 (time-add, time-subtract, time-less-p): Use no-op autoloads, for
3989 XEmacs. Define only if XEmacs, as they're now C builtins in Emacs.
3990 * ldefs-boot.el: Update to match new time-date.el
3991 * proced.el: Do not require time-date.
3993 2014-11-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
3995 * net/eww.el (eww-mode): Make the buffer read-only.
3996 (eww-form-text): Inhibit read-only-ness in text input fields
3999 2014-11-16 Stefan Monnier <monnier@iro.umontreal.ca>
4001 * simple.el (execute-extended-command--shorter): Cut search here.
4002 (execute-extended-command): Instead of here.
4004 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
4006 * progmodes/python.el (python-mode): Avoid use of set-local to
4007 keep Emacs 24.x compatibility.
4009 2014-11-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
4011 * net/shr.el (shr): Move to the new defgroup `web'.
4013 * net/eww.el (eww): Ditto.
4015 * simple.el (execute-extended-command): Don't show the help
4016 message if the binding isn't significantly shorter than the
4017 M-x command the user typed (bug#19013).
4019 2014-11-16 Ulf Jasper <ulf.jasper@web.de>
4021 * calendar/icalendar.el (icalendar--convert-tz-offset):
4022 Return complete cons when offsets of standard time and daylight saving
4024 (icalendar-export-region): Fix unbound variable warning.
4026 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
4028 * progmodes/python.el (run-python): Allow CMD to be optional and
4029 default it to a safe command, even for Windows. (bug#18596)
4031 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
4033 * progmodes/python.el (python-shell-calculate-command):
4034 Rename from python-shell-parse-command. Cleanup.
4035 (run-python, run-python-internal): Use it.
4036 (python-shell-calculate-pythonpath): Rename from
4037 python-new-pythonpath.
4038 (python-shell-calculate-process-environment): Use it.
4039 (python-shell-calculate-exec-path): Add comment.
4041 2014-11-16 Thierry Banel <tbanelwebmin@free.fr> (tiny change)
4043 * calc/calc-arith.el (math-max-list, math-min-list): Fix bug
4046 2014-11-16 Andreas Schwab <schwab@linux-m68k.org>
4048 * version.el (emacs-repository-get-version): Use git rev-parse
4051 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
4053 * progmodes/python.el (python-indent-calculate-levels):
4054 Fix indentation behavior multiline dedenter statement. (Bug#18432)
4056 2014-11-16 Fabián Ezequiel Gallina <fgallina@gnu.org>
4058 * progmodes/python.el (python-indent-region):
4059 Use python-indent-line and skip special cases. (Bug#18843)
4061 2014-11-16 Peder O. Klingenberg <peder@klingenberg.no>
4063 * mail/emacsbug.el (report-emacs-bug): Make a better guess at
4064 envelope-from when reporting through sendmail (bug#19054).
4066 2014-11-16 Oscar Fuentes <ofv@wanadoo.es>
4068 Add faces for the VC modeline state indicator.
4070 (vc-state-faces, vc-state-base-face)
4071 (vc-up-to-date-state, vc-needs-update-state)
4072 (vc-locked-state, vc-locally-added-state)
4073 (vc-conflict-state, vc-removed-state)
4074 (vc-missing-state, vc-edited-state):
4076 (vc-default-mode-line-string): Use them
4078 2014-11-16 Stefan Monnier <monnier@iro.umontreal.ca>
4080 * emacs-lisp/backquote.el (backquote-process): Optimize away ",'".
4082 2014-11-15 Lars Magne Ingebrigtsen <larsi@gnus.org>
4084 * net/eww.el (eww-search-words): Mention `eww-search-prefix'.
4086 2014-11-15 Fabián Ezequiel Gallina <fgallina@gnu.org>
4088 * progmodes/python.el (python-eldoc-setup-code): Enhance string
4089 type checks, simplify printing. (Bug#18962)
4091 2014-11-14 Ivan Andrus <darthandrus@gmail.com>
4093 * progmodes/python.el (python-shell-font-lock-kill-buffer):
4094 (python-shell-font-lock-with-font-lock-buffer)
4095 (python-shell-get-buffer, python-ffap-module-path):
4096 Use `derived-mode-p' instead of equality test on `major-mode'.
4098 2014-11-14 Fabián Ezequiel Gallina <fgallina@gnu.org>
4100 * progmodes/python.el (python-shell-virtualenv-root): Rename from
4101 python-shell-virtualenv-path.
4102 (python-shell-internal-get-process-name)
4103 (python-shell-calculate-process-environment)
4104 (python-shell-calculate-exec-path): Use it.
4106 2014-11-14 Eli Zaretskii <eliz@gnu.org>
4108 * bindings.el (search-map): Fix last change: don't use 'kbd' in
4109 bindings.el, since it is not yet loaded when bindings.el is
4112 2014-11-14 Fabián Ezequiel Gallina <fgallina@gnu.org>
4114 * progmodes/python.el (python-shell-completion-get-completions):
4117 2014-11-14 Lars Magne Ingebrigtsen <larsi@gnus.org>
4119 * net/eww.el (eww-render): Don't set the title to the URL.
4121 2014-11-13 Ulrich Müller <ulm@gentoo.org>
4123 * version.el (emacs-repository-get-version): Call `git log'
4124 command with proper format argument (bug#19049).
4126 2014-11-14 Lars Magne Ingebrigtsen <larsi@gnus.org>
4128 * bindings.el (search-map): Bind M-s M-s to `eww-search-words'.
4130 2014-11-14 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
4132 * net/eww.el (eww-search-words): New command (bug#16258).
4134 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
4136 * net/shr.el (shr-inhibit-images): Add a doc string.
4138 * net/eww.el (eww-after-render-hook): New variable.
4139 (eww-render): Use it.
4141 * net/shr.el (shr-descend): Don't descend further than
4142 `max-specpdl-size' allows (bug#16587).
4143 (shr-depth): New variable.
4144 (shr-warning): New variable.
4146 2014-11-13 Ivan Shmakov <ivan@siamics.net>
4148 * net/shr.el (shr-parse-base): Handle <base href=""> correctly.
4149 (shr-expand-url): Expand absolute URLs correctly (bug#17958).
4151 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
4153 * net/eww.el (eww): Add comment to clarify.
4155 * net/shr.el (shr-parse-image-data): Remove blocked bits from
4156 external SVG images.
4157 (shr-tag-object): Display images in <object> forms (bug#16244).
4158 (shr-tag-table): Also insert <objects> after the tables.
4160 2014-11-13 Michael Albinus <michael.albinus@gmx.de>
4162 * vc/vc-hg.el (vc-hg-state): Disable pager. (Bug#18940)
4164 2014-11-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
4166 * net/eww.el (eww-form-file): Fix version number.
4168 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
4170 * net/eww.el (eww-form-file): :type isn't a valid `defface' keyword.
4172 2014-11-10 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
4174 * net/eww.el(eww-form-file(defface)): New defface of file upload form.
4175 (eww-submit-file): New key map of file upload.
4176 (eww-form-file): New file upload button and file name context.
4177 (eww-select-file): Select file and display selected file name.
4178 (eww-tag-input): Handle input tag of file type.
4179 (eww-update-field): Add point offset.
4180 (eww-submit): Add submit with multipart/form-data.
4182 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
4184 * net/eww.el (eww-render, eww-display-html, eww-setup-buffer):
4185 Allow taking a buffer to render data in. This allows using several
4186 eww buffers (bug#16211).
4188 2014-11-10 Charles Rendleman <carendle@gmail.com> (tiny change)
4190 * net/eww.el (eww-download-callback): Save only the file contents,
4193 2014-11-10 Lars Magne Ingebrigtsen <larsi@gnus.org>
4195 * net/eww.el (eww-data): New plist to store all the data relevant
4196 to a single page, used throughout the file instead of the
4197 variables `eww-current-url', `eww-current-dom',
4198 `eww-current-source', and `eww-current-title'.
4199 (eww-readable): Copy over pertinent data from the parent page.
4200 (eww-save-history): Don't let the history grow infinitely.
4202 * net/eww.el: Remove `eww-next-url', `eww-previous-url',
4203 `eww-up-url', `eww-home-url', `eww-start-url' and
4204 `eww-contents-url' and put the data into the `eww-data' plist.
4205 This allow restoring these values after going back in the history.
4207 2014-11-10 Sylvain Chouleur <sylvain.chouleur@gmail.com> (tiny change)
4209 Allow VTIMEZONE where daylight and standard time zones are equal.
4210 See: http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00494.html
4211 * calendar/icalendar.el (icalendar--convert-tz-offset):
4212 Support timezone without daylight saving time.
4214 2014-11-10 Glenn Morris <rgm@gnu.org>
4216 * startup.el (command-line): Handle nil elements in load-path.
4218 2014-11-10 Stefan Monnier <monnier@iro.umontreal.ca>
4220 * help.el (view-lossage): Include the actual commands run.
4222 2014-11-10 Dmitry Gutov <dgutov@yandex.ru>
4224 * vc/vc-dir.el (vc-dir-hide-state): Also hide `ignored' items when
4225 no state is specified. (Bug#18964)
4227 2014-11-09 Eric Ludlam <zappo@gnu.org>
4229 * emacs-lisp/eieio-custom.el (eieio-customize-object):
4230 Set eieio-cog (current group) to g, which is an improved form of input
4233 2014-11-09 Juri Linkov <juri@jurta.org>
4235 * isearch.el (isearch-message-prefix): Show "Multi-file" and
4236 "Multi-buffer" instead of "Multi". (Bug#13592)
4238 * misearch.el (multi-isearch-file-list):
4239 Autoload multi-isearch-buffer-list and multi-isearch-file-list.
4240 (multi-isearch-end): Reset multi-isearch-buffer-list and
4241 multi-isearch-file-list to nil.
4243 2014-11-09 Stefan Monnier <monnier@iro.umontreal.ca>
4245 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
4246 Don't call byte-compile-preprocess since the result will go through
4248 (byte-compile-output-docform): Handle uninterned `name' correctly.
4249 * emacs-lisp/cl-macs.el (cl-define-compiler-macro): Use interned name
4250 to circumvent byte-compiler bug.
4252 * emacs-lisp/macroexp.el (macroexp--expand-all): Fix typo.
4253 (macroexp--compiler-macro): Remove left-over debug code.
4255 * emacs-lisp/cl-extra.el (cl-get): Silence compiler warning.
4257 2014-11-08 Juri Linkov <juri@jurta.org>
4259 * simple.el (shell-command): Use buffer-name when output-buffer is
4260 a buffer. (Bug#18096)
4262 2014-11-08 Juri Linkov <juri@jurta.org>
4264 * minibuffer.el (minibuffer-completion-help): Compare this-command
4265 with completion-at-point. (Bug#17809)
4267 2014-11-08 Glenn Morris <rgm@gnu.org>
4269 * emacs-lisp/bytecomp.el (byte-compile-report-error):
4270 Allow the argument to be a string. Due to the vague doc,
4271 it was already being used this way.
4273 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
4275 * net/tramp.el (tramp-check-cached-permissions): Include hop in
4276 the constructed Tramp file name. (Bug#18943)
4278 2014-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
4280 * emulation/cua-base.el (cua--select-keymaps): Use region-active-p
4282 (cua-set-mark, cua--post-command-handler-1):
4283 * emulation/cua-gmrk.el (cua-cancel-global-mark): Same.
4285 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
4287 * files.el (file-name-non-special): Wrap the call of
4288 `insert-file-contents' by `unwind-protect', in order to set the
4289 buffer's file name anyway. (Bug#18891)
4291 2014-11-08 Alan Mackenzie <acm@muc.de>
4293 Fix wrong bound to c-font-lock-declarators. Fixes bug #18948.
4294 * progmodes/cc-fonts.el (c-font-lock-declarations):
4295 Pass "(point-max)" as bound to c-font-lock-declarators, not "limit", as
4296 the buffer is sometimes narrowed to less than "limit" (e.g., in
4297 the presence of macros).
4299 2014-11-08 Michael Albinus <michael.albinus@gmx.de>
4301 * net/tramp.el (tramp-error-with-buffer): Show connection buffer
4302 only when message appeared in minibuffer. (Bug#18891)
4304 * net/tramp-adb.el (tramp-adb-handle-file-attributes):
4305 * net/tramp-gvfs.el (tramp-gvfs-handle-file-attributes):
4306 * net/tramp-sh.el (tramp-sh-handle-file-attributes): Return nil in
4309 2014-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
4311 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
4312 Don't compile before eval in `eval-and-compile'.
4313 (byte-compile-arglist-warn): Add check for defining macros after their
4314 first use. Check call use even if the function is fboundp.
4316 2014-11-08 Richard Stallman <rms@gnu.org>
4318 * mail/rmail.el (rmail-epa-decrypt): Detect armor with line prefixes.
4319 Check more carefully for mime-part specified character set.
4320 Check for mime-part Content Transfer Encoding.
4321 Notify if no armor found.
4323 2014-11-08 Martin Rudalics <rudalics@gmx.at>
4325 * faces.el (face-set-after-frame-default): Enable running
4326 `window-configuration-change-hook'.
4328 2014-11-07 Juri Linkov <juri@jurta.org>
4330 * replace.el: History for query replace pairs.
4331 (query-replace-defaults): Promote to a list of cons cell. Doc fix.
4332 (query-replace-from-to-separator): New variable.
4333 (query-replace-read-from): Let-bind query-replace-from-to-history
4334 to a list of FROM-TO strings created from query-replace-defaults
4335 and separated by query-replace-from-to-separator. Use it as
4336 the history while reading from the minibuffer. Split the returned
4337 string by the separator to get FROM and TO parts, and add them
4338 to the history variables.
4339 (query-replace-read-to): Add FROM-TO pairs to query-replace-defaults.
4340 (query-replace-regexp-eval): Let-bind query-replace-defaults to nil.
4341 http://lists.gnu.org/archive/html/emacs-devel/2014-11/msg00253.html
4343 * isearch.el (isearch-text-char-description): Keep characters
4344 intact and put formatted strings with the `display' property.
4346 2014-11-07 Martin Rudalics <rudalics@gmx.at>
4348 * cus-start.el (frame-resize-pixelwise): Fix group.
4349 (frame-inhibit-implied-resize): Add entry.
4351 2014-11-07 Daiki Ueno <ueno@gnu.org>
4353 * epa.el (epa-pinentry-mode): New user option.
4354 (epa-sign-file, epa-encrypt-file, epa-decrypt-region)
4355 (epa-sign-region, epa-encrypt-region): Respect epa-pinentry-mode.
4356 * epa-file.el (epa-file-insert-file-contents)
4357 (epa-file-write-region): Respect epa-pinentry-mode.
4359 2014-11-07 Daiki Ueno <ueno@gnu.org>
4361 * epg.el (epg--list-keys-1): Ignore fields after the 15th field
4362 (bug#18979). Reported by Hideki Saito.
4364 2014-11-06 Daiki Ueno <ueno@gnu.org>
4366 * emacs-lisp/package.el (package--display-verify-error): New function.
4367 (package--check-signature): Use it to display output sent to stderr.
4369 2014-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
4371 * subr.el (pop): Don't call the getter twice (bug#18968).
4373 * emacs-lisp/macroexp.el (macroexp--expand-all): Optimize away trivial
4376 2014-11-06 Daiki Ueno <ueno@gnu.org>
4378 * epa.el (epa-error-buffer): New variable.
4379 (epa-display-error): New function.
4380 (epa-decrypt-file, epa-verify-file, epa-verify-region)
4381 (epa-delete-keys, epa-import-keys): Display output sent to stderr.
4382 (epa-sign-file, epa-sign-region, epa-encrypt-region)
4383 (epa-export-keys, epa-insert-keys): Display output sent to stderr.
4384 Use setf instead of epg-context-set-*.
4385 * epa-file.el (epa-file-insert-file-contents):
4386 Use epa-display-error instead of epa-display-info. Mimic the behavior
4387 of jka-compr when decryption program is not found.
4388 (epa-file-write-region): Use epa-display-error instead of
4391 2014-11-05 Stefan Monnier <monnier@iro.umontreal.ca>
4393 * vc/vc.el (vc-region-history): New command.
4394 (vc-print-log-internal): Use cl-some.
4396 * vc/vc-git.el (vc-git-region-history): New function.
4397 (vc-git-region-history-mode-map)
4398 (vc-git--log-view-long-font-lock-keywords)
4399 (vc-git-region-history-font-lock-keywords): New vars.
4400 (vc-git-region-history-font-lock): New function.
4401 (vc-git-region-history-mode): New major mode.
4403 2014-11-05 Tassilo Horn <tsdh@gnu.org>
4405 * net/eww.el (subr-x): Require subr-x at compile-time because eww
4408 2014-11-05 Daiki Ueno <ueno@gnu.org>
4410 * epg.el (epg-context): Add new slot ERROR-OUTPUT.
4411 (epg-error-output): New buffer-local variable.
4412 (epg--start): Initialize epg-error-output.
4413 (epg--process-filter): Record output lines sent to stderr, in
4415 (epg-wait-for-completion): Copy epg-error-output to ERROR-OUTPUT
4417 * epa-file.el (epa-file-insert-file-contents): On error, display
4418 output sent to stderr.
4419 (epa-file-write-region): Likewise.
4421 2014-11-05 Eli Zaretskii <eliz@gnu.org>
4423 * jit-lock.el (jit-lock-stealth-fontify): Be tolerant to nil being
4424 returned by load-average.
4426 2014-11-05 Michael Albinus <michael.albinus@gmx.de>
4428 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer): Don't use
4429 a local copy; setting `inhibit-file-name-handlers' proper might be
4430 more performant. (Bug#18751)
4432 2014-11-05 Glenn Morris <rgm@gnu.org>
4434 * mail/emacsbug.el (report-emacs-bug): No longer include
4435 recent-keys in the report. (Bug#18900)
4437 2014-11-04 Paul Eggert <eggert@cs.ucla.edu>
4439 * mouse.el (mouse-drag-line): Fix misspelling of "right-fringe".
4441 2014-11-04 Teodor Zlatanov <tzz@lifelogs.com>
4443 * net/eww.el (eww): Trim URL with `string-trim'.
4444 Suggested by Vibhav Pant <vibhavp@gmail.com>.
4446 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
4448 * net/eww.el (eww-score-readability): Don't count comments positively.
4450 * net/shr.el (shr-retransform-dom): Typo fix.
4452 * net/eww.el (eww-score-readability): Parse SVC images correctly.
4453 (eww-display-html): Don't leave point inside forms.
4455 * net/shr.el: Ditto.
4457 2014-11-03 Stefan Monnier <monnier@iro.umontreal.ca>
4459 * emacs-lisp/edebug.el (edebug-safe-prin1-to-string): Assume that
4460 edebug-prin1-to-string already handles circularity.
4462 * emacs-lisp/byte-run.el (defun-declarations-alist): Fix compiler-macro
4463 autoloading when specified as a lambda.
4465 * simple.el (execute-extended-command--last-typed): New var.
4466 (read-extended-command): Set it.
4467 Don't complete obsolete commands.
4468 (execute-extended-command--shorter-1)
4469 (execute-extended-command--shorter): New functions.
4470 (execute-extended-command): Use them to suggest shorter names.
4471 (indicate-copied-region, deactivate-mark): Use region-active-p.
4473 2014-11-03 Michael Albinus <michael.albinus@gmx.de>
4475 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer): Use a
4476 local copy of FILENAME, when it is remote. (Bug#18751)
4478 * net/tramp-adb.el (tramp-adb-handle-process-file): Do not raise
4479 an error when the command fails; the return code must indicate.
4480 (tramp-adb-send-command-and-check): Fix docstring.
4482 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
4484 * net/shr.el (shr-retransform-dom): Don't ignore elements that
4485 have no children like <br />.
4487 * net/eww.el (eww-display-html): Clear `url-queue'.
4488 (eww-display-pdf): New function.
4489 (eww-render): Display PDFs with `doc-view'.
4490 (url-queue): Require `url-queue' to avoid compilation warning.
4491 (eww-colorize-region): Remove duplicate function.
4492 (eww-tag-body): Use `shr-colorize-region'.
4494 2014-11-03 Yoni Rabkin <yrk@gnu.org>
4496 * net/eww.el (eww-list-bookmarks): Autoload.
4498 2014-11-03 Lars Magne Ingebrigtsen <larsi@gnus.org>
4500 * net/shr.el (shr-retransform-dom): Allow several text sub-nodes.
4502 * net/eww.el (eww-display-html): The charset is called `utf-8',
4504 (eww-readable): Decode the saved text correctly.
4505 (eww-readable): Save the history before displaying so that we can
4506 go back to the non-readable version.
4507 (eww-display-html): Don't try to decode the text if we've been
4508 passed in a pre-parsed DOM.
4509 (eww-tag-title): Remove newlines and extra whitespace from the
4512 2014-11-02 Lars Magne Ingebrigtsen <larsi@gnus.org>
4514 * net/eww.el (eww-readable): New command and keystroke.
4516 * net/shr.el (shr-retransform-dom): New function.
4518 * net/eww.el (eww-display-html): Set `eww-current-source' in the
4520 (eww-view-source): Use it.
4522 2014-11-02 Ivan Shmakov <ivan@siamics.net>
4524 * net/eww.el (eww): Recognize colon-delimited IPv6 addresses.
4527 2014-11-02 Brian McKenna <brian@brianmckenna.org> (tiny change)
4529 * net/eww.el (eww-submit): Encode empty form values as "". (Bug#17785).
4531 2014-11-02 Ivan Shmakov <ivan@siamics.net>
4533 * net/eww.el (eww): Allow "file:/file/name" URLs. (Bug#18825).
4535 2014-11-02 Ivan Shmakov <ivan@siamics.net>
4537 * net/eww.el (eww-mode-map): Remove mentions of `eww-quit'.
4540 2014-11-02 Eric Abrahamsen <eric@ericabrahamsen.net>
4542 * emacs-lisp/eieio.el (eieio-edebug-prin1-to-string): Adjust for
4544 (edebug-setup-hook): Advise `edebug-prin1-to-string'. (Bug#18897)
4546 2014-11-02 Stefan Monnier <monnier@iro.umontreal.ca>
4548 * emacs-lisp/pp.el (pp-macroexpand-expression): Use macroexpand-1
4550 * progmodes/elisp-mode.el (emacs-lisp-macroexpand): Idem.
4552 2014-11-01 Michael R. Mauger <michael@mauger.com>
4554 * sql.el (sql-mode-oracle-font-lock-keywords): Correct regexp
4555 syntax, add new keywords, and parse longer keywords first.
4556 (sql-redirect-one): Protect against empty command.
4557 (sql-mode, sql-interactive-mode): Set `custom-mode-group' property
4560 2014-11-01 Michael R. Mauger <michael@mauger.com>
4562 * sql.el (sql-interactive-mode, sql-stop): Correct fix for
4563 Bug#16814 with let-bind of comint-input-ring variables around read
4566 2014-11-01 Michael Albinus <michael.albinus@gmx.de>
4568 * net/tramp-cache.el (tramp-get-file-property)
4569 (tramp-set-file-property): Check that `tramp-cache-get-count-*'
4570 and `tramp-cache-set-count-*' are bound. Otherwise, there might
4571 be compiler warnings.
4573 * net/tramp-sh.el (tramp-get-remote-uid, tramp-get-remote-gid):
4574 Return -1 respective "UNKNOWN", if uid or gid cannot be determined.
4576 2014-11-01 Eli Zaretskii <eliz@gnu.org>
4578 * progmodes/compile.el (compilation-mode): Turn off deferred
4579 fontifications locally. (Bug#18856)
4581 2014-11-01 Wolfgang Jenkner <wjenkner@inode.at>
4583 * net/tramp-sh.el (tramp-send-command): Fix the case where the
4584 remote-echo connection property is non-nil (bug#18858).
4586 2014-11-01 Stefan Monnier <monnier@iro.umontreal.ca>
4588 * simple.el (newline): Add assertions to try and help catch bug#18913.
4590 * emulation/cua-base.el (cua-delete-region): Use delete-active-region
4592 (cua--last-deleted-region-pos, cua--last-deleted-region-text): Remove.
4594 2014-11-01 Kim F. Storm <storm@cua.dk>
4596 Restore cua-delete-copy-to-register-0 and M-v command (bug#18886).
4597 * delsel.el (delete-selection-save-to-register)
4598 (delsel--replace-text-or-position): New vars.
4599 (delete-active-region): Use them.
4600 (delete-selection-repeat-replace-region): New command, moved from
4602 * emulation/cua-base.el (cua--repeat-replace-text): Remove var.
4603 (cua-repeat-replace-region): Move command to delsel.el.
4604 (cua--init-keymaps): Update binding accordingly.
4605 (cua-mode): Set delete-selection-save-to-register.
4607 2014-11-01 Alan Mackenzie <acm@muc.de>
4609 Make blink-parens work with a closing template delimiter.
4610 * progmodes/cc-cmds.el (c-electric-lt-gt): Cause a redisplay
4611 before calling blink-paren-function, so as to apply syntax-table
4612 properties to the ">".
4614 2014-11-01 Jan Djärv <jan.h.d@swipnet.se>
4616 * select.el (gui-get-selection): Comment: data-type ignored on NS.
4618 2014-10-31 Stefan Monnier <monnier@iro.umontreal.ca>
4620 * emacs-lisp/macroexp.el (macroexpand-1): New function (bug#18821).
4621 (macroexp--expand-all): Unrelated tweaks.
4623 * emacs-lisp/gv.el (gv-get): Use macroexpand-1.
4625 2014-10-30 Glenn Morris <rgm@gnu.org>
4627 * startup.el (command-line): Remove pointless attempt to avoid
4628 statting the file-system (which expand-file-name doesn't do).
4630 2014-10-30 Daniel Colascione <dancol@dancol.org>
4632 Add "enum class" support to C++ mode.
4633 * progmodes/cc-langs.el (c-after-brace-list-decl-kwds)
4634 (c-after-brace-list-key): New language consts/variables.
4635 * progmodes/cc-engine.el (c-looking-at-decl-block):
4636 Exclude spurious match of "enum struct" from decl-block recognition.
4637 (c-backward-colon-prefixed-type): New function.
4638 (c-backward-over-enum-header): Call above function to extend
4639 recognition of enum structure.
4641 2014-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
4643 * progmodes/cc-defs.el (c--macroexpand-all): New function (bug#18845).
4645 * progmodes/cc-langs.el (c-make-init-lang-vars-fun): Use it.
4647 2014-10-30 Eli Zaretskii <eliz@gnu.org>
4649 * progmodes/compile.el (compilation-start):
4650 If compilation-scroll-output is non-nil, don't force window-start of
4651 the compilation buffer to be at beginning of buffer. (Bug#18874)
4653 * startup.el (fancy-about-text): Read the entire tutorial, not
4654 just its first 256 bytes. (Bug#18760)
4656 2014-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
4658 * emacs-lisp/bytecomp.el: Require cl-extra (bug#18804).
4659 * emacs-lisp/cl-extra.el: Add missing provide.
4661 * emacs-lisp/bytecomp.el (byte-compile-and-folded): Optimize case where
4662 all args are copyable (bug#18767).
4663 (=, <, >, <=, >=): Re-enable the optimization.
4665 2014-10-29 Glenn Morris <rgm@gnu.org>
4667 * net/rcirc.el (rcirc-fill-column): Unbump :version. Mark :risky.
4669 * version.el (emacs-bzr-version, emacs-bzr-get-version):
4670 Revert 2014-10-26 change.
4672 2014-10-29 Paul Eggert <eggert@cs.ucla.edu>
4674 Simplify use of current-time and friends.
4675 * allout-widgets.el (allout-widgets-hook-error-handler):
4676 * calendar/appt.el (appt-display-message):
4677 * calendar/icalendar.el (icalendar--convert-float-to-ical):
4678 * calendar/timeclock.el (timeclock-in, timeclock-when-to-leave)
4679 (timeclock-last-period, timeclock-day-base):
4680 * eshell/em-ls.el (eshell-ls-file):
4681 * eshell/esh-util.el (eshell-parse-ange-ls):
4682 * generic-x.el (named-database-print-serial):
4683 * net/newst-backend.el (newsticker--get-news-by-url-callback)
4684 (newsticker-get-news, newsticker--sentinel-work)
4685 (newsticker--image-get, newsticker--image-sentinel):
4686 * net/tramp-sh.el (tramp-get-remote-touch):
4687 * progmodes/opascal.el (opascal-debug-log):
4688 * textmodes/remember.el (remember-mail-date)
4689 (remember-store-in-files):
4690 * vc/vc-annotate.el (vc-annotate-display-autoscale)
4691 (vc-default-annotate-current-time):
4692 * vc/vc-bzr.el (vc-bzr-shelve-snapshot):
4693 * vc/vc-cvs.el (vc-cvs-annotate-current-time):
4694 * vc/vc-rcs.el (vc-rcs-annotate-current-time):
4695 Omit unnecessary call to current-time.
4696 * calendar/time-date.el (time-to-seconds) [!float-time]:
4697 * vc/vc-annotate.el (vc-annotate-convert-time):
4698 Use current time if arg is nil, to be compatible with float-time.
4699 (time-date--day-in-year): New function, with most of the guts of
4700 the old time-to-day-in-year.
4701 (time-to-day-in-year): Use it.
4702 (time-to-days): Use it, to avoid decoding the same time stamp twice.
4703 * calendar/timeclock.el (timeclock-time-to-date):
4704 Arg is now optional, like current-time-string.
4705 (timeclock-update-mode-line):
4706 Don't call current-time twice to get the current time stamp,
4707 as this can lead to inconsistent results.
4708 * completion.el (cmpl-hours-since-origin):
4709 * ido.el (ido-time-stamp):
4710 * vc/vc-annotate.el (vc-annotate-convert-time):
4711 Simplify by using float-time.
4712 * completion.el (save-completions-to-file):
4713 Rename local var to avoid confusion.
4714 * net/rcirc.el (rcirc-float-time): Simplify to an alias because
4715 time-to-seconds now behaves like float-time with respect to nil arg.
4716 * subr.el (progress-reporter-do-update):
4717 Don't call float-time unless needed.
4719 2014-10-29 Leo Liu <sdl.web@gmail.com>
4721 * net/rcirc.el (rcirc-fill-column): Use function.
4722 (rcirc-markup-fill): Remove adjustment.
4724 2014-10-28 Christopher Schmidt <ch@ristopher.com>
4726 * calc/calc.el (quick-calc):
4727 * calc/calc-aent.el (calc-do-quick-calc): New argument INSERT.
4729 2014-10-28 Sam Steingold <sds@gnu.org>
4731 * net/rcirc.el (rcirc-fill-column): Allow any symbolic value for
4732 the sake of `window-body-width' (in addition to `frame-width').
4734 2014-10-26 Eric S. Raymond <esr@thyrsus.com>
4736 * version.el: Fix some fallback values to conform to the actual
4739 2014-10-25 Eric S. Raymond <esr@thyrsus.com>
4741 * Makefile.in: Change some production names so they're neutral
4742 about the repository type.
4744 2014-10-25 Michael Albinus <michael.albinus@gmx.de>
4746 * net/tramp-gvfs.el (tramp-gvfs-methods-mounttracker)
4747 (tramp-gvfs-mountlocation-signature): Check `tramp-gvfs-enabled'
4748 during initialization. (Bug#18774)
4750 2014-10-25 Vincent Belaïche <vincentb1@users.sourceforge.net>
4752 * ses.el (macroexp): Add require for this package, so that
4753 function `ses--cell' gets macroexp-quote --- this change was
4754 supposed to be in my previous commit, but left out by mistake.
4755 (ses--cell): Do not make formula a macroexp-quote of value when
4756 value, not formula, is *skip*.
4758 2014-10-24 Vincent Belaïche <vincentb1@users.sourceforge.net>
4760 * ses.el (macroexp): Add require for this package, so that function
4761 `ses--cell gets macroexp-quote.
4762 (ses--cell): Makes formula a macroexp-quote of value when formula
4763 is nil. The rationale of this changr is to allow in the future
4764 shorter SES files, e.g. we could have only `(ses-cell A1 1.0)'
4765 instead of `(ses-cell A1 1.0 1.0 nil REFLIST)'. In such a case
4766 reference list REFLIST would be re-computed after load --- thus
4767 trading off load time against file size.
4769 * emacs-lisp/package.el (package--alist-to-plist-args):
4770 Use macroexp-quote instead of a lambda expression which has the same
4771 content as macroexp-quote.
4772 (macroexp): Add require for this package, so that function
4773 `package--alist-to-plist-args' gets macroexp-quote.
4775 * emacs-lisp/macroexp.el (macroexp-quote): New defun.
4777 2014-10-24 Stefan Monnier <monnier@iro.umontreal.ca>
4779 * term/ns-win.el (ns-store-cut-buffer-internal)
4780 (ns-copy-including-secondary): Use gui-set-selection (bug#18816).
4782 2014-10-24 Martin Rudalics <rudalics@gmx.at>
4784 * mouse.el (mouse-drag-line): Don't use mouse-pixel-position.
4785 Calculate increment from last position instead of window edge.
4786 Add right- and bottom-divider bindings to transient map.
4788 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
4790 * emacs-lisp/cl-macs.el (cl-defstruct): Define an internal predicate
4791 even if :predicate was nil, for the benefit of typep.
4792 Record the name of the predicate for typep's use.
4793 (cl--make-type-test): Use pcase. Obey new
4794 cl-deftype-satisfies property.
4796 * epg.el: Use cl-defstruct.
4797 (epg-make-data-from-file, epg-make-data-from-string, epg-data-file)
4798 (epg-data-string): Define via cl-defstruct.
4799 (epg--gv-nreverse): New macro.
4800 (epg-context--make): New constructor (provided vi cl-defstruct).
4801 (epg-make-context): Rewrite using it.
4802 (epg-context-protocol, epg-context-program)
4803 (epg-context-home-directory, epg-context-armor, epg-context-textmode)
4804 (epg-context-include-certs, epg-context-cipher-algorithm)
4805 (epg-context-digest-algorithm, epg-context-compress-algorithm)
4806 (epg-context-passphrase-callback, epg-context-progress-callback)
4807 (epg-context-signers, epg-context-sig-notations, epg-context-process)
4808 (epg-context-output-file, epg-context-result, epg-context-operation)
4809 (epg-context-pinentry-mode): Define using cl-defstruct.
4810 (epg-context-set-protocol, epg-context-set-program)
4811 (epg-context-set-include-certs, epg-context-set-cipher-algorithm)
4812 (epg-context-set-digest-algorithm)
4813 (epg-context-set-sig-notations, epg-context-set-process)
4814 (epg-context-set-output-file, epg-context-set-result)
4815 (epg-context-set-operation, epg-context-set-pinentry-mode)
4816 (epg-context-set-compress-algorithm): Remove. Use setf instead.
4817 (epg-context-set-armor, epg-context-set-textmode)
4818 (epg-context-set-signers): Redefine using setf
4819 and declare as obsolete.
4820 (epg-context-set-passphrase-callback)
4821 (epg-context-set-progress-callback): Use setf.
4822 (epg-signature-notations): Rename from epg-sig-notations.
4823 (epg-make-signature, epg-signature-status, epg-signature-key-id)
4824 (epg-signature-validity, epg-signature-fingerprint)
4825 (epg-signature-creation-time, epg-signature-expiration-time)
4826 (epg-signature-pubkey-algorithm, epg-signature-digest-algorithm)
4827 (epg-signature-class, epg-signature-version): Define vi cl-defstruct.
4828 (epg-signature-set-status, epg-signature-set-key-id)
4829 (epg-signature-set-validity, epg-signature-set-fingerprint)
4830 (epg-signature-set-creation-time, epg-signature-set-expiration-time)
4831 (epg-signature-set-pubkey-algorithm)
4832 (epg-signature-set-digest-algorithm, epg-signature-set-class)
4833 (epg-signature-set-version, epg-signature-set-notations): Remove.
4835 (epg-make-new-signature, epg-new-signature-type)
4836 (epg-new-signature-pubkey-algorithm)
4837 (epg-new-signature-digest-algorithm, epg-new-signature-class)
4838 (epg-new-signature-creation-time, epg-new-signature-fingerprint):
4839 Define using cl-defstruct.
4840 (epg-make-key, epg-key-owner-trust, epg-key-sub-key-list)
4841 (epg-key-user-id-list): Define using cl-defstruct.
4842 (epg-key-set-sub-key-list, epg-key-set-user-id-list): Remove.
4844 (epg-make-sub-key, epg-sub-key-validity, epg-sub-key-capability)
4845 (epg-sub-key-secret-p, epg-sub-key-algorithm, epg-sub-key-length)
4846 (epg-sub-key-id, epg-sub-key-creation-time)
4847 (epg-sub-key-expiration-time, epg-sub-key-fingerprint): Define using
4849 (epg-sub-key-set-fingerprint): Remove. Use setf instead.
4850 (epg-make-user-id, epg-user-id-validity, epg-user-id-string)
4851 (epg-user-id-signature-list): Define using cl-defstruct.
4852 (epg-user-id-set-signature-list): Remove. Use setf instead.
4853 (epg-make-key-signature, epg-key-signature-validity)
4854 (epg-key-signature-pubkey-algorithm, epg-key-signature-key-id)
4855 (epg-key-signature-creation-time, epg-key-signature-expiration-time)
4856 (epg-key-signature-user-id, epg-key-signature-class)
4857 (epg-key-signature-exportable-p): Define using cl-defstruct.
4858 (epg-make-sig-notation, epg-sig-notation-name)
4859 (epg-sig-notation-value, epg-sig-notation-human-readable)
4860 (epg-sig-notation-critical): Define using cl-defstruct.
4861 (epg-sig-notation-set-value): Remove. Use setf instead.
4862 (epg-make-import-status, epg-import-status-fingerprint)
4863 (epg-import-status-reason, epg-import-status-new)
4864 (epg-import-status-user-id, epg-import-status-signature)
4865 (epg-import-status-sub-key, epg-import-status-secret): Define using
4867 (epg-make-import-result, epg-import-result-considered)
4868 (epg-import-result-no-user-id, epg-import-result-imported)
4869 (epg-import-result-imported-rsa, epg-import-result-unchanged)
4870 (epg-import-result-new-user-ids, epg-import-result-new-sub-keys)
4871 (epg-import-result-new-signatures, epg-import-result-new-revocations)
4872 (epg-import-result-secret-read, epg-import-result-secret-imported)
4873 (epg-import-result-secret-unchanged, epg-import-result-not-imported)
4874 (epg-import-result-imports): Define using cl-defstruct.
4876 * emacs-lisp/package.el: Require EPG during macroexpansion.
4877 (package--check-signature, package-import-keyring): Use setf instead of
4878 epg-context-set-home-directory.
4880 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
4882 * emacs-lisp/bytecomp.el (byte-compile--use-old-handlers): Change default.
4884 2014-10-23 Leo Liu <sdl.web@gmail.com>
4886 * progmodes/cfengine.el (cfengine3-defun-full-re): New var.
4887 (cfengine3-create-imenu-index): Use it and use ` ' for separation.
4888 (cfengine3-current-defun): New function.
4889 (cfengine3-mode): Set add-log-current-defun-function.
4891 2014-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
4893 * select.el: Use lexical-binding.
4894 (gui-set-selection): Provide an implementation for non-GUI frames
4896 * term/x-win.el: Use lexical-binding.
4897 (x-clipboard-yank): Fix up missed renamings.
4898 * term/w32-win.el (libgif-version, libjpeg-version): Silence compiler.
4899 (w32--set-selection): Fix up var names.
4900 * term/pc-win.el: Use lexical-binding.
4901 (w16-selection-exists-p): Silence compiler warning.
4902 (w16-selection-owner-p): Fix up missed renamings.
4904 * emacs-lisp/bytecomp.el (byte-compile-form): Remove left-over debug.
4906 * frame.el (frame-notice-user-settings): Fix excessive quoting.
4908 2014-10-22 Tassilo Horn <tsdh@gnu.org>
4910 * doc-view.el (doc-view-open-text): View the document's plain text
4911 in the current buffer instead of a new one.
4912 (doc-view-toggle-display): Handle the case where the current
4913 buffer contains the plain text contents of the document.
4914 (doc-view-initiate-display): Don't switch to fallback mode if the
4915 user wants to view the doc's plain text.
4916 (doc-view-set-doc-type): Use assoc-string instead of
4919 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
4921 * subr.el (read-key): Fix clicks on the mode-line.
4922 (set-transient-map): Return exit function.
4924 * mouse.el (mouse-drag-line): Use set-transient-map (bug#18015).
4925 (mouse--down-1-maybe-follows-link): Remove unused var `this-event'.
4926 (mouse-yank-secondary): Use gui-get-selection.
4927 (mouse--down-1-maybe-follows-link): Use read-key.
4929 * xt-mouse.el: Add `event-kind' property on the fly from
4930 xterm-mouse-translate-1 rather than statically at the outset.
4932 2014-10-21 Daniel Colascione <dancol@dancol.org>
4934 * vc/vc-dispatcher.el (vc-resynch-window): Tell view-mode not to
4935 change window configuration when we turn it off.
4937 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
4939 Get rid of backend-dependent selection-handling functions for kill/yank
4940 and make it generic instead by relying on the lower-level selection
4941 management functions.
4943 * select.el (select-enable-clipboard): Rename from
4944 gui-select-enable-clipboard.
4945 (select-enable-primary): Move from x-win.el and rename from
4946 x-select-enable-primary.
4947 (gui-last-selected-text): Remove.
4948 (gui--last-selected-text-clipboard, gui--last-selected-text-primary):
4950 (gui-select-text): Rewrite, based on x-win.el's old x-select-text.
4951 (gui-select-text-alist, gui-selection-value-alist): Remove.
4952 (x-select-request-type): Move from x-win.el.
4953 (gui--selection-value-internal): New function, taken from x-win's
4954 x-selection-value-internal.
4955 (gui-selection-value): Rewrite, based on x-win.el's old x-selection-value.
4956 (gui-set-selection-alist): Rename from gui-own-selection-alist and
4957 extend it to handle a nil value as a "disown" request.
4958 (gui-disown-selection-alist): Remove.
4959 (xselect-convert-to-delete): Adjust accordingly.
4960 (gui-set-selection): Simplify accordingly as well. Use dotimes.
4962 * term/x-win.el (x-last-selected-text-primary)
4963 (x-select-enable-primary): Remove (moved to select.el).
4964 (x-select-request-type): Move to select.el.
4965 (x-selection-value-internal, x--selection-value): Remove functions.
4966 (gui-selection-value, gui-select-text): Remove moethods.
4967 (gui-set-selection): Merge own and disown methods.
4969 * term/w32-win.el (w32--select-text, w32--get-selection-value):
4970 Delete function (move functionality into w32--set-selection and
4971 w32--get-selection).
4972 (gui-select-text, gui-selection-value): Don't define methods.
4973 (w32--set-selection, w32--get-selection, w32--selection-owner-p):
4975 (gui-get-selection, gui-selection-owner-p, gui-selection-exists-p):
4977 (gui-selection-exists-p): Adjust to new name of C primitive.
4979 * term/pc-win.el (w16-get-selection-value): Add dummy argument and drop
4980 test of gui-select-enable-clipboard, to make it usable as
4981 a gui-get-selection method.
4982 (gui-selection-exists-p): Adjust to new name of C primitive.
4983 (gui-set-selection): Merge own and disown methods.
4984 (gui-select-text, gui-selection-value): Delete methods.
4985 (w16--select-text): Delete function.
4987 * term/ns-win.el (ns-get-pasteboard, ns-set-pasteboard)
4988 (ns-selection-value): Remove functions.
4989 (gui-select-text, gui-selection-value): Don't define method any more.
4990 (gui-set-selection): Merge the old own and disown methods.
4991 (gui-selection-exists-p, gui-get-selection): Adjust to new name of
4992 underlying C primitive.
4994 * startup.el (command-line): Adjust now that `gui-method' expects nil
4997 * frame.el (gui-method): Use window-system rather than framep.
4998 (gui-method-declare): The tty case is now nil rather than t.
4999 (make-frame): Adjust accordingly.
5001 2014-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
5003 * net/newst-reader.el (newsticker--image-read): Simplify.
5004 (newsticker--icon-read): Use dolist and fix free var error.
5006 * imenu.el (imenu--menubar-keymap): New var.
5007 (imenu-add-to-menubar): Set it to remember the keymap we used.
5008 (imenu-update-menubar): Use it instead of asking lookup-key.
5010 * obsolete/cc-compat.el: Make obsolete (bug#18561).
5012 * epg-config.el (epg-gpg-program): Don't use absolute names by default.
5014 * emacs-lisp/bytecomp.el (=, <, >, <=, >=): Don't optimize multi-arg
5017 2014-10-21 Glenn Morris <rgm@gnu.org>
5019 * Merge in all changes up to version 24.4 release.
5021 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
5023 * emacs-lisp/bytecomp.el (=, <, >, <=, >=): Don't optimize multi-arg
5026 2014-10-20 Glenn Morris <rgm@gnu.org>
5028 * Merge in all changes up to 24.4 release.
5030 2014-10-20 Ulf Jasper <ulf.jasper@web.de>
5032 * net/newst-backend.el
5033 (newsticker--image-download-by-url-callback): Make this function
5034 actually work: Check status properly, then save image.
5036 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
5038 * mouse.el (mouse--down-1-maybe-follows-link): Remove unused var
5040 (mouse-drag-line): Unless there's no actual mouse, use the event's
5043 2014-10-20 Stefan Monnier <monnier@iro.umontreal.ca>
5045 * textmodes/css-mode.el (scss-mode): New major-mode.
5046 (css-mode-syntax-table): Use d style comment, to ease the scss case.
5047 (css-ident-re): Allow things like @-moz-keyframes.
5048 (scss--hash-re): New const.
5049 (css--font-lock-keywords): New function, extracted from
5050 css-font-lock-keywords.
5052 2014-10-19 Ulf Jasper <ulf.jasper@web.de>
5054 * net/newst-backend.el: Require url-parse.
5055 (newsticker--get-news-by-wget): Store feed name as process property.
5056 (newsticker--sentinel): Read feed name from process property.
5057 (newsticker--sentinel-work): Rename argument name to feed-name.
5058 Rename variable imageurl to image-url. Pick icon url from Atom
5059 1.0 data. Launch download of feed icon.
5060 (newsticker--get-icon-url-atom-1.0): New.
5062 (newsticker--unxml-node)
5063 (newsticker--unxml-attribute): Documentation.
5064 (newsticker--icons-dir): New.
5065 (newsticker--image-get): New arguments FILENAME and DIRECTORY.
5066 Use `url-retrieve' if `newsticker-retrieval-method' is 'intern.
5067 (newsticker--image-download-by-wget): New. Use process properties
5068 for storing informations.
5069 (newsticker--image-sentinel): Read informations from process properties.
5070 (newsticker--image-save)
5071 (newsticker--image-remove)
5072 (newsticker--image-download-by-url)
5073 (newsticker--image-download-by-url-callback): New.
5074 (newsticker-opml-export): Handle url list entries containing a
5075 function instead of an url string.
5077 * net/newst-reader.el (newsticker-html-renderer): Whitespace.
5078 (newsticker--print-extra-elements)
5079 (newsticker--do-print-extra-element):
5080 Documentation (newsticker--image-read): Optionally limit image height.
5081 Use imagemagick if possible.
5082 (newsticker--icon-read): New.
5084 * net/newst-treeview.el (newsticker--treeview-item-show): Limit height of feed logo.
5085 (newsticker--treeview-tree-expand): Use feed icons in treeview.
5086 (newsticker--tree-widget-icon-create): New. Set the tree widget icon.
5087 (newsticker--tree-widget-leaf-icon): Use feed icon.
5089 2014-10-19 Stefan Monnier <monnier@iro.umontreal.ca>
5091 * emacs-lisp/eieio-opt.el (eieio-lambda-arglist): Remove.
5092 Use help-function-arglist instead.
5094 * emacs-lisp/eieio-core.el (eieio-compiled-function-arglist): Remove.
5095 (eieio--with-scoped-class): Use `declare'.
5096 (eieio-defclass): Remove compatibility code.
5097 (no-method-definition, no-next-method, inconsistent-class-hierarchy)
5098 (invalid-slot-type, unbound-slot, invalid-slot-name): Use define-error.
5100 2014-10-18 Jan Djärv <jan.h.d@swipnet.se>
5102 * cus-start.el (x-gtk-whole-detached-tool-bar): Remove.
5104 * term/x-win.el (x-gtk-stock-map): Add icon names suggested as
5105 replacements to stock names before stock names in a list.
5106 Cdr may be a list, each name is tried in turn until one is found.
5108 2014-10-18 Alan Mackenzie <acm@muc.de>
5110 Check that a "macro" found near point-min isn't a ## operator.
5112 * progmodes/cc-engine.el (c-macro-is-genuine-p): New function.
5113 (c-beginning-of-macro): Use the above new function.
5115 2014-10-18 Teodor Zlatanov <tzz@lifelogs.com>
5117 * net/gnutls.el (gnutls-negotiate): Don't use cl-mapcan; pass
5118 correct data to `gnutls-boot' (Bug#18664).
5119 Reported by Toke Høiland-Jørgensen <toke@toke.dk>.
5121 2014-10-18 Michal Nazarewicz <mina86@mina86.com>
5123 * whitespace.el (whitespace-style, whitespace-big-indent)
5124 (whitespace-big-indent-regexp, whitespace-style-value-list)
5125 (whitespace-toggle-option-alist, whitespace-interactive-char)
5126 (whitespace-toggle-options)
5127 (global-whitespace-toggle-options, whitespace-help-text)
5128 (whitespace-style-face-p, whitespace-color-on): Add a 'big-indent
5129 style to `whitespace-mode' to indicate that the line indentation
5130 is too deep. By default, 32 SPACEs or four TABs are considered
5131 too many but `whitespace-big-indent-regexp' can be configured.
5133 2014-10-17 Michal Nazarewicz <mina86@mina86.com>
5135 * textmodes/tildify.el (tildify--pick-alist-entry): Rename from
5138 2014-10-17 Stefan Monnier <monnier@iro.umontreal.ca>
5140 * emacs-lisp/eieio.el: Use lexical-binding drop non-GV fallback.
5141 (defclass, defgeneric, defmethod): Add doc-string position.
5142 (with-slots): Require cl-lib.
5144 * emacs-lisp/eieio-core.el: Use lexical-binding and cl-lib.
5145 (list-of): New type.
5146 (eieio--typep): Remove.
5147 (eieio-perform-slot-validation): Use cl-typep instead.
5149 * emacs-lisp/eieio-base.el: Use lexical-binding and cl-lib.
5151 * emacs-lisp/cl-macs.el (cl--make-type-test): Avoid ((lambda ..) ..).
5153 2014-10-16 Alan Mackenzie <acm@muc.de>
5155 Trigger showing when point is in the "periphery" of a line or just
5157 * paren.el (show-paren-style, show-paren-delay)
5158 (show-paren-priority, show-paren-ring-bell-on-mismatch):
5159 Remove superfluous :group specifications.
5160 (show-paren-when-point-inside-paren)
5161 (show-paren-when-point-in-periphery): New customizable variables.
5162 (show-paren-highlight-openparen): Make into a defcustom.
5163 (show-paren--unescaped-p, show-paren--categorize-paren)
5164 (show-paren--locate-near-paren): New defuns.
5165 (show-paren--default): Refaactor and trigger on more paren
5167 (show-paren-function): Small consequential changes.
5169 2014-10-16 Tom Tromey <tom@tromey.com>
5171 * files.el (auto-mode-alist): Use javascript-mode for .jsm
5174 2014-10-16 Eli Zaretskii <eliz@gnu.org>
5176 * international/characters.el (bracket-type): Force pre-loading of
5179 2014-10-16 Alan Mackenzie <acm@muc.de>
5181 * cus-edit.el (custom-command-apply): Specify the return value in
5183 (Custom-reset-standard): Save custom-file (e.g. .emacs) only when
5184 custom-command-apply has returned non-nil.
5186 2014-10-15 Stefan Monnier <monnier@iro.umontreal.ca>
5188 * emacs-lisp/eldoc.el (global-eldoc-mode): Enable by default.
5189 Remove incorrect handling of eldoc-print-after-edit.
5190 (eldoc-message-commands, eldoc-last-data): Use defvar.
5191 * loadup.el (emacs-lisp/eldoc): Load it.
5193 * progmodes/m4-mode.el (m4-syntax-propertize): New var.
5195 (m4--quoted-p): New function.
5196 (m4-font-lock-keywords): Don't handle #..\n comments any more.
5197 (m4-mode-syntax-table): Use punctuation syntax (according to m4 manual)
5198 for most special characters.
5200 * progmodes/compile.el (compilation--previous-directory): Simplify.
5201 (compilation-next-error): Ensure the parse before we look at
5202 compilation-message property.
5204 2014-10-15 Eli Zaretskii <eliz@gnu.org>
5206 * simple.el (what-cursor-position):
5207 * descr-text.el (describe-char): Update to support the new bidi
5210 * emacs-lisp/tabulated-list.el (tabulated-list-mode):
5211 Force bidi-paragraph-direction to 'left-to-right'. This fixes
5212 buffer-menu display when the first buffer happens to start with
5215 2014-10-15 Stefan Monnier <monnier@iro.umontreal.ca>
5217 * progmodes/elisp-mode.el (elisp--local-variables-1):
5218 Handle quoted expressions (bug#18688).
5220 2014-10-14 Jérémy Compostella <jeremy.compostella@intel.com>
5221 Michael Albinus <michael.albinus@gmx.de>
5223 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
5224 Reduce the amount of set environment variable commands.
5226 2014-10-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
5228 Fix import completion. (Bug#18582)
5229 * progmodes/python.el (python-shell-completion-get-completions):
5230 Fix import case regexp.
5232 2014-10-12 Stefan Monnier <monnier@iro.umontreal.ca>
5234 * progmodes/bat-mode.el (bat-font-lock-keywords): Fix \\<_ typo
5235 (bug#18622). Reported by Arni Magnusson <arnima@hafro.is>.
5236 * progmodes/prolog.el (prolog-electric--underscore): Same.
5238 2014-10-12 Michael Albinus <michael.albinus@gmx.de>
5240 * net/tramp-sh.el (tramp-get-remote-id): Check also for "gid".
5242 2014-10-11 Jan Djärv <jan.h.d@swipnet.se>
5244 * cus-start.el (all): Add missing ns and boolean to
5245 ns-use-fullscreen-animation.
5247 2014-10-11 Leo Liu <sdl.web@gmail.com>
5249 * progmodes/cfengine.el (cfengine3-defuns, cfengine3-vartypes):
5251 (cfengine3-create-imenu-index): New function.
5252 (cfengine3-mode): Use it for `imenu-create-index-function'.
5253 (cfengine-auto-mode): Improve and prefer cfengine3-mode when
5256 2014-10-11 Jan Djärv <jan.h.d@swipnet.se>
5258 * cus-start.el (all): Add ns-use-fullscreen-animation.
5260 2014-10-11 Glenn Morris <rgm@gnu.org>
5262 * calendar/diary-lib.el (diary-display-function):
5263 Drop support for deprecated nil and list forms.
5264 (diary-list-entries): Update for the above.
5265 * calendar/cal-x.el (calendar-dedicate-diary): Simplify accordingly.
5267 2014-10-10 Leo Liu <sdl.web@gmail.com>
5269 * window.el (temp-buffer-window-show): Make BUFFER a required arg.
5272 2014-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
5274 * select.el (gui-selection-exists-p-alist): New method.
5275 * menu-bar.el (menu-bar-edit-menu, clipboard-yank):
5276 * simple.el (deactivate-mark): Use it.
5277 * term/x-win.el (gui-selection-exists-p):
5278 * term/w32-win.el (gui-selection-exists-p):
5279 * term/pc-win.el (gui-selection-exists-p):
5280 * term/ns-win.el (gui-selection-exists-p): Provide a backend instance.
5282 2014-10-10 Glenn Morris <rgm@gnu.org>
5284 * info.el (Info-fontify-maximum-menu-size): Bump to 400k. (Bug#16227)
5285 Fix :type. Allow t to mean no limit.
5286 (Info-fontify-node): Handle Info-fontify-maximum-menu-size = t.
5288 2014-10-09 Glenn Morris <rgm@gnu.org>
5290 * frame.el (display-monitor-attributes-list): Doc tweaks.
5292 2014-10-09 Eli Zaretskii <eliz@gnu.org>
5294 * faces.el (display-grayscale-p): Mention in the doc string that
5295 the argument can be either a display name or a frame.
5297 * frame.el (display-pixel-height, display-pixel-width)
5298 (display-mm-height, display-mm-width, display-backing-store)
5299 (display-save-under, display-planes, display-color-cells)
5300 (display-visual-class, display-monitor-attributes-list)
5301 (display-screens): Mention in the doc string that the argument can
5302 be either a display name or a frame. Improve the docs of the
5303 monitor attributes. (Bug#18636)
5305 2014-10-09 Martin Rudalics <rudalics@gmx.at>
5307 * term.el (term-window-width): Subtract 1 from the width when
5308 any fringe has zero width, not just the right fringe. (Bug#18601)
5310 2014-10-09 Stefan Monnier <monnier@iro.umontreal.ca>
5312 * frame.el (make-frame): Use t rather than nil for `w' (bug#18653).
5314 2014-10-08 Leo Liu <sdl.web@gmail.com>
5316 * emacs-lisp/cl-extra.el (cl-fresh-line): New function.
5318 2014-10-08 Glenn Morris <rgm@gnu.org>
5320 * calendar/cal-x.el (calendar-dedicate-diary):
5321 Drop support for recently deleted aliases.
5323 2014-10-08 Leo Liu <sdl.web@gmail.com>
5325 * progmodes/cfengine.el (cfengine3-make-syntax-cache):
5326 Always return a syntax. Replace call-process-shell-command with
5327 process-file. Ensure cfengine-mode-syntax-functions-regex is
5328 always set. Ensure cache when cfengine-cf-promises fails.
5331 2014-10-07 Glenn Morris <rgm@gnu.org>
5333 * font-lock.el (font-lock-fontify-buffer): Fix interactive-only markup.
5335 2014-10-07 Wilson Snyder <wsnyder@wsnyder.org>
5337 Sync with upstream verilog-mode revision c075a492.
5338 * progmodes/verilog-mode.el (verilog-mode-version): Bump.
5339 (verilog-menu): Add AUTOINSERTLAST.
5340 (verilog-no-indent-begin-re): When `verilog-indent-begin-after-if'
5341 is nil, fix indenting initial/final to match always statements, bug825.
5342 Reported by Tim Clapp.
5343 (verilog-extended-complete-re): Fix indentation of DPI-C imports,
5344 bug557. Reported by ZeDong Mao and Jason Forkey.
5345 (verilog-read-decls): Fix parsing typed interfaces.
5346 Fix AUTOINOUTMODPORT missing types. Reported by Stephan Bourduas.
5347 (verilog-auto-arg-ports): Fix verilog-auto-arg-format single.
5348 (verilog-auto-output-every): Add regexp to AUTOOUTPUTEVERY, bug793.
5349 Reported by Pierre-David Pfister.
5350 (verilog-auto-insert-lisp): Doc fix.
5351 (verilog-auto-insert-last, verilog-auto): Add AUTOINSERTLAST to
5352 allow post-AUTO user fixups, bug826. Reported by Dennis Muhlestein.
5353 (verilog-sk-ovm-class, verilog-sk-uvm-object)
5354 (verilog-sk-uvm-component): Fix missing string keyword in class
5355 skeletons, bug824. Reported by eldad faruhi.
5357 2014-10-06 Stefan Monnier <monnier@iro.umontreal.ca>
5359 * term/w32-win.el: Move all code from 32-common-fns.el here.
5360 (gui-select-text, gui-selection-value): Use w32 handlers in the w32
5361 console as well (bug#18629).
5362 * w32-common-fns.el: Remove.
5363 * loadup.el: Don't load w32-common-fns.el.
5364 * w32-fns.elc: Don't require w32-common-fns.
5366 * icomplete.el: Move Iswitchb autoload here. Much simpler.
5367 * obsolete/iswitchb.el (iswitchb-mode): Use normal autoload cookie.
5368 Remove redundant obsolescence thingy.
5369 * loadup.el: Don't load obsolete/loaddefs.el.
5370 * Makefile.in (obsolete-autoloads): Remove.
5371 (AUTOGENEL): Remove obsolete/loaddefs.el.
5373 2014-10-06 Glenn Morris <rgm@gnu.org>
5375 * Makefile.in (obsolete-autoloads): Write to a separate file,
5376 to workaround autoloads bug. (Bug#17407)
5377 (AUTOGENEL): Add obsolete/loaddefs.el.
5378 * loadup.el: Load obsolete/loaddefs.el if present.
5379 * subr.el (do-after-load-evaluation):
5380 Don't warn about obsolete/loaddefs.el.
5382 * menu-bar.el (menu-bar-games-menu): Remove landmark.
5383 It has zero relationship to a game.
5385 2014-10-06 Leo Liu <sdl.web@gmail.com>
5387 * imenu.el (imenu): Re-write for clarity.
5389 2014-10-06 Glenn Morris <rgm@gnu.org>
5391 Remove calendar code obsolete since at least version 23.1.
5392 * calendar/cal-bahai.el (calendar-absolute-from-bahai)
5393 (calendar-print-bahai-date, calendar-bahai-prompt-for-date)
5394 (calendar-goto-bahai-date, list-bahai-diary-entries)
5395 (mark-bahai-calendar-date-pattern, mark-bahai-diary-entries)
5396 (insert-bahai-diary-entry, insert-monthly-bahai-diary-entry)
5397 (insert-yearly-bahai-diary-entry):
5398 * calendar/cal-china.el (chinese-calendar-time-zone)
5399 (chinese-calendar-location-name)
5400 (chinese-calendar-daylight-time-offset)
5401 (chinese-calendar-standard-time-zone-name)
5402 (chinese-calendar-daylight-time-zone-name)
5403 (chinese-calendar-daylight-savings-starts)
5404 (chinese-calendar-daylight-savings-ends)
5405 (chinese-calendar-daylight-savings-starts-time)
5406 (chinese-calendar-daylight-savings-ends-time)
5407 (chinese-calendar-celestial-stem)
5408 (chinese-calendar-terrestrial-branch)
5409 (calendar-absolute-from-chinese, calendar-print-chinese-date)
5410 (calendar-goto-chinese-date):
5411 * calendar/cal-coptic.el (calendar-absolute-from-coptic)
5412 (calendar-print-coptic-date, coptic-prompt-for-date)
5413 (calendar-goto-coptic-date, calendar-absolute-from-ethiopic)
5414 (calendar-print-ethiopic-date, calendar-goto-ethiopic-date):
5415 * calendar/cal-french.el (calendar-absolute-from-french)
5416 (calendar-print-french-date, calendar-goto-french-date):
5417 * calendar/cal-hebrew.el (diary-sabbath-candles-minutes)
5418 (calendar-absolute-from-hebrew, calendar-print-hebrew-date)
5419 (hebrew-calendar-yahrzeit, calendar-goto-hebrew-date)
5420 (holiday-rosh-hashanah-etc, holiday-hanukkah)
5421 (holiday-passover-etc, holiday-tisha-b-av-etc)
5422 (list-hebrew-diary-entries, mark-hebrew-calendar-date-pattern)
5423 (mark-hebrew-diary-entries, insert-hebrew-diary-entry)
5424 (insert-monthly-hebrew-diary-entry)
5425 (insert-yearly-hebrew-diary-entry, list-yahrzeit-dates)
5426 (diary-omer, diary-yahrzeit, diary-rosh-hodesh, diary-parasha)
5427 (diary-sabbath-candles):
5428 * calendar/cal-islam.el (calendar-absolute-from-islamic)
5429 (calendar-print-islamic-date, calendar-goto-islamic-date)
5430 (list-islamic-diary-entries, mark-islamic-calendar-date-pattern)
5431 (mark-islamic-diary-entries, insert-islamic-diary-entry)
5432 (insert-monthly-islamic-diary-entry)
5433 (insert-yearly-islamic-diary-entry):
5434 * calendar/cal-iso.el (calendar-absolute-from-iso)
5435 (calendar-print-iso-date, calendar-iso-read-args)
5436 (calendar-goto-iso-date, calendar-goto-iso-week):
5437 * calendar/cal-julian.el (calendar-absolute-from-julian)
5438 (calendar-print-julian-date, calendar-goto-julian-date)
5439 (calendar-absolute-from-astro, calendar-print-astro-day-number)
5440 (calendar-goto-astro-day-number):
5441 * calendar/cal-mayan.el (calendar-print-mayan-date)
5442 (calendar-next-haab-date, calendar-previous-haab-date)
5443 (calendar-next-tzolkin-date, calendar-previous-tzolkin-date)
5444 (calendar-next-calendar-round-date)
5445 (calendar-previous-calendar-round-date)
5446 (calendar-absolute-from-mayan-long-count)
5447 (calendar-goto-mayan-long-count-date):
5448 * calendar/cal-move.el (scroll-calendar-left)
5449 (scroll-calendar-right, scroll-calendar-left-three-months)
5450 (scroll-calendar-right-three-months):
5451 * calendar/cal-persia.el (calendar-absolute-from-persian)
5452 (calendar-print-persian-date, persian-prompt-for-date)
5453 (calendar-goto-persian-date):
5454 * calendar/cal-x.el (calendar-after-frame-setup-hooks):
5455 * calendar/calendar.el (view-diary-entries-initially)
5456 (mark-diary-entries-in-calendar, calendar-today-face)
5457 (diary-face, holiday-face, view-calendar-holidays-initially)
5458 (mark-holidays-in-calendar, initial-calendar-window-hook)
5459 (today-visible-calendar-hook, today-invisible-calendar-hook)
5460 (hebrew-diary-entry-symbol, islamic-diary-entry-symbol)
5461 (bahai-diary-entry-symbol, american-date-diary-pattern)
5462 (european-date-diary-pattern, european-calendar-display-form)
5463 (american-calendar-display-form, holidays-in-diary-buffer)
5464 (all-hebrew-calendar-holidays, all-christian-calendar-holidays)
5465 (all-islamic-calendar-holidays, all-bahai-calendar-holidays)
5466 (fancy-diary-buffer, increment-calendar-month)
5467 (extract-calendar-month, extract-calendar-day)
5468 (extract-calendar-year, exit-calendar, calendar-date-is-legal-p)
5469 (mark-visible-calendar-date, calendar-version):
5470 * calendar/diary-lib.el (diary-button-face, sexp-diary-entry-symbol)
5471 (diary-display-hook, list-diary-entries-hook)
5472 (mark-diary-entries-hook, nongregorian-diary-listing-hook)
5473 (nongregorian-diary-marking-hook, print-diary-entries-hook)
5474 (abbreviated-calendar-year, number-of-diary-entries)
5475 (view-other-diary-entries, add-to-diary-list)
5476 (include-other-diary-files, simple-diary-display)
5477 (fancy-diary-display, print-diary-entries, mark-diary-entries)
5478 (mark-sexp-diary-entries, mark-included-diary-files)
5479 (mark-calendar-days-named, mark-calendar-month)
5480 (mark-calendar-date-pattern, sort-diary-entries)
5481 (list-sexp-diary-entries, make-diary-entry, insert-diary-entry)
5482 (insert-weekly-diary-entry, insert-monthly-diary-entry)
5483 (insert-yearly-diary-entry, insert-anniversary-diary-entry)
5484 (insert-block-diary-entry, insert-cyclic-diary-entry)
5485 (fancy-diary-font-lock-keywords, fancy-diary-display-mode):
5486 * calendar/holidays.el (general-holidays, oriental-holidays)
5487 (local-holidays, other-holidays, hebrew-holidays)
5488 (christian-holidays, islamic-holidays, bahai-holidays)
5489 (solar-holidays, list-calendar-holidays)
5490 (check-calendar-holidays, mark-calendar-holidays)
5491 (filter-visible-calendar-holidays):
5492 * calendar/lunar.el (calendar-phases-of-moon, phases-of-moon)
5493 (diary-phases-of-moon): Remove obsolete aliases.
5494 * calendar/cal-menu.el (cal-menu-load-hook): Remove obsolete hook.
5495 * calendar/cal-x.el (calendar-one-frame-setup)
5496 (calendar-only-one-frame-setup, calendar-two-frame-setup):
5497 Remove obsolete functions.
5498 (cal-x-load-hook): Remove obsolete hook.
5499 * calendar/calendar.el (european-calendar-style):
5500 Remove obsolete variable.
5501 (calendar-date-style): No longer consult european-calendar-style.
5502 * calendar/calendar.el (european-calendar, american-calendar):
5503 Remove obsolete commands.
5504 * calendar/calendar.el (calendar-for-loop): Remove obsolete macro.
5505 * calendar/diary-lib.el (diary-face): Remove obsolete variable.
5506 (diary-font-lock-date-forms, diary-fancy-font-lock-keywords):
5507 Use the face `diary' instead of the variable `diary-face'.
5508 * calendar/holidays.el (hebrew-holidays-1, hebrew-holidays-2)
5509 (hebrew-holidays-3, hebrew-holidays-4): Remove obsolete variables.
5510 * calendar/icalendar.el (icalendar--date-style): Remove function.
5511 Replace all uses with calendar-date-style.
5512 * textmodes/remember.el (calendar-date-style): Declare.
5513 (remember-diary-convert-entry):
5514 No longer consult european-calendar-style.
5516 2014-10-05 Leo Liu <sdl.web@gmail.com>
5518 * imenu.el (imenu-default-goto-function): Fix typo.
5520 2014-10-04 Thomas Fitzsimmons <fitzsim@fitzsim.org>
5522 * net/ntlm.el (ntlm-build-auth-request):
5523 Add NTLM2 Session support. (Bug#15603)
5525 2014-10-04 Glenn Morris <rgm@gnu.org>
5527 * apropos.el (apropos-symbols-internal):
5528 Avoid error with non-symbol properties. (Bug#18337#16)
5530 * startup.el (command-line):
5531 Handle altered user-emacs-directory in load-path warning. (Bug#18512)
5533 2014-10-04 Martin Rudalics <rudalics@gmx.at>
5535 * window.el (window-full-height-p): Make it behave correctly for
5537 (window-current-scroll-bars): Fix code.
5538 (fit-frame-to-buffer): Use window-scroll-bar-height instead of
5540 * frame.el (frame-current-scroll-bars): Fix doc-string.
5541 * scroll-bar.el (toggle-horizontal-scroll-bar): New command.
5543 2014-10-04 Mark Oteiza <mvoteiza@udel.edu> (tiny change)
5545 * files.el (auto-mode-alist): Use sh-mode for .zsh files. (Bug#18488)
5547 2014-10-04 Glenn Morris <rgm@gnu.org>
5549 * frame.el (frame-monitor-attributes)
5550 (display-monitor-attributes-list): Doc fixes.
5552 2014-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
5554 Merge trivially safe differences from standalone CC-mode.
5555 * progmodes/cc-mode.el (c-initialize-cc-mode): Don't quote a symbol
5556 just to then pass it to `symbol-value'.
5557 (prog-mode): Provide fallback definition, if needed.
5558 * progmodes/cc-langs.el: Always load `cl'. Don't load `cl-lib'.
5559 Remove "cl-" prefix accordingly.
5560 * progmodes/cc-fonts.el (c-font-lock-invalid-string): Use integerp or
5561 characterp depending on the type of characters.
5562 (c-font-lock-enum-tail): Remove unused var `start'.
5563 * progmodes/cc-engine.el: Load CL at compile-time.
5564 (c-declare-lang-variables): Use mapcan.
5565 (c-append-to-state-cache): Remove unused var `ce+1'.
5566 (c-parse-state-state): Make buffer-local.
5567 (c-ssb-lit-begin): Remove unused var `pps-end-pos'.
5568 (c-just-after-func-arglist-p): Remove unused var `end'.
5569 * progmodes/cc-defs.el: Load cc-fix if delete-dups is undefined.
5570 (c-<-as-paren-syntax, c->-as-paren-syntax): Move definition earlier.
5571 (c-make-keywords-re): Use delete-dups.
5572 (c-get-current-file): Avoid file-name-base.
5573 * progmodes/cc-cmds.el (c-electric-lt-gt): Remove unused var
5574 `close-paren-inserted'.
5575 * progmodes/cc-awk.el (c-forward-sws): Remove unused declaration.
5577 * progmodes/python.el: Avoid building unneeded markers.
5578 (python-font-lock-keywords, python-indent-dedent-line)
5579 (python-fill-paren, python-shell-completion-complete-or-indent):
5580 Prefer point over point-marker.
5581 (inferior-python-mode): Remove redundant completion settings.
5583 2014-10-03 Dmitry Gutov <dgutov@yandex.ru>
5585 * vc/vc-svn.el (vc-svn-ignore-completion-table): Implement.
5586 (vc-svn-ignore): Use it. (Bug#18619)
5588 2014-10-03 Martin Rudalics <rudalics@gmx.at>
5590 * frame.el (toggle-frame-maximized, toggle-frame-fullscreen):
5591 In doc-string mention need to set `frame-resize-pixelwise'.
5593 2014-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
5595 * vc/vc-svn.el (vc-svn-after-dir-status): Fix the non-remote regexp,
5596 similarly to Rogers's 2010-06-16 change for the remote case
5599 2014-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
5601 New gui-selection-value consolidating x-selection-value.
5602 * select.el (gui-selection-value-alist): New method.
5603 (gui-selection-value): New function.
5604 (x-selection-value): Make it an obsolete alias.
5605 * simple.el (interprogram-paste-function): Default to
5606 gui-selection-value.
5607 * w32-common-fns.el (w32-get-selection-value): Simplify.
5608 (x-selection-value): Remove alias.
5609 (interprogram-paste-function): Don't set.
5610 (gui-selection-value): Define for w32.
5611 * term/x-win.el (gui-selection-value): Define for x.
5612 (x--selection-value): Rename from x--selection-value.
5613 (interprogram-paste-function): Don't set.
5614 * term/pc-win.el (w16-get-selection-value): Simplify.
5615 (msdos-initialize-window-system): Don't set
5616 interprogram-paste-function.
5617 (gui-selection-value): Define for pc.
5618 * term/ns-win.el (x-selection-value): Remove.
5619 (gui-selection-value): Define for ns, instead.
5620 * term/common-win.el (x-setup-function-keys): Don't set
5621 interprogram-paste-function.
5622 * obsolete/mouse-sel.el (mouse-sel-get-selection-function):
5623 Use gui-selection-value.
5625 2014-10-02 David Raynes <rayners@gmail.com> (tiny change)
5627 * term/ns-win.el: Add functions to ns frame, not x frame (bug#18614).
5629 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
5631 * obsolete/lucid.el (read-number): Remove, redundant.
5632 * obsolete/cl-compat.el (cl-floor, cl-ceiling, cl-round, cl-truncate):
5635 2014-10-02 Glenn Morris <rgm@gnu.org>
5637 * emacs-lisp/package.el (package-import-keyring):
5638 Create gnupg directory private. (Bug#17625#155)
5640 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
5642 * progmodes/python.el (python-shell-completion-get-completions):
5643 Use python-shell--prompt-calculated-input-regexp from the
5644 process buffer (bug#18582).
5645 Don't assume that `line' comes from the process buffer.
5647 2014-10-02 Stefan Monnier <monnier@iro.umontreal.ca>
5649 * frame.el: Use lexical-binding (bug#18598).
5650 (make-frame): Use t rather than nil for tty's window-system.
5651 * startup.el (command-line): Use gui-method.
5653 Consolidate management/ownership of selections.
5654 * select.el (gui-get-selection-alist): New method.
5655 (gui-get-selection): Use it. Rename from x-get-selection.
5656 (x-get-selection): Define as obsolete alias.
5657 (x-get-clipboard): Mark obsolete.
5658 (gui-get-primary-selection): New function.
5659 (x-get-selection-value): Mark obsolete.
5660 (gui-own-selection-alist, gui-disown-selection-alist)
5661 (gui-selection-owner-p-alist): New methods.
5662 (gui-set-selection): Use them. Rename from x-set-selection.
5663 (x-set-selection): Define as obsolete alias.
5664 (gui--valid-simple-selection-p): Rename from
5665 x-valid-simple-selection-p.
5666 * w32-common-fns.el (gui-own-selection, gui-disown-selection)
5667 (gui-selection-owner-p, gui-get-selection): Define for w32.
5668 (w32-get-selection-value): Rename from x-get-selection-value.
5669 Use the new gui-last-selected-text.
5670 * term/x-win.el (x-get-selection-value): Remove.
5671 (x-clipboard-yank): Declare obsolete.
5672 (gui-own-selection, gui-disown-selection, gui-get-selection)
5673 (gui-selection-owner-p): Define for x.
5674 * term/w32-win.el (w32-win-suspend-error): Rename from
5675 x-win-suspend-error.
5676 * term/pc-win.el (w16-get-selection-value): Rename from
5677 x-get-selection-value.
5678 (w16-selection-owner-p): Rename from x-selection-owner-p.
5679 (gui-own-selection, gui-disown-selection, gui-get-selection)
5680 (gui-selection-owner-p): Define for pc.
5681 (w16--select-text): New function.
5682 * term/ns-win.el (gui-own-selection, gui-disown-selection)
5683 (gui-get-selection, gui-selection-owner-p): Define for ns.
5684 * term.el (term-mouse-paste):
5685 * mouse.el (mouse-yank-primary): Use gui-get-primary-selection.
5687 2014-10-02 H. Dieter Wilhelm <dieter@duenenhof-wilhelm.de>
5689 * calc/calc-help.el (calc-describe-thing): Quote strings
5690 which could look like regexps.
5692 2014-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
5694 Consolidate x-select-text.
5695 * frame.el (gui-method, gui-method-define, gui-method-declare)
5696 (gui-call): New macros.
5697 (gui-method--name): New function.
5698 (frame-creation-function-alist): Use gui-method-declare.
5699 (make-frame): Use gui-method.
5700 * select.el (gui-select-enable-clipboard): Rename from
5701 x-select-enable-clipboard and move here.
5702 (x-select-enable-clipboard): Define as obsolete alias.
5703 (gui-last-selected-text): New var, to replace x-last-selected-text.
5704 (gui-select-text): New GUI method.
5705 (gui-select-text): New function.
5706 (x-select-text): Define as obsolete alias.
5707 * term/common-win.el (x-select-enable-clipboard, x-select-text):
5709 * simple.el (interprogram-cut-function): Change default to
5711 (interprogram-paste-function): Change default to `ignore'.
5712 * w32-common-fns.el (interprogram-cut-function): Don't modify.
5713 * term/x-win.el (interprogram-cut-function): Don't modify.
5714 (gui-select-text): Add method for x.
5715 * term/w32-win.el (gui-select-text): Add method for w32.
5716 * term/pc-win.el (x-last-selected-text): Remove, use
5717 gui-last-selected-text instead.
5718 (msdos-initialize-window-system): Don't set interprogram-cut-function.
5719 (gui-select-text): Add method for pc.
5720 * term/ns-win.el (ns-last-selected-text): Remove, use
5721 gui-last-selected-text instead.
5722 (gui-select-text): Add method for ns.
5723 (x-setup-function-keys): Don't change interprogram-cut-function.
5724 * loadup.el ("startup"): Load after "frame".
5725 * subr.el (package--builtin-versions, package--description-file):
5726 Move from startup.el.
5727 * startup.el (package--builtin-versions, package--description-file):
5729 (handle-args-function-alist, window-system-initialization-alist):
5730 Use gui-method-declare.
5731 (command-line): Use gui-method.
5733 2014-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
5735 * subr.el (alist-get): New accessor.
5736 * emacs-lisp/gv.el (alist-get): Provide expander.
5737 * winner.el (winner-remember):
5738 * tempo.el (tempo-use-tag-list):
5739 * progmodes/gud.el (minor-mode-map-alist):
5740 * international/mule-cmds.el (define-char-code-property):
5741 * frameset.el (frameset-filter-params):
5742 * files.el (dir-locals-set-class-variables):
5743 * register.el (get-register, set-register):
5744 * calc/calc-yank.el (calc-set-register): Use it.
5745 * ps-print.el (ps-get, ps-put, ps-del): Mark as obsolete.
5746 * tooltip.el (tooltip-set-param): Mark as obsolete.
5747 (tooltip-show): Use alist-get instead.
5748 * ses.el (ses--alist-get): Remove. Use alist-get instead.
5750 2014-10-01 Ulf Jasper <ulf.jasper@web.de>
5752 * net/newst-backend.el: Remove Time-stamp. Rename variable
5753 `newsticker--download-logos' to `newsticker-download-logos' and
5754 make it customizable.
5755 (newsticker--sentinel-work): Move xml-workarounds to function
5756 `newsticker--do-xml-workarounds', call unless libxml-parser is
5757 used. Allow single quote in regexp for encoding.
5758 Use libxml-parser if available, else fall back to `xml-parse-region'.
5759 Take care of possibly missing namespace prefixes (like "RDF"
5760 instead of "rdf:RDF") when checking xml nodes and attributes (as
5761 libxml correctly removes the prefixes). Always use Atom 1.0 as
5762 fallback feed type. Rename `newsticker--download-logos' to
5763 `newsticker-download-logos'
5764 (newsticker--unxml, newsticker--unxml-node)
5765 (newsticker--unxml-attribute): New.
5766 (newsticker--parse-atom-1.0): Call `unxml' in case that embedded
5767 HTML code has become part of the xml parse tree.
5768 (newsticker--parse-rss-1.0, newsticker--parse-rss-2.0): Take care
5769 of possibly missing namespace prefixes.
5770 (newsticker--parse-generic-items): Code formatting. Typo.
5771 (newsticker--images-dir): Add trailing slash.
5772 (newsticker--image-get): Fix error message.
5774 * net/newst-plainview.el: Remove Time-stamp.
5776 * net/newst-reader.el: Remove Time-stamp.
5777 (newsticker-download-logos): Rename variable
5778 `newsticker--download-logos' to `newsticker-download-logos' and
5779 make it customizable.
5780 (newsticker--print-extra-elements): Add optional parameter
5781 'htmlish for using html markup. Amend list of ignored elements.
5782 (newsticker--do-print-extra-element): Add parameter 'htmlish for
5785 * net/newst-ticker.el: Remove Time-stamp.
5787 * net/newst-treeview.el (newsticker--treeview-item-show): Use html
5788 for formatting extra elements.
5790 * net/newsticker.el: Remove Time-stamp, Version.
5791 (newsticker-version): Make obsolete.
5793 2014-09-30 Leonardo Nobrega <leonobr@gmail.com> (tiny change)
5795 * progmodes/python.el (python-fill-paren): Don't inf-loop at EOB
5798 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
5800 * emacs-lisp/package.el (package-check-signature): Default to nil if
5801 GPG is not available.
5802 (package-refresh-contents): Don't mess with the keyring if we won't
5803 check the signatures anyway.
5805 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
5807 * ses.el (ses--row, ses--col): New dyn-scoped vars, to replace row&col.
5808 (ses-center, ses-center-span): Use them.
5809 (ses-print-cell): Bind them while calling the printer.
5810 (row, col, maxrow, maxcol): Don't declare as dynamically scoped.
5811 (ses-dorange): Revert last change.
5812 (ses-calculate-cell): Don't bind row&col dynamically while evaluating
5814 (ses-set-cell): Avoid `eval'.
5815 (ses--time-check): Rename it from ses-time-check and turn it into
5818 * ses.el (ses-setup): Don't assume modifying the iteration var of
5819 dotimes affects the iteration (bug#18191).
5821 2014-09-30 Vincent Belaïche <vincentb1@users.sourceforge.net>
5823 * ses.el (ses-calculate-cell): Bind row and col dynamically to
5824 their values with 'cl-progv'.
5825 (ses-dorange): Bind row, col, maxrow and maxcol dynamically to
5826 their values with 'cl-progv', also use non-interned symbols for
5827 row, minrow, maxrow, mincol and maxcol.
5828 (maxrow maxcol): New defvar, to make the compiler happy.
5830 2014-09-30 Stefan Monnier <monnier@iro.umontreal.ca>
5832 * minibuffer.el (completion-at-point): Emit warning for ill-behaved
5833 completion functions.
5835 2014-09-29 Stefan Monnier <monnier@iro.umontreal.ca>
5837 * ses.el (ses--letref): Quote value before it gets re-evaluated.
5839 2014-09-28 Thien-Thi Nguyen <ttn@gnu.org>
5841 Font-lock `cl-flet*', too.
5842 * emacs-lisp/lisp-mode.el (lisp-cl-font-lock-keywords-2):
5843 Add "flet*" to intermediate var `cl-lib-kw'.
5845 2014-09-27 Stefan Monnier <monnier@iro.umontreal.ca>
5847 * epg-config.el (epg-gpg-program): Use the plain program names rather
5848 than their absolute file name.
5850 * subr.el (track-mouse): New macro.
5851 * emacs-lisp/cconv.el (cconv-convert, cconv-analyse-form):
5852 Remove track-mouse case.
5853 * emacs-lisp/bytecomp.el (byte-compile-track-mouse): Remove.
5855 2014-09-27 Leo Liu <sdl.web@gmail.com>
5857 * progmodes/elisp-mode.el (elisp--eldoc-last-data): Use defvar.
5859 * emacs-lisp/eldoc.el (eldoc-mode): Fix thinko.
5861 2014-09-27 Stefan Monnier <monnier@iro.umontreal.ca>
5863 * emacs-lisp/pcase.el (pcase--split-match, pcase--app-subst-match):
5864 Handle the case where `match' is :pcase--succeed or :pcase--fail
5867 Introduce global-eldoc-mode. Move Elisp-specific code to elisp-mode.el.
5868 * emacs-lisp/eldoc.el (global-eldoc-mode): New minor mode.
5869 (eldoc-schedule-timer): Obey it.
5870 (eldoc-documentation-function): Default to nil.
5871 (eldoc-mode): Don't enable if eldoc-documentation-function is not set.
5872 (eldoc-documentation-function-default, eldoc-get-fnsym-args-string)
5873 (eldoc-highlight-function-argument, eldoc-get-var-docstring)
5874 (eldoc-last-data-store, eldoc-docstring-first-line)
5875 (eldoc-docstring-format-sym-doc, eldoc-fnsym-in-current-sexp)
5876 (eldoc-beginning-of-sexp, eldoc-current-symbol)
5877 (eldoc-function-argstring): Move to elisp-mode.el.
5878 (eldoc-symbol-function): Remove, unused.
5879 * progmodes/elisp-mode.el: New file. Rename all "eldoc-*" to "elisp--*".
5880 (elisp-completion-at-point): Rename from lisp-completion-at-point.
5881 (elisp--preceding-sexp): Rename from preceding-sexp.
5882 * loadup.el: Load new file progmodes/elisp-mode.
5883 * ielm.el (inferior-emacs-lisp-mode): Set eldoc-documentation-function.
5884 * emacs-lisp/lisp.el (lisp--local-variables-1, lisp--local-variables)
5885 (lisp--local-variables-completion-table, lisp--expect-function-p)
5886 (lisp--form-quoted-p, lisp--company-doc-buffer)
5887 (lisp--company-doc-string, lisp--company-location)
5888 (lisp-completion-at-point): Move to elisp-mode.el.
5889 * emacs-lisp/lisp-mode.el (lisp--mode-syntax-table): New syntax-table,
5890 extracted from emacs-lisp-mode-syntax-table.
5891 (emacs-lisp-mode-abbrev-table, emacs-lisp-mode-syntax-table): Move to
5893 (lisp-imenu-generic-expression): Add comments to document what comes
5894 from which Lisp dialect.
5895 (emacs-lisp-mode-map, emacs-lisp-byte-compile)
5896 (emacs-lisp-byte-compile-and-load, emacs-lisp-mode-hook)
5897 (emacs-lisp-mode, emacs-list-byte-code-comment-re)
5898 (emacs-lisp-byte-code-comment)
5899 (emacs-lisp-byte-code-syntax-propertize, emacs-lisp-byte-code-mode)
5900 (lisp-interaction-mode-map, lisp-interaction-mode)
5901 (eval-print-last-sexp, last-sexp-setup-props)
5902 (last-sexp-toggle-display, prin1-char, preceding-sexp)
5903 (eval-last-sexp-1, eval-last-sexp-print-value)
5904 (eval-last-sexp-fake-value, eval-sexp-add-defvars, eval-last-sexp)
5905 (eval-defun-1, eval-defun-2, eval-defun): Move to elisp-mode.el.
5907 2014-09-26 Paul Eggert <eggert@cs.ucla.edu>
5909 * progmodes/grep.el (grep-regexp-alist): Use more-accurate regexp.
5910 Do not match file names that end in '/', as they cannot be 'grep'
5911 hits nowadays. This prevents confusion when 'grep -r' reports a
5912 match in a file whose basename is ':12345:'. Conversely, do not
5913 require exactly the same sequence of spaces and tabs after both
5914 colons, and allow spaces or tabs before the second colon, as per
5915 the POSIX spec for 'grep' output.
5917 2014-09-26 Leo Liu <sdl.web@gmail.com>
5919 Add cl-parse-integer based on parse-integer (Bug#18557)
5920 * calendar/parse-time.el (parse-time-digits): Remove.
5921 (digit-char-p, parse-integer) Moved to cl-lib.el.
5922 (parse-time-tokenize, parse-time-rules, parse-time-string):
5923 Use cl-parse-integer.
5925 * emacs-lisp/cl-extra.el (cl-parse-integer): New function.
5927 * emacs-lisp/cl-lib.el (cl-digit-char-table): New var.
5928 (cl-digit-char-p): New function.
5930 2014-09-25 Juri Linkov <juri@jurta.org>
5932 * vc/add-log.el (change-log-next-buffer): Don't create an empty
5933 buffer "ChangeLog" when the current buffer doesn't match ChangeLog.[0-9].
5934 Return the current buffer if no files match the default pattern
5935 ChangeLog.[0-9]. Signal "end of multi" when file is nil. (Bug#18547)
5937 2014-09-25 Stefan Monnier <monnier@iro.umontreal.ca>
5939 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Don't modify
5940 the global vc-handled-backends (bug#18535).
5942 2014-09-24 Stefan Monnier <monnier@iro.umontreal.ca>
5944 * find-cmd.el (find-cmd): Use grep's `find-program' (bug#18518).
5945 Suggested by <lompik@voila.fr>.
5947 2014-09-24 Ulf Jasper <ulf.jasper@web.de>
5949 * net/newst-treeview.el (newsticker--treeview-do-get-node-by-id):
5950 Rename from `newsticker--treeview-do-get-node'.
5951 (newsticker--treeview-get-node-by-id):
5952 Rename from `newsticker--treeview-get-node'.
5953 (newsticker--treeview-buffer-init)
5954 (newsticker--treeview-buffer-init): Disable buffer undo.
5955 (newsticker--treeview-unfold-node): Adapt to modified
5956 `newsticker--group-find-parent-group'.
5957 (newsticker--group-do-find-group):
5958 Rename from `newsticker--group-do-find-group-for-feed'.
5959 Now works for both, groups and feeds.
5960 (newsticker--group-find-parent-group):
5961 Rename from `newsticker--group-find-group-for-feed'.
5962 Now works for both, groups and feeds.
5963 (newsticker--group-do-get-parent-group)
5964 (newsticker--group-get-parent-group): Remove.
5965 (newsticker-group-add-group): Change interactive prompts.
5966 (newsticker-group-add-group): Finally jump to added group.
5967 (newsticker-group-delete-group): Finally jump to current feed.
5968 (newsticker--group-do-rename-group, newsticker-group-rename-group)
5969 (newsticker--get-group-names, newsticker--group-names): New.
5970 (newsticker-group-move-feed): Finally jump to moved feed.
5971 (newsticker-group-shift-feed-down, newsticker-group-shift-feed-up)
5972 (newsticker-group-shift-group-down)
5973 (newsticker-group-shift-group-up, newsticker--group-shift): New.
5974 (newsticker-treeview-mode-map): New keybindings for new shift commands.
5976 * net/newst-backend.el (newsticker--item-list)
5977 (newsticker--item-position, newsticker--prev-message)
5978 (newsticker--scrollable-text): Move to newst-ticker.el.
5980 * net/newst-ticker.el (newsticker--item-list)
5981 (newsticker--item-position, newsticker--prev-message)
5982 (newsticker--scrollable-text): Move from newst-backend.el.
5984 2014-09-22 Kan-Ru Chen <kanru@kanru.info>
5986 * window.el (fit-window-to-buffer): When counting buffer width,
5987 count the whole visible buffer. Correctly convert the body-height
5988 to pixel size for window-text-pixel-size (Bug#18498).
5990 2014-09-22 Sam Steingold <sds@gnu.org>
5992 * progmodes/sql.el (sql-product-alist): Improve the Vertica entry.
5993 (sql-execute): Use `special-mode'.
5995 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
5997 Add pcase-defmacro, as well as `quote' and `app' patterns.
5998 * loadup.el: Increase max-lisp-eval-depth when macroexpanding macroexp.
5999 * emacs-lisp/pcase.el: Allow (F . ARGS) in `app' patterns.
6000 (pcase--funcall, pcase--eval): New functions.
6001 (pcase--u1): Use them for guard, pred, let, and app.
6002 (\`): Use the new feature to generate better code for vector patterns.
6003 * emacs-lisp/pcase.el: Use pcase-defmacro to handle backquote.
6004 (pcase--upat): Remove.
6005 (pcase--macroexpand): Don't hardcode handling of `.
6006 (pcase--split-consp, pcase--split-vector): Remove.
6007 (pcase--split-equal): Disregard ` since it's expanded away.
6008 (pcase--split-member): Optimize for quote rather than for `.
6009 (pcase--split-pred): Optimize for quote rather than for `.
6010 (pcase--u1): Remove handling of ` (and of `or' and `and').
6011 Quote non-selfquoting values when passing them to `eq'.
6012 Drop `app's let-binding if the variable is not used.
6013 (pcase--q1): Remove.
6014 (`): Define as a pattern macro.
6015 * emacs-lisp/pcase.el (pcase--match): New smart-constructor function.
6016 (pcase--expand pcase--q1, pcase--app-subst-match): Use it.
6017 (pcase--macroexpand): Handle self-quoting patterns here, expand them to
6019 (pcase--split-match): Don't hoist or/and here any more.
6020 (pcase--split-equal): Optimize quote patterns as well as ` patterns.
6021 (pcase--flip): New helper macro.
6022 (pcase--u1): Optimize the memq case directly.
6023 Don't handle neither self-quoting nor and/or patterns any more.
6024 * emacs-lisp/pcase.el (pcase-defmacro): New macro.
6025 (pcase--macroexpand): New function.
6026 (pcase--expand): Use it.
6027 * emacs-lisp/pcase.el (pcase--app-subst-match, pcase--app-subst-rest):
6028 New optimization functions.
6029 (pcase--u1): Add support for `quote' and `app'.
6030 (pcase): Document them in the docstring.
6032 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
6034 Use lexical-bindin in Ibuffer.
6035 * ibuffer.el (ibuffer-do-toggle-read-only): `arg' is unused.
6036 (ibuffer-compile-format): Simplify.
6037 (ibuffer-clear-summary-columns): Simplify.
6038 * ibuf-ext.el (ibuffer-generate-filter-groups): Don't use the third
6039 elem of dotimes when we don't refer to the iteration var from it.
6040 (ibuffer-toggle-sorting-mode): Avoid add-to-list.
6041 * ibuf-macs.el (define-ibuffer-column, define-ibuffer-op):
6042 Silence byte-compiler.
6044 2014-09-22 Stefan Monnier <monnier@iro.umontreal.ca>
6046 * font-lock.el (font-lock-compile-keyword): Don't confuse a lambda
6047 expression for a list.
6049 * emacs-lisp/bytecomp.el (byte-compile-lambda): Don't add fundoc usage
6050 for functions with no arguments.
6052 * mpc.el (mpc-data-directory): Use locate-user-emacs-file.
6053 (mpc-volume-refresh): Make sure the corresponding header-line is updated.
6055 2014-09-17 Tom Willemse <tom@ryuslash.org> (tiny change)
6057 * simple.el (clone-indirect-buffer): Mention the return value
6060 * progmodes/prog-mode.el (prog-mode-hook): Replace reference to
6061 Text mode in docstring (bug#18464).
6063 2014-09-21 Stefan Monnier <monnier@iro.umontreal.ca>
6065 * progmodes/perl-mode.el (perl-syntax-propertize-function):
6066 Accept underscores in identifiers after "sub" (bug#18502).
6068 2014-09-21 Tassilo Horn <tsdh@gnu.org>
6070 * textmodes/reftex-sel.el (reftex-select-label-mode)
6071 (reftex-select-bib-mode, reftex-insert-docstruct): Derive modes
6072 from special-mode (instead of fundamental-mode) and propertize
6073 with font-lock-face instead of just face. (Bug#18496)
6075 * textmodes/reftex-toc.el (reftex-toc-mode, reftex-toc): Ditto.
6077 2014-09-19 Dmitry Gutov <dgutov@yandex.ru>
6079 * emacs-lisp/lisp.el (lisp-completion-at-point): Only calculate
6080 `table-etc' when `end' is non-nil.
6081 (lisp-completion-at-point): Move `end' back if it's after quote.
6082 If in comment or string, only complete when after backquote.
6084 (lisp-completion-at-point): Don't use
6085 `lisp--local-variables-completion-table' in the
6086 `lisp--form-quoted-p' case.
6088 2014-09-19 Dmitry Gutov <dgutov@yandex.ru>
6090 * emacs-lisp/lisp.el (lisp--expect-function-p)
6091 (lisp--form-quoted-p): New functions.
6092 (lisp-completion-at-point): Use them to see if we're completing a
6093 variable reference, a function name, or just any symbol.
6094 http://lists.gnu.org/archive/html/emacs-devel/2014-02/msg00229.html
6096 2014-09-18 Ivan Kanis <ivan@kanis.fr>
6098 * net/shr.el, net/eww.el: Don't override `shr-width', but
6099 introduce a new variable `shr-internal-width'. This allows users
6100 to specify a width themselves.
6102 2014-09-18 Lars Magne Ingebrigtsen <larsi@gnus.org>
6104 * image-mode.el (image-toggle-display-image): If we have a
6105 `fit-width' or a `fit-height', don't limit the size of the image
6106 to the window size, because that doesn't preserve the aspect ratio.
6107 * image-mode.el: Move defvars earlier to avoid a byte-compilation
6110 2014-09-17 Reuben Thomas <rrt@sc3d.org>
6112 * progmodes/js.el: Add interpreter-mode-alist support for various
6113 JavaScript interpreters.
6115 2014-09-17 Paul Eggert <eggert@cs.ucla.edu>
6117 Don't assume 'grep' supports GREP_OPTIONS.
6118 The GREP_OPTIONS environment variable is planned to be marked
6119 obsolescent in GNU grep, due to problems in its use, so stop
6121 * progmodes/grep.el (grep-highlight-matches): Document this.
6122 (grep-process-setup): Do not set GREP_OPTIONS.
6123 (grep-compute-defaults): Use an explicit --color option if supported.
6125 2014-09-16 Stefan Monnier <monnier@iro.umontreal.ca>
6127 * msb.el (msb--make-keymap-menu, msb-menu-bar-update-buffers):
6128 Don't add outdated key-shortcut cache (bug#18482).
6130 2014-09-15 Glenn Morris <rgm@gnu.org>
6132 * image.el (image-multi-frame-p): Fix thinko - do not force
6133 a delay if none was specified. (Bug#18334)
6135 2014-09-15 Kan-Ru Chen <kanru@kanru.info>
6137 * window.el (fit-window-to-buffer): Doc fix.
6139 2014-09-15 Ivan Shmakov <ivan@siamics.net>
6141 * desktop.el (desktop-create-buffer): Check that buffers are still live
6142 before burying them (bug#18373).
6144 2014-09-15 Glenn Morris <rgm@gnu.org>
6146 * calendar/diary-lib.el (diary-list-entries):
6147 Restore 24.3 display behavior. (Bug#18381)
6149 2014-09-15 Eli Zaretskii <eliz@gnu.org>
6151 * mouse.el (mouse-drag-line): On text-mode frames, count the mode
6152 line and header line as 1 pixel. This fixes the 1-"pixel" (row)
6153 discrepancy between window-pixel-edges and mouse events, and
6154 avoids moving mode line up when the mouse click is on the modeline
6155 and no drag is attempted.
6157 2014-09-14 Daniel Colascione <dancol@dancol.org>
6159 * register.el (insert-register): Change default interactive
6162 2014-09-14 Michael Albinus <michael.albinus@gmx.de>
6164 * net/tramp-cache.el (tramp-flush-file-function): Simplify check.
6165 Suppress debug messages.
6167 * net/tramp.el (tramp-file-name-handler):
6168 * net/tramp-gvfs.el (tramp-gvfs-url-file-name): Apply `cons' where
6171 2014-09-13 Christopher Schmidt <ch@ristopher.com>
6173 * calendar/calendar.el (calendar-update-mode-line):
6174 Do not overwrite mode-line-format if calendar-mode-line-format is
6177 2014-09-13 Leo Liu <sdl.web@gmail.com>
6179 * emacs-lisp/pcase.el (pcase--dontwarn-upats): New var.
6180 (pcase--expand): Use it.
6181 (pcase-exhaustive): New macro. (Bug#16567)
6183 * emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-2):
6184 Add pcase-exhaustive.
6186 2014-09-13 Eli Zaretskii <eliz@gnu.org>
6188 * mail/rmailmm.el (rmail-mime-insert-html): Decode the HTML part
6189 using the specified transfer-encoding, if any, or 'undecided'.
6190 (rmail-mime-render-html-shr): Bind shr-width to nil, so lines are
6191 broken at the window margin.
6193 2013-12-27 Ken Olum <kdo@cosmos.phy.tufts.edu>
6195 Support rendering of HTML parts in Rmail (bug#4258).
6196 * mail/rmailmm.el (rmail-mime-process): Handle text/html
6197 separately from other text/ types. Suppress tagline for
6199 (rmail-mime-parse): Don't change visibility of tagline here.
6200 (rmail-mime-set-bulk-data, rmail-mime-insert-bulk):
6201 Handle text/html specially.
6202 (rmail-mime-render-html-function,rmail-mime-prefer-html): New variables.
6203 (rmail-mime-insert-html, rmail-mime-render-html-shr)
6204 (rmail-mime-render-html-lynx): New functions.
6205 (rmail-mime-fix-inserted-faces): New function.
6206 (rmail-mime-process-multipart): Find the best part to show
6207 following rmail-mime-prefer-html if set.
6208 (rmail-mime-searching): New variable.
6209 (rmail-search-mime-message): Bind rmail-mime-searching to
6210 suppress rendering while searching.
6212 2014-09-12 Sam Steingold <sds@gnu.org>
6214 * progmodes/sql.el (sql-product-alist): Add vertica.
6215 (sql-vertica-program, sql-vertica-options)
6216 (sql-vertica-login-params, sql-comint-vertica, sql-vertica):
6217 New functions and variables to support Vertica.
6218 Inspired by code by Roman Scherer <roman@burningswell.com>.
6220 2014-09-11 Paul Eggert <eggert@cs.ucla.edu>
6222 * ses.el (ses-file-format-extend-parameter-list): Rename from
6223 ses-file-format-extend-paramter-list, to correct a misspelling.
6226 2014-09-10 Alan Mackenzie <acm@muc.de>
6228 CC Mode: revert recent changes and fix bug 17463 (cc-langs.elc
6229 gets loaded at run-time).
6230 * progmodes/cc-langs.el (c-no-parens-syntax-table): Rename the
6231 c-lang-const to c-make-no-parens-syntax-table and correct the
6233 (c-no-parens-syntax-table): Correct the logic of the
6236 2014-09-10 Stefan Monnier <monnier@iro.umontreal.ca>
6238 CC-mode: Set open-paren-in-column-0-is-defun-start to nil;
6240 * progmodes/cc-mode.el (c-basic-common-init):
6241 Set open-paren-in-column-0-is-defun-start.
6242 (adaptive-fill-first-line-regexp, font-lock-syntactic-keywords):
6243 Remove declarations, unused.
6244 (run-mode-hooks): Remove declaration.
6245 (font-lock-defaults): Use plain `defvar' to declare.
6246 (c-run-mode-hooks): Test existence of run-mode-hooks with fboundp.
6247 * progmodes/cc-langs.el (c-filter-ops): Avoid `setq'.
6248 (c-make-mode-syntax-table): Don't micro-optimize.
6249 (c-keywords, c-keyword-member-alist): Simplify.
6250 (c-kwds-lang-consts): Don't eval at compile-time.
6251 (c-primary-expr-regexp): Comment out unused vars.
6252 * progmodes/cc-fonts.el (c-font-lock-context): Declare at top-level.
6253 (c-font-byte-compile): New var.
6254 (c--compile): New function. Use it instead of `byte-compile'.
6255 (c-cpp-matchers): Quote the value returned by
6256 `c-make-syntactic-matcher' in case it's not self-evaluating.
6257 (c-basic-matchers-before): Avoid a plain MATCHER as keyword, wrap it in
6258 parentheses instead (in case MATCHER happens to be a list).
6259 (c-font-lock-enum-tail): Remove unused var `start'.
6260 (c-font-lock-objc-methods): Silence byte-compiler warnings.
6261 * progmodes/cc-engine.el (c-syntactic-re-search-forward): Sink an `if'
6262 test into an argument.
6263 * progmodes/cc-defs.el (c-point, c-major-mode-is, c-put-char-property)
6264 (c-get-char-property): Don't use `eval' just to unquote a constant.
6265 (c-use-extents): Remove. Use (featurep 'xemacs), compiled
6267 (c-put-char-property-fun): Don't call `byte-compile' by hand.
6268 (c-clear-char-property, c-clear-char-properties): Check that `property'
6269 is a quoted constant.
6270 (c-emacs-features): Remove `infodock', `syntax-properties', and
6271 `pps-extended-state' (never used), `8-bit' and `1-bit' (use (featurep
6272 'xemacs) instead). Use `with-temp-buffer' and let-bind vars after
6273 changing buffer, so we don't have to setq them again afterwards.
6274 (c-lang-const): Remove redundant symbolp assertions.
6275 (c-find-assignment-for-mode): Use `or'.
6276 * Makefile.in (compile-one-process): Remove cc-mode dependency.
6278 2014-09-09 Sam Steingold <sds@gnu.org>
6280 * progmodes/sql.el (sql-default-directory): Fix type annotation.
6282 2014-09-09 Stefan Monnier <monnier@iro.umontreal.ca>
6284 * progmodes/cc-awk.el: Remove unneeded cc-bytecomp use.
6285 Change doc comments into docstrings.
6286 * Makefile.in: Remove cc-awk dependency.
6288 2014-09-08 Sam Steingold <sds@gnu.org>
6290 * progmodes/sql.el (sql-send-line-and-next): New command,
6292 (sql-show-sqli-buffer): Display the buffer instead of its name and
6293 bind the command to C-c C-z.
6294 (sql-default-directory): New user option.
6295 (sql-product-interactive): Bind `default-directory' to it to
6296 enable remote connections using Tramp.
6297 (sql-set-sqli-buffer): Call `sql-product-interactive' when no
6298 suitable buffer is available.
6300 2014-09-08 Glenn Morris <rgm@gnu.org>
6302 * calendar/calendar.el (calendar-basic-setup):
6303 Fix calendar-view-holidays-initially-flag and fancy display.
6304 * calendar/diary-lib.el (diary-live-p): Doc fix.
6306 * calendar/calendar.el (calendar-basic-setup):
6307 Avoid clobbering calendar with diary. (Bug#18381)
6309 2014-09-08 Stefan Monnier <monnier@iro.umontreal.ca>
6311 * vc/vc-dir.el (vc-dir-update): Don't burp in corner case.
6313 2014-09-08 Lars Ljung <lars@matholka.se> (tiny change)
6315 * isearch.el (isearch-yank-word-or-char): Obey superword-mode
6316 as well (bug#18400).
6318 2014-09-08 Eli Zaretskii <eliz@gnu.org>
6320 * subr.el (posn-actual-col-row): Doc fix. (Bug#18385)
6322 2014-09-06 Leo Liu <sdl.web@gmail.com>
6324 * emacs-lisp/pcase.el (pcase): Doc fix.
6325 (pcase--split-vector): New function.
6326 (pcase--q1): Support vector qpattern. (Bug#18327)
6328 2014-09-05 Sam Steingold <sds@gnu.org>
6330 * textmodes/tex-mode.el (tex-print-file-extension): New user
6332 (tex-print): Use it instead of the hard-coded string.
6334 2014-09-05 Michael Albinus <michael.albinus@gmx.de>
6336 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
6337 Expand `default-directory'.
6339 2014-09-05 Martin Rudalics <rudalics@gmx.at>
6341 * scroll-bar.el (horizontal-scroll-bars-available-p):
6343 (horizontal-scroll-bar-mode): Rewrite using
6344 horizontal-scroll-bars-available-p.
6345 * menu-bar.el (menu-bar-showhide-scroll-bar-menu): Rewrite using
6346 horizontal-scroll-bars-available-p.
6348 2014-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
6350 * subr.el (call-process-shell-command, process-file-shell-command):
6351 Make the `args' obsolete (bug#18409).
6352 (start-process-shell-command, start-file-process-shell-command):
6355 2014-09-05 Jay Belanger <jay.p.belanger@gmail.com>
6357 * calc/calc-forms.el (math-normalize-hms): Do a better check for
6358 "negative" hms forms.
6360 2014-09-04 Rasmus Pank Roulund <emacs@pank.eu>
6362 * vc/vc-git.el (vc-git-conflicted-files): Fix bug when git status
6363 returns nil (bug#18391).
6365 2014-09-04 Stefan Monnier <monnier@iro.umontreal.ca>
6367 * emacs-lisp/eldoc.el (eldoc-function-argstring): Don't strip
6368 terminating paren (bug#18352).
6369 (eldoc-last-data-store): Return cached data.
6370 (eldoc-get-var-docstring): Avoid setq.
6371 (eldoc-get-fnsym-args-string): Clarify data flow.
6373 2014-09-04 Thierry Volpiatto <thierry.volpiatto@gmail.com>
6375 * emacs-lisp/eldoc.el (eldoc-highlight-function-argument): Handle the
6376 case where we're currently providing part of the &rest arg after some
6377 &key args, as in define-ibuffer-op (bug#18048).
6379 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
6381 * progmodes/which-func.el (which-func-ff-hook): Obey pre-existing
6382 buffer-local setting of which-func-mode.
6383 (which-func-mode): Use defvar-local.
6384 (which-function-mode): Don't reset which-func-mode in each buffer since
6385 it might have been set by someone else.
6386 (which-func-update-ediff-windows): Check which-function-mode.
6388 2014-09-03 Martin Rudalics <rudalics@gmx.at>
6390 * frame.el (frame-initialize): Remove horizontal-scroll-bars
6391 from frame-initial-frame-alist.
6392 * scroll-bar.el (previous-horizontal-scroll-bar-mode)
6393 (horizontal-scroll-bar-mode-explicit)
6394 (set-horizontal-scroll-bar-mode, get-horizontal-scroll-bar-mode)
6395 (toggle-horizontal-scroll-bar): Remove.
6396 (horizontal-scroll-bar-mode): Remove defcustom.
6397 (horizontal-scroll-bar-mode): Fix doc-string.
6398 (scroll-bar-toolkit-scroll)
6399 (scroll-bar-toolkit-horizontal-scroll): Add doc-strings stubs.
6401 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
6403 * emacs-lisp/package.el (package-generate-description-file):
6404 Properly quote the arguments (bug#18332). Change second arg.
6405 (package--alist-to-plist-args): Rename from package--alist-to-plist and
6407 (package--make-autoloads-and-stuff): Fix the test for pre-existence of
6408 the *-pkg.el file. Adjust to new calling convention of
6409 package-generate-description-file.
6411 * progmodes/gud.el (gud-gdb-completion-at-point): Add hack (bug#18282).
6412 (gud-gdb-completions): Remove obsolete workaround.
6414 2014-09-03 Eli Zaretskii <eliz@gnu.org>
6416 * subr.el (posn-col-row): Revert the change from commit
6417 2010-11-13T21:07:58Z!eliz@gnu.org, which
6418 was inadvertently merged from emacs-23 release branch in 2010-11-18T03:54:14Z!monnier@iro.umontreal.ca
6419 monnier@iro.umontreal.ca-20101118035414-yvlg7k7dk4k4l3q, and
6420 introduced an off-by-one error in the reported row when there is a
6421 header line. (Bug#18384)
6423 2014-09-03 Fabián Ezequiel Gallina <fgallina@gnu.org>
6425 * progmodes/python.el (python-indent-post-self-insert-function):
6426 Avoid electric colon at beginning-of-defun. (Bug#18228)
6428 2014-09-03 Glenn Morris <rgm@gnu.org>
6430 * tutorial.el (tutorial--display-changes):
6431 Fix 2014-08-01 change. (Bug#18382)
6433 2014-09-03 Ken Brown <kbrown@cornell.edu>
6435 * startup.el (fancy-splash-frame): Extend the fix for Bug#16014 to
6436 the Cygwin-w32 build. (Bug#18347)
6438 2014-09-03 Glenn Morris <rgm@gnu.org>
6440 * tar-mode.el (tar--extract, tar-extract):
6441 Avoid permanently disabling undo in extracted buffers. (Bug#18344)
6443 2014-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
6445 * progmodes/sh-script.el (sh-font-lock-quoted-subshell): Try to better
6446 handle multiline elements (bug#18380).
6448 2014-09-01 Eli Zaretskii <eliz@gnu.org>
6450 * ls-lisp.el (ls-lisp-use-string-collate)
6451 (ls-lisp-UCA-like-collation): New defcustoms.
6452 (ls-lisp-string-lessp): Use them to control sorting by file
6454 (ls-lisp-version-lessp): New function.
6455 (ls-lisp-handle-switches): Use it to implement the -v switch of
6457 (ls-lisp--insert-directory): Mention the -v switch in the doc string.
6459 2014-08-31 Christoph Scholtes <cschol2112@gmail.com>
6461 * ibuffer.el: Replace mode-specific quit function with
6462 `quit-window' via `special-mode'.
6463 (ibuffer-mode-map): Use keybindings from special-mode-map instead
6465 (ibuffer): Don't store previous windows configuration.
6466 Let `quit-window' handle restoring.
6467 (ibuffer-quit): Remove function. Use `quit-window' instead.
6468 (ibuffer-restore-window-config-on-quit): Remove variable.
6469 (ibuffer-prev-window-config): Remove variable.
6471 2014-08-29 Michael Heerdegen <michael_heerdegen@web.de>
6473 * emacs-lisp/easy-mmode.el (define-minor-mode): Use mode function
6474 name instead of variable name in hook docstring. (Bug#18349)
6476 2014-08-29 Martin Rudalics <rudalics@gmx.at>
6478 * window.el (display-buffer-at-bottom): Prefer bottom-left
6479 window to other bottom windows. Reuse a bottom window if it
6480 shows the buffer already. Suggested by Juri Linkov
6481 <juri@jurta.org> in discussion of (Bug#18181).
6483 2014-08-29 Leo Liu <sdl.web@gmail.com>
6485 * files.el (minibuffer-with-setup-hook): Allow (:append FUN) to
6486 append to minibuffer-setup-hook. (Bug#18341)
6488 2014-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
6490 * progmodes/cc-defs.el: Expose c-lanf-defconst's expressions to the
6492 (lookup-syntax-properties): Silence byte-compiler.
6493 (c-lang-defconst): Quote the code with `lambda' rather than with
6495 (c-lang-const): Avoid unneeded setq.
6496 (c-lang-constants-under-evaluation): Add docstring.
6497 (c-lang--novalue): New constant.
6498 (c-find-assignment-for-mode): Use it instead of c-lang-constants.
6499 (c-get-lang-constant): Same here.
6500 Get the mode's value using `funcall' now that the code is quoted
6503 2014-08-28 Michael Albinus <michael.albinus@gmx.de>
6505 * net/tramp.el (tramp-handle-shell-command): Use `display-buffer'.
6508 2014-08-28 Martin Rudalics <rudalics@gmx.at>
6510 * scroll-bar.el (scroll-bar-horizontal-drag-1): Handle new
6511 interpretation of `portion-whole'.
6513 2014-08-28 Michael Albinus <michael.albinus@gmx.de>
6515 * net/tramp-adb.el: Spell author name correctly.
6517 2014-08-28 João Távora <joaotavora@gmail.com>
6519 * net/shr.el (shr-expand-url): Plain expand-file-name is not enough;
6520 use url-expand-file-name. (Bug#18310)
6522 2014-08-28 Glenn Morris <rgm@gnu.org>
6524 * emulation/cua-rect.el (cua--highlight-rectangle):
6525 Avoid error at point-min. (Bug#18309)
6527 2014-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
6529 * progmodes/python.el (python-shell-prompt-detect): Remove redundant
6530 executable-find (bug#18244).
6532 * simple.el (self-insert-uses-region-functions): Defvar.
6534 2014-08-28 Glenn Morris <rgm@gnu.org>
6536 * subr.el (remq): Revert 2014-08-25 doc change (not always true).
6538 2014-08-27 Dmitry Antipov <dmantipov@yandex.ru>
6540 * startup.el (normal-top-level): Now use internal--top-level-message.
6542 2014-08-26 Dmitry Antipov <dmantipov@yandex.ru>
6544 * startup.el (normal-top-level): Use top-level-message.
6546 2014-08-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
6548 * net/shr.el (shr-copy-url): Encode copied URL to avoid getting
6549 URLs containing spaces and the like.
6551 2014-08-25 Christoph Scholtes <cschol2112@gmail.com>
6553 * subr.el (remq): Fix docstring (Bug#18253).
6555 2014-08-25 Christoph Scholtes <cschol2112@gmail.com>
6557 * replace.el (query-replace): Fix typo in docstring (Bug#18320).
6559 2014-08-24 Alan Mackenzie <acm@muc.de>
6561 Handle C++11's "auto" and "decltype" constructions.
6562 * progmodes/cc-engine.el (c-forward-type): Enhance to recognise
6563 and return 'decltype.
6564 (c-forward-decl-or-cast-1): New let variables backup-kwd-sym,
6565 prev-kwd-sym, new-style-auto. Enhance to handle the new "auto"
6567 * progmodes/cc-fonts.el (c-font-lock-declarations): Handle the
6569 (c-font-lock-c++-new): Handle "decltype" constructions.
6570 * progmodes/cc-langs.el (c-auto-ops, c-auto-ops-re):
6571 New c-lang-defconsts/defvars.
6572 (c-haskell-op, c-haskell-op-re): New c-lang-defconsts/defvars.
6573 (c-typeof-kwds, c-typeof-key): New c-lang-defconsts/defvars.
6574 (c-typeless-decl-kwds): Append "auto" onto the C++ value.
6575 (c-not-decl-init-keywords): Also exclude c-typeof-kwds from value.
6577 Make ">>" act as double template ender in C++ Mode. (Bug#11386)
6578 * progmodes/cc-langs.el (c->-op-cont-tokens): New lang-const split
6579 off from c->-op-cont-re.
6580 (c->-op-cont-tokens): Change to use the above.
6581 (c->-op-without->-cont-regexp): New lang-const.
6582 * progmodes/cc-engine.el (c-forward-<>-arglist-recur):
6583 Use c->-op-without->-cont-regexp in place of c->-op-cont-tokens.
6586 2014-08-23 Alan Mackenzie <acm@muc.de>
6588 * progmodes/cc-fonts.el (c-font-lock-declarators): Fix infinite
6589 loop, bug #18306. The bug was introduced on 2014-08-02.
6591 2014-08-21 Eli Zaretskii <eliz@gnu.org>
6593 * textmodes/texnfo-upd.el (texinfo-specific-section-type):
6594 Don't recognize a Top node if there are other sectioning commands
6595 earlier in the Texinfo file. This fixes a bug in
6596 texinfo-make-menu and avoids inflooping in
6597 texinfo-all-menus-update when they are invoked on texinfo.texi.
6599 2014-08-21 Martin Rudalics <rudalics@gmx.at>
6601 * window.el (window--side-window-p): New function.
6602 (split-window, window-splittable-p): Use window--side-window-p to
6603 determine whether WINDOW can be split (Bug#18304).
6604 * calendar/calendar.el (calendar-basic-setup): Fix one call of
6605 `window-splittable-p' and add another (Bug#18304).
6607 2014-08-20 Sam Steingold <sds@gnu.org>
6609 * progmodes/python.el (python-new-pythonpath): Extract from
6610 `python-shell-calculate-process-environment'.
6612 2014-08-18 Thierry Volpiatto <thierry.volpiatto@gmail.com>
6614 * emacs-lisp/eldoc.el (eldoc-highlight-function-argument): Add support
6615 for &key args (bug#18048).
6617 2014-08-18 Stefan Monnier <monnier@iro.umontreal.ca>
6619 * emacs-lisp/eldoc.el (eldoc-argument-case): Obsolete and change default.
6620 (eldoc-function-argstring-format): Remove.
6621 (eldoc-function-argstring): Always return upcase args.
6622 Use help-make-usage. Don't add parens.
6623 (eldoc-get-fnsym-args-string): Don't obey eldoc-argument-case since
6624 it's too late to do it right (bug#18048).
6626 2014-08-18 Eli Zaretskii <eliz@gnu.org>
6628 * scroll-bar.el (scroll-bar-horizontal-drag-1)
6629 (scroll-bar-toolkit-horizontal-scroll): When determining the
6630 paragraph direction, use the buffer of the window designated in
6633 2014-08-16 Andreas Schwab <schwab@linux-m68k.org>
6635 * vc/diff-mode.el (diff-fixup-modifs): Handle empty line in
6636 context of unified diff.
6638 2014-08-16 Paul Eggert <eggert@cs.ucla.edu>
6640 Add dependencies to fix loaddefs race during parallel builds.
6641 Without this, for example, 'make -j bootstrap' can fail and report
6642 "Opening input file: no such file or directory,
6643 .../lisp/calendar/diary-loaddefs.el ... recipe for target
6644 'calendar/hol-loaddefs.el' failed", where the hol-loaddefs.el rule
6645 got confused because diary-loaddefs.el was being built in parallel.
6646 * Makefile.in ($(CAL_DIR)/diary-loaddefs.el):
6647 Depend on $(CAL_DIR)/cal-loaddefs.el.
6648 ($(CAL_DIR)/hol-loaddefs.el): Depend on $(CAL_DIR)/diary-loaddefs.el.
6650 2014-08-16 Martin Rudalics <rudalics@gmx.at>
6652 * scroll-bar.el (scroll-bar-horizontal-drag-1): Use cdr of
6653 portion-whole for scrolling right-to-left text.
6655 2014-08-15 Leo Liu <sdl.web@gmail.com>
6657 * speedbar.el (speedbar-generic-list-tag-p): Allow special
6658 elements from imenu.
6660 2014-08-15 Glenn Morris <rgm@gnu.org>
6662 * subr.el (with-output-to-temp-buffer): Doc fix; from elisp manual.
6664 2014-08-13 Jan Nieuwenhuizen <janneke@gnu.org>
6666 * progmodes/compile.el (compilation-error-regexp-alist-alist):
6667 Add Guile regexpses.
6669 2014-08-13 Jan Nieuwenhuizen <janneke@gnu.org>
6671 * progmodes/gud.el (guiler): New function. Starts the Guile REPL;
6672 add Guile debugger support for GUD.
6674 2014-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
6676 * obsolete/mouse-sel.el (mouse-sel-mode): Use add/remove-function.
6677 (mouse-sel--ignore): New function.
6678 (mouse-sel-has-been-enabled, mouse-sel-original-bindings)
6679 (mouse-sel-original-interprogram-cut-function)
6680 (mouse-sel-original-interprogram-paste-function): Remove.
6682 2014-08-13 Eric S. Raymond <esr@thyrsus.com>
6684 * vc/vc-git.el (vc-git-resolve-when-done): New function.
6685 Call "git add" when there are no longer conflict markers.
6687 2014-08-13 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
6689 * vc/vc-git.el (vc-git-find-file-hook): New function.
6690 Adds support for calling smerge (and resolve) on a conflicted file.
6691 (vc-git-conflicted-files): New function.
6692 Useful in itself and a step towards better smerge support.
6694 2014-08-12 Stefan Monnier <monnier@iro.umontreal.ca>
6696 * mpc.el (mpc-reorder): Don't bother splitting the "active" elements
6697 to the first part if they're the same as the selection.
6699 2014-08-12 Lars Magne Ingebrigtsen <larsi@gnus.org>
6701 * image-mode.el (image-transform-reset): New command and menu item.
6702 (image-mode-map): Rearrange the menu items to put presumably more
6703 obscure items at the end.
6705 2014-08-12 Juri Linkov <juri@jurta.org>
6707 * vc/vc-annotate.el (vc-annotate-background-mode):
6708 Use `with-demoted-errors' instead of `ignore-errors'. (Bug#18189)
6710 2014-08-12 Stefan Monnier <monnier@iro.umontreal.ca>
6712 * files.el (out-of-memory-warning-percentage): Turn it off by default.
6714 2014-08-11 Sam Steingold <sds@gnu.org>
6716 * textmodes/sgml-mode.el (sgml-validate-command): Set depending on
6717 the presence of known validators (tidy, (o)nsgmls).
6719 2014-08-11 Ulf Jasper <ulf.jasper@web.de>
6721 Newsticker: introduce `newsticker-treeview-date-format'. (Bug#17227)
6722 * net/newst-treeview.el (newsticker-treeview-date-format): New.
6723 (newsticker--treeview-list-add-item):
6724 Use `newsticker-treeview-date-format'.
6726 2014-08-11 Glenn Morris <rgm@gnu.org>
6728 * files.el (basic-save-buffer-2): Revert 2013-01-31 change, which
6729 chose coding system for writing before backing up, since it causes
6730 a more serious problem than the one it solves. (Closes Bug#18141,
6733 2014-08-11 Martin Rudalics <rudalics@gmx.at>
6735 * window.el (window-total-size): Make doc-string more self-contained.
6737 * window.el (display-buffer-below-selected): Restore original
6738 behavior if buffer is already displayed in the window below the
6739 selected one (Bug#18181).
6741 2014-08-11 Stefan Monnier <monnier@iro.umontreal.ca>
6743 * mouse.el (mouse--down-1-maybe-follows-link): Don't convert the down
6746 2014-08-11 Eli Zaretskii <eliz@gnu.org>
6748 * info.el (info): Doc fix.
6750 2014-08-11 Stefan Monnier <monnier@iro.umontreal.ca>
6752 * info.el (Info-mode-map): Override a global down-mouse-2 binding
6755 2014-08-11 Eli Zaretskii <eliz@gnu.org>
6757 * simple.el (default-line-height): A floating-point value of
6758 line-spacing means a fraction of the default frame font's height,
6759 not of the font currently used by the 'default' face.
6760 Truncate the pixel value, like the display engine does.
6761 (window-screen-lines): Use window-inside-pixel-edges for
6762 determining the window height in pixels. (Bug#18195)
6764 2014-08-11 Grégoire Jadi <daimrod@gmail.com>
6766 * leim/quail/latin-post.el: Transform " __" into " _". (Bug#18023)
6768 2014-08-10 Ulf Jasper <ulf.jasper@web.de>
6770 Enumerate evaluated sexp diary entries (Bug#7911).
6771 * calendar/icalendar.el (icalendar-export-sexp-enumerate-all)
6772 (icalendar-export-sexp-enumeration-days): New.
6773 (icalendar-export-region): Now `icalendar--convert-to-ical'
6774 returns a cons cell or a list of cons cells.
6775 (icalendar--convert-to-ical): Take care of
6776 `icalendar-export-sexp-enumerate-all'. Return (a list of) cons cells.
6777 (icalendar--convert-ordinary-to-ical)
6778 (icalendar--convert-weekly-to-ical, icalendar--convert-yearly-to-ical)
6779 (icalendar--convert-block-to-ical, icalendar--convert-block-to-ical)
6780 (icalendar--convert-float-to-ical, icalendar--convert-cyclic-to-ical)
6781 (icalendar--convert-anniversary-to-ical): Return cons cell.
6782 (icalendar--convert-sexp-to-ical): Enumerate evaluated sexp
6783 entries. Return (list of) cons cells.
6785 2014-08-09 Juri Linkov <juri@jurta.org>
6787 * vc/vc-annotate.el (vc-annotate-background-mode): Add :set
6788 to reevaluate `vc-annotate-color-map'. (Bug#18189)
6790 2014-08-09 Alan Mackenzie <acm@muc.de>
6792 * progmodes/cc-fonts.el (c-font-lock-declarators): Remove check
6793 for top-level that can cause unacceptable slow-down in scrolling.
6794 See email Subject: Huge {...} blocks in C/C++ again, from Dmitry
6795 Antipov from 2013-10-14 in emacs-devel.
6797 2014-08-08 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
6799 * ibuffer.el (ibuffer-mode-map): Use toggle button for
6800 `ibuffer-auto-mode' menu entry.
6801 (ibuffer-mode-hook): Add `ibuffer-auto-mode' customization option.
6803 2014-08-08 Matthias Meulien <orontee@gmail.com>
6805 * progmodes/prog-mode.el (prog-mode-hook): Make customizable.
6808 2014-08-07 Martin Rudalics <rudalics@gmx.at>
6810 * window.el (window--min-size-1): Explicitly set WINDOW arg in
6811 calls of window-min-pixel-height and window-min-pixel-width.
6813 2014-08-07 Reuben Thomas <rrt@sc3d.org>
6815 * progmodes/ada-mode.el:
6816 * net/tramp.el (tramp-handle-file-symlink-p):
6817 * net/tramp-ftp.el (tramp-ftp-file-name-handler): Remove a comment
6818 about VMS, which we no longer support.
6819 * progmodes/ada-xref.el (ada-xref-current): Remove mention of VMS,
6820 and fix a FIXME, using convert-standard-filename in place of
6821 removed ada-convert-file-name.
6823 2014-08-07 Eli Zaretskii <eliz@gnu.org>
6825 * files.el (auto-mode-alist): Remove support for VMS from a pattern.
6827 2014-08-07 Reuben Thomas <rrt@sc3d.org>
6829 Refer to MS-DOS using the same name everywhere.
6830 * arc-mode.el, files.el, frame.el: ``MS-DOG'', ``MSDOG'' and
6831 ``msdog'' become ``MS-DOS''.
6833 2014-08-07 Michael Albinus <michael.albinus@gmx.de>
6835 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
6836 Use cached "remote-copy-args" value, if available. (Bug#18199)
6838 2014-08-07 Leo Liu <sdl.web@gmail.com>
6840 * help.el (temp-buffer-setup-hook,temp-buffer-show-hook):
6841 Revert change on 2014-03-22.
6843 2014-08-06 Ulf Jasper <ulf.jasper@web.de>
6845 * calendar/icalendar.el (icalendar--diarytime-to-isotime)
6846 (icalendar--convert-ordinary-to-ical): Allow for missing minutes
6850 2014-08-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
6852 * image-mode.el (image-toggle-display-image): Always rescale images
6853 to not be bigger than the current window.
6855 2014-08-05 Eric Brown <brown@fastmail.fm> (tiny change)
6857 * net/eww.el (eww-bookmarks-directory): New variable.
6858 (eww-write-bookmarks): Use it.
6859 (eww-read-bookmarks): Ditto.
6861 2014-08-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
6863 * net/shr.el (shr-copy-url): Also copy the image URL.
6865 2014-08-05 Michael Albinus <michael.albinus@gmx.de>
6867 * net/tramp-cache.el (tramp-flush-file-function): Suppress function
6868 also for Tramp working buffers.
6870 2014-08-04 Fabián Ezequiel Gallina <fgallina@gnu.org>
6872 * progmodes/python.el: Fix completions inside (i)pdb.
6873 (python-shell-completion-pdb-string-code): Make obsolete.
6874 (python-shell-completion-get-completions):
6875 Use python-shell-completion-string-code resending setup code
6876 continuously for (i)pdb.
6878 2014-08-04 Paul Eggert <eggert@cs.ucla.edu>
6880 * rect.el (rectangle--default-line-number-format): Rename
6881 from misspelled rectange--default-line-number-format (Bug#18045).
6884 2014-08-03 Paul Eggert <eggert@cs.ucla.edu>
6886 Don't mishandle year-9999 dates (Bug#18176).
6887 * calendar/parse-time.el (parse-time-rules):
6888 Allow years up to most-positive-fixnum.
6889 * calendar/time-date.el (date-to-time):
6890 Pass "Specified time is not representable" errors through.
6892 2014-08-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
6894 * progmodes/python.el: Completion code cleanups.
6895 (python-shell-completion-get-completions): Detect and send import
6896 statements directly to completion function.
6897 (python-shell-completion-at-point): Simplify prompt calculation
6898 and import vs input completion logic.
6900 2014-08-02 Alan Mackenzie <acm@muc.de>
6902 Fix confusion in C++ file caused by comma in "= {1,2},".
6904 * progmodes/cc-engine.el (c-beginning-of-statement-1): In checking
6905 for a statement boundary marked by "}", check there's no "="
6907 (c-guess-basic-syntax CASE 9B): Call c-beginning-of-statement with
6908 non-nil `comma-delim' argument.
6909 * progmodes/cc-fonts.el (c-font-lock-declarators): Parse an
6910 initializer expression more accurately.
6912 Correct loop termination condition in c-syntactic-skip-backward.
6913 * progmodes/cc-engine.el (c-syntactic-skip-backward): Correct for
6914 the situation where, after moving back out of a literal,
6915 skip-chars-backward doesn't move further, yet checks have still to
6918 2014-08-01 Eli Zaretskii <eliz@gnu.org>
6920 * tutorial.el (tutorial--display-changes): Accept punctuation
6921 characters before the key binding. (Bug#18146)
6923 2014-07-31 Fabián Ezequiel Gallina <fgallina@gnu.org>
6925 * progmodes/python.el: Shell output capture enhancements.
6926 (python-shell-accept-process-output): New function.
6927 (inferior-python-mode)
6928 (python-shell-send-setup-code): Use it.
6930 2014-07-30 Christophe Deleuze <christophe.deleuze@free.fr> (tiny change)
6932 * calendar/icalendar.el (icalendar--decode-isodatetime):
6933 Use actual current-time-zone when converting to local time. (Bug#15408)
6935 2014-07-29 Martin Rudalics <rudalics@gmx.at>
6937 * window.el (window--state-put-2): Handle horizontal scroll
6940 2014-07-29 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
6942 * menu-bar.el (menu-bar-update-buffers): Update item list format
6943 in `buffers-menu' to confirm with changes to `get_keyelt'
6944 (r117463). (Bug#18016)
6946 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
6948 * progmodes/python.el (inferior-python-mode): Make input prompts
6951 2014-07-28 Emilio C. Lopes <eclig@gmx.net>
6953 * net/tramp-sh.el (tramp-get-remote-python): Also search for
6954 executables named "python2" or "python3".
6955 (tramp-get-remote-uid-with-python): Use parentheses around
6956 arguments to `print' to make it compatible with Python 3.
6957 (tramp-get-remote-gid-with-python): Ditto. (Bug#18118)
6959 2014-07-28 Eli Zaretskii <eliz@gnu.org>
6961 * window.el (window--pixel-to-total): Use FRAME's root window, not
6962 that of the selected frame. (Bug#18112, Bug#16674)
6964 2014-07-28 Andreas Schwab <schwab@linux-m68k.org>
6966 * textmodes/tex-mode.el (tex-font-lock-verb): Doc fix.
6969 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
6971 * progmodes/python.el (inferior-python-mode): Doc fix.
6973 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
6975 * calendar/todo-mode.el (todo-edit-item--next-key): If next key is
6976 not a character, ignore it instead of raising an error.
6978 * calendar/todo-mode.el: Fix handling of marked items and make
6979 minor code improvements.
6980 (todo-edit-item): If there are marked items, ensure user can only
6981 invoke editing commands that work with marked items.
6982 (todo-edit-item--text): When there are marked items, make it a
6983 noop if invoked with point not on an item; otherwise, ensure it
6984 applies only to item at point.
6985 (todo-item-undone): If there are marked not-done items, return
6986 point to its original position before signaling user error.
6987 (todo--user-error-if-marked-done-item): New function.
6988 (todo-edit-item--header, todo-edit-item--diary-inclusion)
6989 (todo-item-done): Use it.
6991 2014-07-28 Glenn Morris <rgm@gnu.org>
6993 * files.el (toggle-read-only): Re-add basic doc-string.
6994 * vc/vc-hooks.el (vc-toggle-read-only): Tweak obsolescence mesage.
6996 * progmodes/prolog.el (prolog-mode-keybindings-edit):
6997 Replace missing `switch-to-prolog' with `run-prolog'.
6998 (switch-to-prolog): Define as (obsolete) alias, as in 23.4.
7000 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
7002 * calendar/todo-mode.el (todo-set-top-priorities): Fix overwriting
7003 of file-wide setting when changing category-wide setting.
7005 2014-07-28 Stephen Berman <stephen.berman@gmx.net>
7007 * doc-view.el (doc-view-open-text): Don't require that the
7008 document is saved in a file (e.g., email attachment).
7010 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7012 Parse completion input in a iPython friendly way. (Bug#18084)
7013 * progmodes/python.el
7014 (python-shell-completion-at-point): Rename from
7015 python-shell-completion-complete-at-point.
7016 (inferior-python-mode): Use it.
7017 (python-completion-at-point): Rename from
7018 python-completion-complete-at-point. Parse input up to first
7019 backward occurrence of whitespace, open-paren, close-paren or
7021 (python-mode): Use it.
7023 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7025 * progmodes/python.el
7026 (python-shell-with-shell-buffer): New macro.
7027 (python-shell-font-lock-get-or-create-buffer)
7028 (python-shell-font-lock-kill-buffer)
7029 (python-shell-font-lock-with-font-lock-buffer)
7030 (python-shell-font-lock-cleanup-buffer)
7031 (python-shell-font-lock-toggle): Use it.
7032 (python-shell-font-lock-turn-on)
7033 (python-shell-font-lock-turn-off): Use it. Make command.
7035 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7037 Grab all Python process output before inferior-python-mode hooks.
7038 * progmodes/python.el (inferior-python-mode):
7039 Call accept-process-output and sit-for to ensure all output for process
7040 has been received before running hooks.
7041 (python-shell-internal-get-or-create-process):
7042 Cleanup accept-process-output and sit-for calls.
7044 2014-07-28 Fabián Ezequiel Gallina <fgallina@gnu.org>
7046 More robust shell startup and code setup.
7047 * progmodes/python.el (python-shell-make-comint):
7048 Remove accept-process-output call.
7049 (python-shell-get-buffer): Return current buffer if major-mode is
7050 inferior-python-mode.
7051 (python-shell-get-or-create-process): Use it.
7052 (python-shell-send-setup-code): Send all setup code in one string,
7053 output success message and accept-process-output.
7055 2014-07-27 Eli Zaretskii <eliz@gnu.org>
7057 * scroll-bar.el (scroll-bar-toolkit-horizontal-scroll):
7058 Add rudimentary support for bidirectional text.
7060 2014-07-27 Martin Rudalics <rudalics@gmx.at>
7062 * frame.el (frame-notice-user-settings): Rewrite using
7063 frame-initial-frame-tool-bar-height.
7064 * menu-bar.el (menu-bar-horizontal-scroll-bar)
7065 (menu-bar-no-horizontal-scroll-bar): New functions.
7066 (menu-bar-showhide-scroll-bar-menu): Add bindings for horizontal
7068 * scroll-bar.el (scroll-bar-lines)
7069 (set-horizontal-scroll-bar-mode)
7070 (get-horizontal-scroll-bar-mode, horizontal-scroll-bar-mode)
7071 (scroll-bar-horizontal-drag-1, scroll-bar-horizontal-drag)
7072 (scroll-bar-toolkit-horizontal-scroll): New functions.
7073 (horizontal-scroll-bar-mode)
7074 (previous-horizontal-scroll-bar-mode)
7075 (horizontal-scroll-bar-mode-explicit): New variables.
7076 (horizontal-scroll-bar-mode): New option.
7077 (toggle-horizontal-scroll-bar): Do something.
7078 (top-level): Bind horizontal-scroll-bar mouse-1.
7079 * startup.el (tool-bar-originally-present): Remove variable.
7080 (command-line): Don't set tool-bar-originally-present.
7081 * window.el (window-min-height): Update doc-string.
7082 (window--dump-frame): Dump horizontal scroll bar values.
7083 (window--min-size-1): Handle minibuffer window separately.
7084 Count in margins and horizontal scroll bar. Return safe value
7085 iff IGNORE equals 'safe.
7086 (frame-windows-min-size): New function (used by frame resizing
7088 (fit-frame-to-buffer, fit-window-to-buffer): Count in horizontal
7090 (window--sanitize-window-sizes): New function.
7091 (window-split-min-size): Remove.
7092 (split-window): Count divider-width. Don't use
7093 `window-split-min-size' any more. Reword error messages.
7094 Sanitize windows sizes after splitting.
7096 2014-07-27 Thien-Thi Nguyen <ttn@gnu.org>
7098 Use `defvar-local' more.
7099 * progmodes/hideshow.el
7100 (hs-c-start-regexp, hs-block-start-regexp)
7101 (hs-block-start-mdata-select, hs-block-end-regexp)
7102 (hs-forward-sexp-func, hs-adjust-block-beginning): ...here;
7103 remove corresponding `make-variable-buffer-local' top-level calls.
7105 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
7107 Cleanup error signals. (Bug#18067)
7108 * progmodes/python.el
7109 (python-indent-shift-left): Use user-error instead.
7110 (python-shell-prompt-detect): Use lwarn with python group.
7111 (python-completion-complete-at-point)
7112 (python-eldoc--get-doc-at-point): Don't signal error.
7114 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
7116 Support for packages in Python shell. (Bug#13570)
7117 * progmodes/python.el (python-shell--package-depth): New var.
7118 (python-shell-package-enable): New command.
7119 (python-util-list-directories, python-util-list-files)
7120 (python-util-list-packages): New functions.
7122 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
7124 Faster comint output. (Bug#16875)
7125 * progmodes/python.el:
7126 (python-comint-output-filter-function): Make obsolete.
7127 (python-comint-postoutput-scroll-to-bottom): New function.
7128 (inferior-python-mode): Set comint-output-filter-functions to a
7131 2014-07-27 Fabián Ezequiel Gallina <fgallina@gnu.org>
7133 * progmodes/python.el (python-shell-font-lock-post-command-hook):
7134 Safeguard current point and undo history.
7136 2014-07-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
7138 Robust shell syntax highlighting. (Bug#18084, Bug#16875)
7139 * progmodes/python.el:
7140 (python-shell-prompt-input-regexps): Add iPython block prompt.
7141 (python-shell-output-syntax-table): Delete var.
7142 (python-shell-font-lock-with-font-lock-buffer): New macro.
7143 (python-shell-font-lock-get-or-create-buffer)
7144 (python-shell-font-lock-kill-buffer)
7145 (python-shell-font-lock-cleanup-buffer)
7146 (python-shell-font-lock-post-command-hook)
7147 (python-shell-font-lock-turn-off): New functions.
7148 (python-shell-font-lock-turn-on): New function.
7149 (inferior-python-mode): Use it.
7150 (python-shell-font-lock-toggle): New command.
7151 (python-shell-font-lock-enable): Rename from
7152 python-shell-enable-font-lock.
7153 (run-python-internal): Use it.
7154 (python-shell-font-lock-comint-output-filter-function): New function.
7155 (python-shell-comint-end-of-output-p): New function.
7156 (python-shell-output-filter): Use it.
7157 (python-util-comint-last-prompt): New function.
7158 (python-util-text-properties-replace-name): New function.
7160 2014-07-25 Glenn Morris <rgm@gnu.org>
7162 * vc/ediff-init.el (ediff-toggle-read-only-function):
7163 * vc/ediff-util.el (ediff-toggle-read-only):
7164 Replace obsolete toggle-read-only with read-only-mode.
7166 2014-07-24 Michael Albinus <michael.albinus@gmx.de>
7168 * net/tramp-cache.el (tramp-flush-file-function): Wrap the code
7169 with `save-match-data'. (Bug#18095)
7171 2014-07-21 Vincent Belaïche <vincentb1@users.sourceforge.net>
7173 * ses.el (ses-truncate-cell): Use cl-progv instead of eval in
7174 order to ensure that row and col are lexically bound inside the
7177 2014-07-21 Glenn Morris <rgm@gnu.org>
7179 * progmodes/hideif.el (hide-ifdef-mode-submap):
7180 Also substitute read-only-mode.
7181 * bindings.el (mode-line-toggle-read-only):
7182 * bs.el (bs-toggle-readonly):
7183 * buff-menu.el (Buffer-menu-toggle-read-only):
7184 * dired.el (dired-toggle-read-only):
7185 * files.el (view-read-only, find-file-read-only)
7186 (find-file-read-only-other-window)
7187 (find-file-read-only-other-frame):
7188 * progmodes/hideif.el (hide-ifdef-toggle-outside-read-only):
7189 Doc fixes re toggle-read-only.
7191 2014-07-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
7193 * progmodes/python.el: Add comment about pipe buffering and
7194 solutions for missing/delayed output in inferior Python shells.
7197 * progmodes/python.el (python-mode): Don't set
7198 mode-require-final-newline. (Bug#17990)
7200 Make python.el work with IPython automatically. (Bug#15510)
7201 * progmodes/python.el:
7202 (python-shell-completion-setup-code): New value supporting iPython.
7203 (python-shell-completion-string-code): New value supporting iPython.
7204 (python-shell-completion-get-completions): Use them.
7205 (python-shell-completion-module-string-code): Make obsolete.
7206 (python-shell-prompt-input-regexps)
7207 (python-shell-prompt-output-regexps): Add safeguard for ipdb.
7208 (python-shell-output-filter): Fix comment typo.
7210 Fix Python shell prompts detection for remote hosts.
7211 * progmodes/python.el (python-shell-prompt-detect):
7212 Replace call-process with process-file and make it more robust.
7214 Autodetect Python shell prompts. (Bug#17370)
7215 * progmodes/python.el:
7216 (python-shell-interpreter-interactive-arg)
7217 (python-shell-prompt-detect-enabled)
7218 (python-shell-prompt-detect-failure-warning)
7219 (python-shell-prompt-input-regexps)
7220 (python-shell-prompt-output-regexps): New vars.
7221 (python-shell-prompt-calculated-input-regexp)
7222 (python-shell-prompt-calculated-output-regexp): New vars.
7223 (python-shell-get-process-name)
7224 (python-shell-internal-get-process-name)
7225 (python-shell-output-filter)
7226 (python-shell-completion-get-completions): Use them.
7227 (python-shell-prompt-detect)
7228 (python-shell-prompt-validate-regexps): New functions.
7229 (python-shell-prompt-set-calculated-regexps): New function.
7230 (inferior-python-mode): Use it. Also honor overriden
7231 python-shell-interpreter and python-shell-interpreter-args.
7232 (python-shell-make-comint): Honor overriden
7233 python-shell-interpreter and python-shell-interpreter-args.
7234 (python-shell-get-or-create-process): Make it testable by allowing
7235 to call run-python non-interactively.
7236 (python-util-valid-regexp-p): New function.
7237 (python-shell-prompt-regexp, python-shell-prompt-block-regexp)
7238 (python-shell-prompt-output-regexp)
7239 (python-shell-prompt-pdb-regexp): Use it as defcustom :safe.
7241 2014-07-21 Stefan Monnier <monnier@iro.umontreal.ca>
7243 * emacs-lisp/smie.el (smie-config--guess-1): Split from
7245 (smie-config--guess): Use it.
7247 * emacs-lisp/edebug.el: Use nadvice.
7248 (edebug-original-read): Remove.
7249 (edebug--read): Rename from edebug-read and add `orig' arg.
7250 (edebug-uninstall-read-eval-functions)
7251 (edebug-install-read-eval-functions): Use nadvice.
7252 (edebug-read-sexp, edebug-read-storing-offsets, edebug-read-symbol)
7253 (edebug-read-and-maybe-wrap-form1, edebug-instrument-callee)
7254 (edebug-read-string, edebug-read-function): Use just `read'.
7255 (edebug-original-debug-on-entry): Remove.
7256 (edebug--debug-on-entry): Rename from edebug-debug-on-entry and add
7258 (debug-on-entry): Override with nadvice.
7260 * mouse.el (tear-off-window): Rename from mouse-tear-off-window since
7261 it also makes sense to bind it to a non-mouse event.
7263 * vc/vc-bzr.el (vc-bzr-shelve): Make it operate on fileset.
7265 2014-07-19 Stefan Monnier <monnier@iro.umontreal.ca>
7267 * xt-mouse.el (xterm-mouse-event): Don't assume last-click is non-nil
7270 * rect.el (rectangle--string-preview): Don't assume there
7271 a non-nil default (bug#17984).
7273 2014-07-16 Glenn Morris <rgm@gnu.org>
7275 * desktop.el (after-init-hook): Disable startup frame restoration
7276 in non-graphical situations. (Bug#17693)
7278 * vc/vc-dispatcher.el (vc-log-edit): Do set up the log buffer
7279 if it was "empty", or used for a different set of files. (Bug#17884)
7281 2014-07-16 Eli Zaretskii <eliz@gnu.org>
7283 * bindings.el (mode-line-remote): If default-directory is not a
7284 string, don't call file-remote-p on it; instead state in the
7285 help-echo that it is nil. (Bug#17986)
7287 2014-07-14 Daniel Colascione <dancol@dancol.org>
7289 * progmodes/cc-langs.el: Change comments from `cl-macroexpand-all'
7290 to `macroexpand-all'
7292 * progmodes/cc-defs.el (c-lang-defconst-eval-immediately):
7293 Use `macroexpand-all' instead of `cl-macroexpand-all'.
7295 2014-07-12 Paul Eggert <eggert@cs.ucla.edu>
7297 Fix bug: C-x v v discarded existing log message (Bug#17884).
7298 * vc/vc-dispatcher.el (vc-log-edit):
7299 Don't clobber an already-existing log message.
7301 2014-07-12 Glenn Morris <rgm@gnu.org>
7303 * vc/log-edit.el (log-edit-changelog-entries):
7304 Check for a visited-but-never-saved ChangeLog.
7306 2014-07-12 Stefan Monnier <monnier@iro.umontreal.ca>
7308 * vc/log-edit.el (log-edit-changelog-entries): Don't both visiting
7309 a non-existing file (bug#17970).
7311 * faces.el (face-name): Undo last change.
7312 (x-resolve-font-name): Don't call face-name (bug#17956).
7314 2014-07-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
7316 Fix dedenters and electric colon handling. (Bug#15163)
7317 * progmodes/python.el
7318 (python-rx-constituents): Add dedenter and block-ender.
7319 (python-indent-dedenters, python-indent-block-enders): Delete.
7320 (python-indent-context): Return new case for dedenter-statement.
7321 (python-indent-calculate-indentation): Handle new case.
7322 (python-indent-calculate-levels): Fix levels calculation for
7323 dedenter statements.
7324 (python-indent-post-self-insert-function): Fix colon handling.
7325 (python-info-dedenter-opening-block-message): New function.
7326 (python-indent-line): Use it.
7327 (python-info-closing-block)
7328 (python-info-closing-block-message): Remove.
7329 (python-info-dedenter-opening-block-position)
7330 (python-info-dedenter-opening-block-positions)
7331 (python-info-dedenter-statement-p): New functions.
7333 2014-07-11 Dmitry Antipov <dmantipov@yandex.ru>
7335 * files.el (out-of-memory-warning-percentage): New defcustom.
7336 (warn-maybe-out-of-memory): Use it.
7338 2014-07-11 Michael Albinus <michael.albinus@gmx.de>
7340 * subr.el (read-passwd): Use `read-hide-char' if non-nil. Bind it
7341 when calling `read-string'. (Bug#17839)
7343 2014-07-10 Eli Zaretskii <eliz@gnu.org>
7345 * files.el (warn-maybe-out-of-memory): Fix the wording of the
7348 2014-07-10 Dmitry Antipov <dmantipov@yandex.ru>
7350 * files.el (warn-maybe-out-of-memory): New function.
7351 (find-file-noselect): Use it.
7353 2014-07-09 Sam Steingold <sds@gnu.org>
7355 * progmodes/cperl-mode.el (cperl-block-p): Treat the perl keyword
7356 `constant' like `bless', `return' &c
7358 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
7360 * rect.el (apply-on-rectangle): Check forward-line really moved to the
7363 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
7365 * progmodes/sh-script.el (sh-smie-sh-rules): Don't align with a && in
7366 the middle of a line (bug#17896).
7368 2014-07-09 Juri Linkov <juri@jurta.org>
7370 * startup.el (command-line): Append displaying the warning about
7371 the errors in the init file to the end of `after-init-hook'.
7374 * faces.el (face-name): Return input arg `face' as-is
7375 when it's not a symbol.
7376 (x-resolve-font-name): Don't check if the face is a symbol.
7379 * facemenu.el (list-colors-print): In help-echo format use %.2f
7380 instead of %d because now HSV values are floating-point components
7381 between 0.0 and 1.0.
7383 2014-07-09 Glenn Morris <rgm@gnu.org>
7385 * emulation/cua-rect.el (cua--activate-rectangle):
7386 Avoid setting cua--rectangle to nil. (Bug#17877)
7388 2014-07-09 Stephen Berman <stephen.berman@gmx.net>
7390 * calendar/todo-mode.el: Fix wrong-type-argument error when
7391 marking multiple consecutive items.
7392 (todo-toggle-mark-item): Don't try to mark the empty lines at the
7393 end of the todo and done items sections. Note in doc string that
7394 items marked by passing a numeric prefix argument can include the
7395 last todo and first done items.
7396 (todo-mark-category): Don't try to mark the empty line between the
7397 todo and done items sections.
7399 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
7401 * emacs-lisp/edebug.el (edebug-eval-defun): Print result using
7402 proper Lisp quoting (bug#17934).
7404 * progmodes/ruby-mode.el (ruby-mode-variables): Don't meddle with
7405 require-final-newline since prog-mode already took care of it (bug#17947).
7407 2014-07-09 Stephen Berman <stephen.berman@gmx.net>
7409 * calendar/todo-mode.el: Fix two bugs. Shorten Commentary and
7410 refer to the Todo mode Info manual. Update the comment on
7412 (todo-find-filtered-items-file): Add todo-prefix overlays.
7413 (todo-filter-items): Reorder a let-bound variable to avoid a
7414 wrong-type-argument error on canceling the file choice dialog.
7416 2014-07-09 Stefan Monnier <monnier@iro.umontreal.ca>
7418 * progmodes/octave.el (inferior-octave-mode):
7419 Set comint-input-ring-size to a number (bug#17912).
7421 2014-07-09 Juri Linkov <juri@jurta.org>
7423 * desktop.el (desktop-minor-mode-table): Add `defining-kbd-macro'
7424 and `isearch-mode' associated with nil. (Bug#17849)
7426 2014-07-08 Stefan Monnier <monnier@iro.umontreal.ca>
7428 * linum.el (linum--face-height): New function (bug#17813).
7429 (linum-update-window): Use it to adjust margin to linum's width.
7431 * leim/quail/sisheng.el (sisheng-list): Don't bother with-case-table.
7432 * eshell/em-smart.el (eshell-smart-scroll-window):
7433 Use with-selected-window.
7435 * xt-mouse.el (xterm-mouse-translate-1): Intern drag event (bug#17894).
7436 Remove also pointless window&mark manipulation.
7438 * progmodes/perl-mode.el: Use syntax-ppss; fix one indentation case.
7439 (perl-indent-line): Use syntax-ppss to detect we're in a doc-section.
7440 (perl-continuation-line-p): Don't skip over anything else than labels.
7441 Return the previous char.
7442 (perl-calculate-indent): Use syntax-ppss instead of parse-start
7443 and update callers accordingly. For continuation lines, check the
7444 the case of array hashes.
7445 (perl-backward-to-noncomment): Make it non-interactive.
7446 (perl-backward-to-start-of-continued-exp): Rewrite.
7448 2014-07-08 Sam Steingold <sds@gnu.org>
7450 * progmodes/inf-lisp.el (lisp-eval-paragraph, lisp-eval-form-and-next):
7453 2014-07-08 Juri Linkov <juri@jurta.org>
7455 * vc/vc-annotate.el (vc-annotate-background-mode): New defcustom.
7456 (vc-annotate-color-map): Use less saturated colors (20%) for
7458 (vc-annotate-very-old-color): Add default value for background-mode.
7459 (vc-annotate-background): Set default value to nil since now text on
7460 the default backgrounds should be legible in light and dark modes.
7461 (vc-annotate-lines): Use `vc-annotate-background-mode'. Doc fix.
7464 2014-07-08 Juri Linkov <juri@jurta.org>
7466 * simple.el (transpose-chars): Don't move point into read-only area.
7469 2014-07-08 Juri Linkov <juri@jurta.org>
7471 * window.el (with-displayed-buffer-window): New macro.
7472 (with-temp-buffer-window, with-current-buffer-window):
7473 Use `macroexp-let2' to evaluate and bind variables
7474 in the same order as macro arguments.
7475 (display-buffer--action-function-custom-type):
7476 Add `display-buffer-below-selected' and `display-buffer-at-bottom'.
7478 * minibuffer.el (minibuffer-completion-help): Replace
7479 `with-output-to-temp-buffer' with `with-displayed-buffer-window'
7480 with actions that display *Completions* at-bottom when called
7481 from the minibuffer, or below-selected in a normal buffer.
7482 Associate `window-height' with `fit-window-to-buffer'.
7483 Let-bind `pop-up-windows' to nil.
7485 * dired.el (dired-mark-pop-up): Use `with-displayed-buffer-window'
7486 instead of `with-current-buffer-window'. (Bug#17809)
7488 2014-07-07 Luke Lee <luke.yx.lee@gmail.com>
7490 * progmodes/hideif.el (hide-ifdef-env): Change to global.
7491 (hide-ifdef-env-backup): New variable.
7492 (hide-ifdef-expand-reinclusion-protection, hide-ifdef-header-regexp):
7493 New customizable variables.
7494 (hif-clear-all-ifdef-defined): New defun.
7495 (hif-merge-ifdef-region, hide-ifdef-region-internal, hide-ifdef-region)
7496 (hif-show-ifdef-region): Merge hidden regions to prevent continuous "...".
7497 (hif-tokenize): Fix for MS-DOS/Win EOL style.
7498 (hif-endif-to-ifdef, hif-make-range, hif-find-range, hif-possibly-hide):
7499 Fix bug to hide the correct #elif region(s).
7500 (hif-range-elif): New defun.
7501 (hif-recurse-level): New var.
7502 (hif-evaluate-region, hif-evaluate-macro): New defun.
7503 (hide-ifdef-guts): Prevent reinclusion protected C/C++ headers from
7505 (hide-ifdef-define, hide-ifdefs, hide-ifdef-block, show-ifdef-block):
7508 2014-07-04 Michael Albinus <michael.albinus@gmx.de>
7510 * net/dbus.el (dbus-peer-handler): New defun.
7511 (dbus-register-service): Register it. (Bug#17858)
7512 (dbus-managed-objects-handler): Fix docstring.
7514 2014-07-04 Phil Sainty <psainty@orcon.net.nz>
7516 * emacs-lisp/lisp.el (narrow-to-defun-include-comments): New var.
7517 (narrow-to-defun): New arg include-comments, defaulting to it
7520 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
7522 * rect.el (rectangle--highlight-for-redisplay): Don't pass `orig' with
7523 different calling convention to rectangle--unhighlight-for-redisplay.
7525 2014-07-03 Michael Albinus <michael.albinus@gmx.de>
7527 * net/tramp.el (tramp-call-process): Handle error strings.
7529 * net/tramp-adb.el (tramp-adb-sh-fix-ls-output): Use `bolp'.
7531 * net/tramp-sh.el (tramp-sh-handle-set-visited-file-modtime)
7532 (tramp-sh-handle-verify-visited-file-modtime): Use `point-at-eol'.
7534 * net/trampver.el: Update release number.
7536 2014-07-03 Juri Linkov <juri@jurta.org>
7538 * desktop.el (desktop-save): Rename arg `auto-save' to
7539 `only-if-changed'. Doc fix. (Bug#17873)
7541 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
7543 * mouse.el (mouse-yank-primary, mouse-yank-secondary):
7544 Use insert-for-yank (bug#17271).
7546 2014-07-03 Leo Liu <sdl.web@gmail.com>
7548 * emacs-lisp/pp.el (pp-eval-expression, pp-eval-last-sexp):
7549 Support lexical-binding.
7551 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
7553 * vc/log-edit.el (log-edit-goto-eoh): New function.
7554 (log-edit--match-first-line): Use it (bug#17861).
7556 2014-07-03 Glenn Morris <rgm@gnu.org>
7558 * vc/log-edit.el (log-edit-hook): Add missing :version.
7560 2014-07-03 Fabián Ezequiel Gallina <fgallina@gnu.org>
7562 * progmodes/python.el (python-indent-post-self-insert-function):
7563 Enhancements to electric indentation behavior inside
7566 2014-07-03 Stefan Monnier <monnier@iro.umontreal.ca>
7568 * ps-def.el (ps-generate-postscript-with-faces1): Don't mess with
7569 buffer-invisibility-spec (bug#17867).
7571 2014-07-03 Andreas Schwab <schwab@linux-m68k.org>
7573 * vc/vc-git.el (vc-git-checkin): When operating on the whole tree
7576 2014-07-03 Glenn Morris <rgm@gnu.org>
7578 * cus-edit.el (help):
7579 * finder.el (finder-known-keywords):
7580 * help.el (help-for-help-internal):
7581 * vc/ediff-mult.el (ediff-meta-buffer-verbose-message)
7582 (ediff-redraw-registry-buffer):
7583 * vc/ediff-ptch.el (ediff-patch-file-internal):
7584 Doc fixes re "online" help. (Bug#17803)
7586 * progmodes/idlwave.el (idlwave): Update url-link for custom group.
7587 (idlwave-mode): Doc URL update.
7589 2014-07-01 Juri Linkov <juri@jurta.org>
7591 * man.el: Display man pages immediately and use process-filter
7592 to format them asynchronously.
7593 (Man-width): Doc fix.
7595 (Man-start-calling): Use `with-selected-window' to get
7596 `frame-width' and `window-width'.
7597 (Man-getpage-in-background): Call `Man-notify-when-ready'
7598 immediately after creating a new buffer. Call `Man-mode' and set
7599 `mode-line-process' in the created buffer. Set process-filter to
7600 `Man-bgproc-filter' in start-process branch. In call-process branch
7601 call either `Man-fontify-manpage' or `Man-cleanup-manpage'.
7602 Use `Man-start-calling' inside `with-current-buffer'.
7603 (Man-fontify-manpage): Don't print messages. Fix boundary condition.
7604 (Man-cleanup-manpage): Don't print messages.
7605 (Man-bgproc-filter): New function.
7606 (Man-bgproc-sentinel): Add `save-excursion' to keep point when
7607 user moved it during asynchronous formatting. Move calls of
7608 `Man-fontify-manpage' and `Man-cleanup-manpage' to
7609 `Man-bgproc-filter'. Move the call of `Man-mode' to
7610 `Man-getpage-in-background'. Use `quit-restore-window'
7611 instead of `kill-buffer'. Use `message' instead of `error'
7612 because errors are caught by process sentinel.
7613 (Man-mode): Move calls of `Man-build-page-list',
7614 `Man-strip-page-headers', `Man-unindent', `Man-goto-page' to
7615 `Man-bgproc-sentinel'. Doc fix. (Bug#2588, bug#5054, bug#9084, bug#17831)
7617 * man.el (Man-bgproc-sentinel): Use `Man-page-from-arguments'
7618 for the message about the man page cleaned up.
7620 2014-07-01 Mario Lang <mlang@delysid.org>
7622 * net/gnutls.el (gnutls-negotiate): Prevent destructive modification of
7623 cosutomization option `gnutls-verify-error'.
7625 2014-07-01 Stefan Monnier <monnier@iro.umontreal.ca>
7627 * simple.el (deactivate-mark, set-mark-command, handle-shift-selection):
7628 Don't keep transient-mark-mode buffer-local when not needed (bug#6316).
7630 * xt-mouse.el (turn-on-xterm-mouse-tracking-on-terminal)
7631 (turn-off-xterm-mouse-tracking-on-terminal): Don't burp if the terminal
7632 is suspended (bug#17857).
7634 2014-07-01 Michael Albinus <michael.albinus@gmx.de>
7636 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
7637 Prefer utf-8 coding. (Bug#17859)
7639 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
7641 * emacs-lisp/subr-x.el (string-reverse): Define as obsolete alias
7644 2014-06-30 Glenn Morris <rgm@gnu.org>
7646 * emacs-lisp/autoload.el (autoload-ensure-writable): New variable.
7647 (autoload-ensure-default-file): Maybe make existing output writable.
7648 * Makefile.in (AUTOGEN_VCS): Remove.
7649 (autoloads): Use autoload-ensure-writable rather than AUTOGEN_VCS.
7651 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
7653 * emacs-lisp/subr-x.el (string-reverse): Use `reverse'.
7655 2014-06-30 Fabián Ezequiel Gallina <fgallina@gnu.org>
7657 New if-let, when-let, thread-first and thread-last macros.
7659 * emacs-lisp/subr-x.el
7660 (internal--listify, internal--check-binding)
7661 (internal--build-binding-value-form, internal--build-binding)
7662 (internal--build-bindings): New functions.
7663 (internal--thread-argument, thread-first, thread-last)
7664 (if-let, when-let): New macros.
7666 2014-06-30 Grégoire Jadi <daimrod@gmail.com>
7668 * net/rcirc.el (rcirc-buffer-process): Restore previous
7669 behaviour. (Bug#17772)
7671 2014-06-29 Alan Mackenzie <acm@muc.de>
7673 Don't call c-parse-state when c++-template-syntax-table is active.
7674 * progmodes/cc-engine.el (c-guess-continued-construct CASE G)
7675 (c-guess-basic-syntax CASE 5D.3): Rearrange so that
7676 c-syntactic-skip-backwards isn't called with the pertinent syntax table.
7678 2014-06-28 Stephen Berman <stephen.berman@gmx.net>
7680 * calendar/todo-mode.el (todo-set-top-priorities): Fix logic to
7681 account for file-wide setting of todo-top-priorities-overrides.
7682 Make code a bit cleaner.
7684 2014-06-28 Glenn Morris <rgm@gnu.org>
7686 * net/eww.el (eww-mode) <eww-current-title>: Make local. (Bug#17860)
7688 2014-06-28 Stephen Berman <stephen.berman@gmx.net>
7690 * calendar/todo-mode.el (todo-prefix-overlays): If there is no
7691 category-wide setting of todo-top-priorities-overrides, check for
7692 a file-wide setting and fontify accordingly.
7694 2014-06-28 Glenn Morris <rgm@gnu.org>
7696 * subr.el (read-passwd): Warn about batch mode. (Bug#17839)
7698 2014-06-28 Stefan Monnier <monnier@iro.umontreal.ca>
7700 * progmodes/hideif.el: Use lexical-binding. Fix up cl-lib usage.
7702 2014-06-28 K. Handa <handa@gnu.org>
7706 * composite.el: Setup composition-function-table for dotted circle.
7707 (compose-gstring-for-dotted-circle): New function.
7709 * international/characters.el: Add category "^" to all
7710 non-spacing characters.
7712 2014-06-28 Glenn Morris <rgm@gnu.org>
7714 * Makefile.in (doit): Remove force rule.
7715 (custom-deps, finder-data, autoloads, update-subdirs)
7716 (compile-one-process): PHONY targets do not need force rules.
7718 * Makefile.in (compile-main, compile, compile-always):
7719 No need to explicitly pass variables to ourself in recursive calls.
7721 2014-06-28 Stefan Monnier <monnier@iro.umontreal.ca>
7723 * files.el (minibuffer-with-setup-hook): Evaluate the first arg eagerly.
7725 2014-06-26 Glenn Morris <rgm@gnu.org>
7727 * Makefile.in (update-authors): Update for moved authors.el.
7729 2014-06-26 Leo Liu <sdl.web@gmail.com>
7731 * skeleton.el (skeleton-end-hook): Default to nil and move the
7732 work to skeleton-insert. (Bug#17850)
7734 2014-06-26 Dmitry Antipov <dmantipov@yandex.ru>
7736 * calc/calc-alg.el (math-beforep):
7737 * progmodes/cc-guess.el (c-guess-view-reorder-offsets-alist-in-style):
7738 Simplify because string-lessp can accept symbols as args.
7740 2014-06-26 Daiki Ueno <ueno@gnu.org>
7742 * emacs-lisp/package.el (package--check-signature):
7743 If package-check-signature is allow-unsigned, don't signal error when
7744 we can't verify signature because of missing public key
7747 2014-06-26 Glenn Morris <rgm@gnu.org>
7749 * emacs-lisp/cl-macs.el (help-add-fundoc-usage):
7750 Remove outdated declaration.
7752 * emacs-lisp/authors.el (authors-valid-file-names)
7753 (authors-renamed-files-alist): Additions.
7755 2014-06-26 Leo Liu <sdl.web@gmail.com>
7757 * textmodes/picture.el (picture-set-tab-stops):
7758 * ruler-mode.el (ruler-mode-mouse-add-tab-stop)
7759 (ruler-mode-ruler): Fix to work with nil tab-stop-list.
7761 * progmodes/asm-mode.el (asm-calculate-indentation):
7762 Use indent-next-tab-stop.
7764 * indent.el (indent-accumulate-tab-stops): New function.
7766 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
7768 * emacs-lisp/package.el (package-list-unsigned): New var (bug#17625).
7769 (package-desc-status): Obey it.
7771 2014-06-26 Stephen Berman <stephen.berman@gmx.net>
7773 * calendar/todo-mode.el: Fix two bugs.
7774 (todo-insert-item--basic): If user cancels item insertion to
7775 another category before setting priority, show original category
7776 whether it is in the same or a different file.
7777 (todo-set-item-priority): After selecting category, instead of
7778 moving point to top, which extends an active region, restore it.
7780 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
7782 * help-fns.el (describe-function-1): Check file-name is a string before
7783 calling help-fns--autoloaded-p (bug#17564).
7785 2014-06-26 Juri Linkov <juri@jurta.org>
7787 * desktop.el (desktop-auto-save-enable)
7788 (desktop-auto-save-disable): New functions.
7789 (desktop-save-mode, desktop-auto-save-timeout): Use them.
7790 (desktop-read): Disable the autosave before loading the desktop,
7791 and enable afterwards. (Bug#17351)
7793 2014-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
7795 Fix some indentation problem with \; and pipes (bug#17842).
7796 * progmodes/sh-script.el (sh-mode-syntax-table): Set syntax of ;|&.
7797 (sh-smie--default-forward-token, sh-smie--default-backward-token):
7799 (sh-smie-sh-forward-token, sh-smie-sh-backward-token)
7800 (sh-smie-rc-forward-token, sh-smie-rc-backward-token): Use them.
7801 (sh-smie-sh-rules): Fix indentation of a pipe at BOL.
7803 2014-06-26 Glenn Morris <rgm@gnu.org>
7805 * emacs-lisp/find-func.el (find-function-C-source-directory):
7806 Use file-accessible-directory-p.
7808 * ps-samp.el: Make it slightly less awful.
7809 (ps-rmail-mode-hook, ps-gnus-article-prepare-hook, ps-vm-mode-hook):
7810 (ps-gnus-summary-setup, ps-info-mode-hook): Use [print] key.
7811 Only set local values.
7812 (ps-article-subject, ps-article-author): Use standard functions
7813 like mail-fetch-field.
7814 (ps-info-file, ps-info-node): Use match-string.
7815 (ps-jts-ps-setup, ps-jack-setup): Remove, merging into...
7816 (ps-samp-ps-setup): ... new function.
7818 * progmodes/idlw-shell.el (idlwave-shell-make-temp-file):
7819 Optimize away code unneeded on any modern Emacs.
7821 * emacs-lisp/authors.el: Move to ../admin.
7823 * emacs-lisp/ert.el (ert-summarize-tests-batch-and-exit): New.
7825 2014-06-26 Luke Lee <luke.yx.lee@gmail.com>
7827 * progmodes/hideif.el (hif-string-to-number): Fix return value bug.
7828 (hif-simple-token-only, hif-tokenize): Comment in detail mainly for
7829 performance enhancements.
7830 (hif-parse-if-exp): Rename to `hif-parse-exp'. Enhance for macro
7832 (hif-factor, hif-string-concatenation, intern-safe): Support string
7833 concatenation and argumented macro expansion.
7834 (hif-if-valid-identifier-p, hif-define-operator, hif-flatten)
7835 (hif-expand-token-list, hif-get-argument-list, hif-define-macro)
7836 (hif-delimit, hif-macro-supply-arguments, hif-invoke, hif-canonicalize)
7837 (hif-canonicalize-tokens, hif-place-macro-invocation)
7838 (hif-parse-macro-arglist): Mostly new functions for supporting
7839 argumented macro expansion.
7840 (hif-string-concatenation, hif-stringify, hif-token-concat)
7841 (hif-token-stringification, hif-token-concatenation):
7842 Stringification and concatenation.
7843 (hif-find-next-relevant): Fix comments.
7844 (hif-ifdef-to-endif, hif-looking-at-elif, hif-hide-line): Bug fix for
7845 some cases involving #elif.
7846 (hif-find-define, hif-add-new-defines): New functions for automatically
7847 scanning of defined symbols.
7848 (hide-ifdef-guts): Fix for defined symbol auto scanning.
7849 (hide-ifdef-undef): Fix behavior to match CPP.
7851 2014-06-25 Glenn Morris <rgm@gnu.org>
7853 * Makefile.in ($(lisp)/progmodes/cc-defs.elc)
7854 ($(lisp)/progmodes/cc-fonts.elc, $(lisp)/progmodes/cc-langs.elc)
7855 ($(lisp)/progmodes/cc-vars.elc): Drop hand-written deps on non-cc
7856 files. They are not relevant to the original issue (bug#1004),
7857 and cause unnecessary recompilation (bug#2151).
7859 2014-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
7861 * play/landmark.el: Use lexical-binding and avoid `intangible'.
7862 (landmark--last-pos): New var.
7863 (landmark--intangible-chars): New const.
7864 (landmark--intangible): New function.
7865 (landmark-mode, landmark-move): Use it.
7866 (landmark-mode): Remove properties.
7867 (landmark-plot-square, landmark-point-square, landmark-goto-xy)
7868 (landmark-cross-qtuple):
7869 Don't worry about `intangible' any more.
7870 (landmark-click, landmark-point-y): Same; and don't assume point-min==1.
7871 (landmark-init-display): Don't set `intangible' and `point-entered'.
7872 (square): Remove. Inline it instead.
7873 (landmark--distance): Rename from `distance'.
7874 (landmark-calc-distance-of-robot-from): Rename from
7875 calc-distance-of-robot-from.
7876 (landmark-calc-smell-internal): Rename from calc-smell-internal.
7878 2014-06-25 Dmitry Antipov <dmantipov@yandex.ru>
7880 * files.el (dir-locals-find-file, file-relative-name):
7881 * info.el (Info-complete-menu-item):
7882 * minibuffer.el (completion-table-subvert): Prefer string-prefix-p
7883 to compare-strings to avoid out-of-range errors.
7884 * subr.el (string-prefix-p): Adjust to match strict range
7885 checking in compare-strings.
7887 2014-06-24 Leonard Randall <leonard.a.randall@gmail.com> (tiny change)
7889 * textmodes/reftex-parse.el (reftex-using-biblatex-p): Make search
7890 for comment lines non-greedy and stopping at newlines to fix stack
7891 overflows with large files.
7893 2014-06-24 Eli Barzilay <eli@barzilay.org>
7895 * calculator.el (calculator-last-input): Drop 'ascii-character property
7898 2014-06-24 Leo Liu <sdl.web@gmail.com>
7900 * align.el (align-adjust-col-for-rule): Unbreak due to defaulting
7901 tab-stop-list to nil. (Bug#16381)
7903 * indent.el (indent-next-tab-stop): Rename from indent--next-tab-stop.
7904 (indent-rigidly-left-to-tab-stop)
7905 (indent-rigidly-right-to-tab-stop, tab-to-tab-stop)
7906 (move-to-tab-stop): Change callers.
7908 2014-06-24 Eli Zaretskii <eliz@gnu.org>
7910 * skeleton.el (skeleton-insert): Yet another fix of the doc string
7911 wrt behavior of \n as the first/last element of a skeleton.
7913 2014-06-24 Michael Albinus <michael.albinus@gmx.de>
7915 * net/tramp-adb.el (tramp-adb-handle-process-file):
7916 * net/tramp-sh.el (tramp-sh-handle-process-file):
7917 * net/tramp-smb.el (tramp-smb-handle-process-file): Do not raise
7918 the output buffer when DISPLAY is non-nil. (Bug#17815)
7920 2014-06-24 Glenn Morris <rgm@gnu.org>
7922 * play/landmark.el (landmark-move-down, landmark-move-up):
7923 Fix 2007-10-20 change - preserve horizontal position.
7925 2014-06-23 Sam Steingold <sds@gnu.org>
7927 * simple.el (kill-append): Remove undo boundary depending on ...
7928 (kill-append-merge-undo): New user option.
7930 2014-06-23 Stefan Monnier <monnier@iro.umontreal.ca>
7932 * simple.el (handle-shift-selection, exchange-point-and-mark)
7933 (activate-mark): Set transient-mark-mode buffer-locally (bug#6316).
7934 (transient-mark-mode): Use&set the global value.
7935 * mouse.el (mouse-set-region-1, mouse-drag-track): Idem.
7936 * emulation/edt.el (edt-emulation-off): Save&restore the global
7937 transient-mark-mode setting.
7938 * obsolete/pc-select.el (pc-selection-mode): Use the
7939 transient-mark-mode function.
7941 2014-06-23 Eli Zaretskii <eliz@gnu.org>
7943 * international/fontset.el (script-representative-chars):
7944 Add representative characters for scripts added in Unicode 7.0.
7945 (otf-script-alist): Synchronize with the latest registry of OTF
7948 * international/characters.el (char-script-table): Update for
7949 scripts added and codepoint ranges changed in Unicode 7.0.
7951 2014-06-23 Eli Barzilay <eli@barzilay.org>
7953 * calculator.el (calculator-standard-displayer): Fix bug in use of
7954 `calculator-groupize-number'.
7955 (calculator-funcall): Fix broken `cl-flet' use by moving it into the
7956 `eval' code, so it works in v24.3.1 too.
7957 (calculator-last-input): Comment to clarify purpose.
7959 2014-06-22 Mario Lang <mlang@delysid.org>
7961 * textmodes/rst.el (rst-comment-region): From from -> from.
7963 * net/tramp-adb.el (tramp-adb-send-command-and-check): And and -> and.
7965 2013-06-22 Dmitry Antipov <dmantipov@yandex.ru>
7967 * electric.el (electric-layout-post-self-insert-function):
7968 * emacs-lisp/ert.el (ert--insert-infos):
7969 * obsolete/vi.el (vi-set-mark):
7970 * term.el (term-handle-scroll):
7971 * textmodes/bibtex.el (bibtex-fill-field, bibtex-fill-entry):
7972 * wid-edit.el (widget-editable-list-value-create):
7973 Prefer point-marker to copy-marker of point.
7975 2014-06-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
7977 Fix completion retrieval parsing (bug#17209).
7978 * progmodes/python.el (python-mode):
7979 (python-util-strip-string): New function.
7980 (python-shell-completion-get-completions): Use it.
7982 2014-06-21 Eli Zaretskii <eliz@gnu.org>
7984 * skeleton.el (skeleton-insert): Fix last change.
7986 2014-06-21 Fabián Ezequiel Gallina <fgallina@gnu.org>
7988 Enhancements for outline integration (bug#17796).
7989 * progmodes/python.el (python-mode): Properly set
7990 outline-heading-end-regexp so that comments after colons for
7991 defuns are supported.
7993 2014-06-21 Eli Zaretskii <eliz@gnu.org>
7995 * skeleton.el (skeleton-insert): Doc fix.
7997 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
7999 * emacs-lisp/smie.el (smie-config--guess): Fix typo.
8000 (smie-config-guess): Use smie-config-local so the rules are obeyed
8003 * mouse.el (mouse-drag-line): Don't re-add to unread-comment-events,
8004 since it's already done inside the loop (bug#17819).
8006 2014-06-21 Martin Rudalics <rudalics@gmx.at>
8008 * mouse.el (mouse-drag-line): Re-remove code initially removed
8009 on 2013-03-09 and inadvertently reintroduced on 2013-11-30
8012 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
8014 * progmodes/sh-script.el (sh-smie-sh-rules): For { after &&, don't
8015 align with the surrounding parent (bug#17721).
8017 2014-06-21 Eli Zaretskii <eliz@gnu.org>
8019 * textmodes/texinfo.el (texinfo-mode): Set skeleton-end-newline
8021 (texinfo-insert-block, texinfo-insert-@end)
8022 (texinfo-insert-@example, texinfo-insert-@quotation): Adjust to
8023 local setting of skeleton-end-newline by adding an explicit \n to
8024 the skeletons where appropriate. (Bug#17801)
8026 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
8028 * emacs-lisp/smie.el (smie--hanging-eolp-function): New var.
8029 (smie-indent--hanging-p): Use it.
8030 * progmodes/sh-script.el (sh-set-shell): Set it (bug#17621).
8032 2014-06-21 Leo Liu <sdl.web@gmail.com>
8034 * simple.el (read-quoted-char): Don't let help chars pop up help
8037 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
8039 * progmodes/sh-script.el (sh-smie-sh-rules): Use same rule for && as
8042 * xt-mouse.el (xterm-mouse--read-event-sequence-1000):
8043 Drop unknown events instead of burping.
8045 2014-06-21 Eli Zaretskii <eliz@gnu.org>
8047 * term/w32-win.el (dynamic-library-alist): Support giflib 5.1.0
8048 and later. (Bug#17790)
8050 2014-06-21 Juri Linkov <juri@jurta.org>
8052 * dired.el (dired-mark-pop-up): Let-bind display-buffer-mark-dedicated
8053 to `soft'. (Bug#17554)
8055 2014-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
8057 * delsel.el (electric-newline-and-maybe-indent): Mark it as well
8060 2014-06-21 Dmitry Gutov <dgutov@yandex.ru>
8062 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Don't fontify
8063 `!' in `!~' with `font-lock-negation-char-face'. (Bug#17732)
8065 2014-06-21 Michael Albinus <michael.albinus@gmx.de>
8067 * net/dbus.el (dbus-call-method): Push only non D-Bus events into
8068 `unread-command-events'.
8070 2014-06-19 William Xu <william.xwl@gmail.com>
8072 * progmodes/hideif.el (hif-string-to-number): Don't return float for
8073 hex integer constants (bug#17807).
8075 2014-06-19 Stefan Monnier <monnier@iro.umontreal.ca>
8077 * international/mule-util.el (truncate-string-ellipsis): New var.
8078 (truncate-string-to-width): Use it.
8080 2014-06-19 Robert Brown <robert.brown@gmail.com> (tiny change)
8082 * emacs-lisp/lisp-mode.el (lisp-string-after-doc-keyword-p): New fun.
8083 (lisp-string-in-doc-position-p): New function, extracted from
8084 lisp-font-lock-syntactic-face-function.
8085 (lisp-font-lock-syntactic-face-function): Use them (bug#9130).
8087 2014-06-19 Grégoire Jadi <daimrod@gmail.com>
8089 * net/rcirc.el (rcirc-omit-mode): Fix recenter error. (Bug#17769)
8091 2014-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
8093 * play/bubbles.el (bubbles--initialize, bubbles--show-scores)
8094 (bubbles--game-over): Don't add `intangible' properties since they
8097 2014-06-18 Juri Linkov <juri@jurta.org>
8099 * vc/ediff-init.el (ediff-current-diff-Ancestor)
8100 (ediff-fine-diff-Ancestor, ediff-even-diff-A, ediff-even-diff-B)
8101 (ediff-even-diff-C, ediff-even-diff-Ancestor, ediff-odd-diff-A)
8102 (ediff-odd-diff-B, ediff-odd-diff-C, ediff-odd-diff-Ancestor):
8103 Add `min-colors 88' version with removed black/white foregrounds.
8106 2014-06-18 Juri Linkov <juri@jurta.org>
8108 * vc/diff-mode.el (diff-changed): Empty face definition to use
8109 `diff-removed' and `diff-added' on tty as well. (Bug#10181)
8110 (diff-context): Use darker color on light background and
8111 lighter color on dark background.
8113 2014-06-18 Juri Linkov <juri@jurta.org>
8115 * vc/diff-mode.el (diff-refine-changed): Rename from
8116 `diff-refine-change' for consistency with `diff-changed'.
8117 (diff-refine-change): Add obsolete face alias. (Bug#10181)
8119 * vc/smerge-mode.el (smerge-refined-changed): Rename from
8120 `smerge-refined-change'.
8121 (smerge-refined-change): Add obsolete face alias.
8123 2014-06-17 Stefan Monnier <monnier@iro.umontreal.ca>
8125 * rect.el (rectangle-preview): New custom.
8126 (rectangle): New group.
8127 (rectangle--pos-cols): Add `window' argument.
8128 (rectangle--string-preview-state, rectangle--string-preview-window):
8130 (rectangle--string-flush-preview, rectangle--string-erase-preview)
8131 (rectangle--space-to, rectangle--string-preview): New functions.
8132 (string-rectangle): Use them.
8133 (rectangle--inhibit-region-highlight): New var.
8134 (rectangle--highlight-for-redisplay): Obey it. Make sure
8135 `apply-on-region' uses the point-crutches of the right window.
8136 Use :align-to rather than multiple spaces.
8138 2014-06-16 Andrea Rossetti <andrea.rossetti@gmail.com> (tiny change)
8140 * ruler-mode.el (ruler-mode-window-col)
8141 (ruler-mode-mouse-set-left-margin)
8142 (ruler-mode-mouse-set-right-margin): Fix calculation of column
8143 from mouse position (Bug#17768).
8145 2014-06-16 Ron Schnell <ronnie@driver-aces.com>
8147 * play/dunnet.el (dun-doassign): Fix bug where UNIX variable assignment
8148 without varname or rhs causes crash.
8149 (dun-ftp): Fix bug where blank ftp password is allowed, making it
8150 impossible to win endgame.
8151 (dun-unix-verbs): Add ssh as alias to rlogin, because nobody knows what
8153 (dun-help): Bump version number; update contact info.
8155 2014-06-15 Eli Barzilay <eli@barzilay.org>
8157 * calculator.el (calculator-prompt, calculator-remove-zeros)
8158 (calculator-mode-hook, calculator-operators, calculator-stack)
8159 (calculator-mode): Tweak docstring.
8160 (calculator-user-operators): Tweak docstring, fix a bug in the last
8162 (calculator-displayer): `std' case has an optional boolean.
8163 (calculator-displayers): Use the new boolean to group in decimal mode.
8164 (calculator-mode-map, calculator, calculator-message)
8165 (calculator-op-arity, calculator-add-operators)
8166 (calculator-string-to-number, calculator-displayer-prev)
8167 (calculator-displayer-next, calculator-remove-zeros)
8168 (calculator-eng-display, calculator-number-to-string)
8169 (calculator-update-display, calculator-last-input)
8170 (calculator-clear-fragile, calculator-digit, calculator-decimal)
8171 (calculator-exp, calculator-saved-move, calculator-clear)
8172 (calculator-copy, calculator-put-value, calculator-help)
8173 (calculator-expt, calculator-truncate): Minor code improvements.
8174 (calculator-need-3-lines): New function pulling out code from
8176 (calculator-get-display): Rename from `calculator-get-prompt', and
8178 (calculator-push-curnum): Rename from `calculator-curnum-value', and
8179 extended for all uses of it. All callers changed.
8180 (calculator-groupize-number): New utility for splitting a number into
8182 (calculator-standard-displayer): Improve code, new optional argument to
8183 use comma-split groups, make second argument optional too to use with
8184 'left/'right inputs. All callers changed.
8185 (calculator-reduce-stack-once): New utility, doing the meat of what
8186 `calculator-reduce-stack' used to do, much improved (mostly using
8187 `pcase' for conciseness and clarity).
8188 (calculator-reduce-stack): Now doing just the reduction loop using
8189 `calculator-reduce-stack-once'.
8190 (calculator-funcall): Improve code, make it work in v24.3.1 too.
8191 (calculator-last-input): Improve code, remove some old cruft.
8192 (calculator-quit): Kill `calculator-buffer' in electric mode too.
8193 (calculator-integer-p): Remove.
8194 (calculator-fact): Improve code, make it work on non-integer values
8195 too (using truncated numbers).
8197 2014-06-15 Michael Albinus <michael.albinus@gmx.de>
8199 Sync with Tramp 2.2.10.
8201 * net/tramp.el (tramp-methods): Tweak docstring.
8202 (tramp-handle-file-accessible-directory-p): Check for
8203 `file-readable-p' instead of `file-executable-p'.
8204 (tramp-check-cached-permissions):
8205 Use `tramp-compat-file-attributes'.
8206 (tramp-call-process): Add new argument VEC. Adapt callees in all
8209 * net/tramp-adb.el (tramp-adb-handle-write-region): Improve messages.
8210 (tramp-adb-maybe-open-connection): Don't set
8211 `tramp-current-*' variables.
8213 * net/tramp-cache.el (tramp-flush-file-function): Do not flush
8214 file properties of temporary buffers.
8216 * net/tramp-ftp.el (top): Remove special handling for URL syntax.
8218 * net/tramp-gvfs.el (tramp-gvfs-methods) <sftp>: Add.
8219 (tramp-gvfs-handle-delete-file): Flush file
8220 properties, not directory properties.
8221 (tramp-gvfs-handle-file-attributes): Use `string-to-number' when
8222 reading "unix::mode".
8223 (tramp-gvfs-handle-file-name-all-completions):
8224 Use "-h" option for "gvfs-ls".
8225 (tramp-gvfs-url-file-name): `user' and `localname' could be nil.
8226 (tramp-gvfs-send-command): Simplify traces.
8228 * net/tramp-sh.el (vc-handled-backends, vc-bzr-program)
8229 (vc-git-program, vc-hg-program): Declare.
8230 (tramp-methods) <sftp>: Remove. It has never worked satisfactorily.
8231 (tramp-methods) <nc>: Add new method.
8232 (tramp-methods) <telnet>: Redirect stderr to "/dev/null".
8233 (tramp-methods) <plink, plinkx, pscp, psftp>: Improve
8235 (tramp-default-user-alist): Add "nc".
8236 (top): Remove completion function for "sftp". Add completion
8237 functions for "nc" and "psftp".
8238 (tramp-do-copy-or-rename-file-out-of-band): Tweak docstring.
8239 Implement support for "nc" method.
8240 (tramp-sh-handle-expand-file-name, tramp-local-coding-commands)
8241 (tramp-remote-coding-commands, tramp-call-local-coding-command):
8243 (tramp-sh-handle-write-region): Tweak error message.
8244 (tramp-sh-handle-vc-registered): Remove backends when the remote
8245 binary does not exist.
8246 (tramp-find-inline-encoding): Do not raise an error.
8247 (tramp-make-copy-program-file-name): Tweak docstring. Handle also
8248 the "nc" case. Quote result also locally.
8250 * net/tramp-smb.el (tramp-smb-handle-copy-directory)
8251 (tramp-smb-handle-set-file-acl): Use `start-process'.
8252 (tramp-smb-handle-insert-directory): Use progress reporter.
8253 (tramp-smb-handle-rename-file): Flush also file properties of
8256 * net/trampver.el: Update release number.
8258 2014-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
8260 * ses.el: Miscellaneous cleanups; use lexical-binding; avoid
8262 (ses-localvars): Remove ses--local-printer-list, unused.
8263 (ses--metaprogramming): New macro. Use it to defvar variables.
8264 (ses-set-localvars): Simplify.
8265 (ses--locprn, ses-cell): Use defstruct. Change ses-cell's
8266 property-list into an alist.
8267 (ses-locprn-get-compiled, ses-locprn-compiled-aset)
8268 (ses-locprn-get-def, ses-locprn-def-aset, ses-locprn-get-number):
8269 Remove; use defstruct accessors/setters instead.
8270 (ses-cell-formula-aset, ses-cell-printer-aset)
8271 (ses-cell-references-aset): Remove, use setf instead.
8272 (ses--alist-get): New function.
8273 (ses-cell-property): Rename from ses-cell-property-get and rewrite.
8274 Use an alist instead of a plist and don't do move-to-front since the
8275 list is always short.
8276 (ses-cell-property-get-fun, ses-cell-property-delq-fun)
8277 (ses-cell-property-set-fun, ses-cell-property-set)
8278 (ses-cell-property-pop-fun, ses-cell-property-get-handle)
8279 (ses-cell-property-handle-car, ses-cell-property-handle-setcar): Remove.
8280 (ses--letref): New macro.
8281 (ses-cell-property-pop): Rewrite.
8282 (ses--cell): Rename from ses-cell and make it into a function.
8283 Make `formula' fallback on `value' if nil.
8284 (ses--local-printer): Rename from ses-local-printer and make it into
8286 (ses-set-cell): Turn it into a macro so finding the accessor from the
8287 field name is done at compile time.
8288 (ses-repair-cell-reference-all): Test presence of `sym' rather than
8289 `ref' before adding `sym' to :ses-repair-reference.
8290 (ses-calculate-cell): Use ses--letref rather than
8291 ses-cell-property-get-handle.
8292 (ses-write-cells): Use a single prin1-to-string.
8293 (ses-setter-with-undo): New function.
8294 (ses-aset-with-undo, ses-set-with-undo): Rewrite using it.
8295 (ses-unset-with-undo): Remove.
8296 (ses-load): Prefer apply' over `eval'.
8297 (ses-read-printer, ses-set-column-width): Use standard "(default
8300 2014-06-15 Glenn Morris <rgm@gnu.org>
8302 * Makefile.in (leim, semantic): Use `make -C' rather than `cd && make'.
8304 * progmodes/cc-langs.el: Require cl-lib. (Bug#17463)
8305 Replace delete-duplicates and mapcan by cl- versions throughout.
8306 And cl-macroexpand-all by macroexpand-all.
8307 (delete-duplicates, mapcan, cl-macroexpand-all): No need to declare.
8309 2014-06-15 Eli Zaretskii <eliz@gnu.org>
8311 * subr.el (posn-col-row): Doc fix. (Bug#17768)
8313 2014-06-15 Juri Linkov <juri@jurta.org>
8315 * bindings.el: Put `ascii-character' property on keypad keys
8316 mapped to characters. (Bug#17759)
8318 2014-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
8320 * emacs-lisp/smie.el (smie-next-sexp): Fix up "other-end" info when
8321 bumping forward into a closing paren (bug#17761).
8323 * term/xterm.el (xterm--version-handler): Work around for OSX
8324 Terminal.app (bug#17607).
8326 2014-06-14 Ron Schnell <ronnie@driver-aces.com>
8328 * play/dunnet.el (dun-describe-room, dun-mode):
8329 If a lamp is in the room, you won't be eaten by a grue.
8331 2014-06-13 Glenn Morris <rgm@gnu.org>
8333 * Makefile.in ($(lisp)/cus-load.el, $(lisp)/finder-inf.el)
8334 (autoloads, $(lisp)/subdirs.el, compile-main, leim, semantic, compile)
8335 (compile-always): GNU make automatically passes
8336 command-line arguments to sub-makes.
8338 * calendar/calendar.el (calendar-generate-window):
8339 Remove pointless call to font-lock-fontify-buffer.
8341 2014-06-13 Matthias Meulien <orontee@gmail.com>
8343 * simple.el (completion-list-mode-map): Navigate with tab and backtab
8346 2014-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
8348 * simple.el (set-mark-command): Simplify a bit.
8350 2014-06-12 Nicolas Richard <theonewiththeevillook@yahoo.fr>
8352 * help.el (help--key-binding-keymap): New function.
8353 (help--binding-locus): New function.
8354 (describe-key): Mention the keymap in which the binding was
8357 2014-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
8359 * hippie-exp.el (he--all-buffers): New function.
8360 (try-expand-line-all-buffers, try-expand-list-all-buffers)
8361 (try-expand-dabbrev-all-buffers): Use it.
8363 2014-06-12 Emilio C. Lopes <eclig@gmx.net>
8365 * hippie-exp.el (try-expand-line-all-buffers)
8366 (try-expand-list-all-buffers, try-expand-dabbrev-all-buffers):
8367 Read hippie-expand-only-buffers and hippie-expand-ignore-buffers in the
8368 original buffer, in case they're buffer-local.
8370 2014-06-12 Vincent Belaïche <vincentb1@users.sourceforge.net>
8372 * ses.el (ses-initial-global-parameters-re): New defconst, a
8373 specific regexp is needed now that ses.el can handle both
8374 file-format 2 --- ie. no local printers --- and 3 --- i.e. may have
8376 (ses-localvars): Add local variables needed for local printer handling.
8377 (ses-set-localvars): Handle hashmap initialisation.
8378 (ses-paramlines-plist): Add param-line for number of local printers.
8379 (ses-paramfmt-plist): New defconst, needed for code factorization
8380 between functions `ses-set-parameter' and
8381 `ses-file-format-extend-paramter-list'
8382 (ses-make-local-printer-info): New defsubst.
8383 (ses-locprn-get-compiled, ses-locprn-compiled-aset)
8384 (ses-locprn-get-def, ses-locprn-def-aset, ses-locprn-get-number)
8385 (ses-cell-printer-aset): New defmacro.
8386 (ses-local-printer-compile): New defun.
8387 (ses-local-printer): New defmacro.
8388 (ses-printer-validate, ses-call-printer): Add support for local
8390 (ses-file-format-extend-paramter-list): New defun.
8391 (ses-set-parameter): Use const `ses-paramfmt-plist' for code
8393 (ses-load): Add support for local printer functions.
8394 (ses-read-printer): Update docstring and add support for local printer
8396 (ses-refresh-local-printer, ses-define-local-printer): New defun.
8397 (ses-safe-printer): Add support for local printer functions.
8399 2014-06-12 Ivan Andrus <darthandrus@gmail.com>
8401 * ffap.el (ffap-lax-url): New var (bug#17723).
8402 (ffap-url-at-point): Use it.
8403 (ffap-file-at-point): Avoid returning just "/".
8405 2014-06-12 Matthias Meulien <orontee@gmail.com>
8407 * progmodes/python.el (import skeleton): New skeleton (bug#17672).
8408 (python-mode-map): Bind it.
8410 * progmodes/python.el (class skeleton): Don't erase last char of class
8413 2014-06-12 Cameron Desautels <camdez@gmail.com> (tiny change)
8415 * help.el (where-is): Use `default' arg of completing-read (bug#17705).
8417 2014-06-12 Kevin Ryde <user42_kevin@yahoo.com.au>
8419 * files.el (auto-mode-alist): Map .ad files to xdefaults-mode
8422 2014-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
8424 * international/mule-cmds.el: Use lexical-binding.
8425 (ucs-names): Simplify.
8427 2014-05-18 Eric Hanchrow <eric.hanchrow@gmail.com>
8429 * progmodes/python.el (run-python): Use read-shell-command.
8431 2014-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
8433 * rect.el: Make it possible to move bounds past EOL or into TABs.
8434 (operate-on-rectangle): Use apply-on-rectangle.
8435 (rectangle--mark-crutches): New var.
8436 (rectangle--pos-cols, rectangle--col-pos, rectangle--point-col)
8437 (rectangle--crutches, rectangle--reset-crutches): New functions.
8438 (apply-on-rectangle): Obey crutches. Avoid setq.
8439 Fix missing final iteration if end is at EOB&BOL.
8440 (rectangle-mark-mode-map): Add remap bindings for
8441 exchange-point-and-mark and char/line movements.
8442 (rectangle--*-char): New function.
8443 (rectangle-exchange-point-and-mark, rectangle-right-char)
8444 (rectangle-left-char, rectangle-forward-char)
8445 (rectangle-backward-char, rectangle-next-line)
8446 (rectangle-previous-line): New commands.
8447 (rectangle--place-cursor): New function.
8448 (rectangle--highlight-for-redisplay): Use it. Use apply-on-rectangle.
8450 2014-06-08 Glenn Morris <rgm@gnu.org>
8452 * startup.el (initial-buffer-choice): Doc fix.
8453 Reset :version (adding an option does not merit a :version bump).
8455 * bookmark.el (bookmark-load):
8456 * uniquify.el (uniquify-buffer-name-style): Doc fixes.
8458 2014-06-08 Juri Linkov <juri@jurta.org>
8460 * desktop.el: Activate auto-saving on window configuration changes.
8461 (desktop-save-mode, desktop-auto-save-timeout): Add/remove
8462 `desktop-auto-save-set-timer' to/from
8463 `window-configuration-change-hook'.
8464 (desktop-auto-save-set-timer): Change REPEAT arg of
8465 `run-with-idle-timer' from t to nil.
8466 http://lists.gnu.org/archive/html/emacs-devel/2014-06/msg00147.html
8468 2014-06-08 Santiago Payà i Miralta <santiagopim@gmail.com>
8470 * vc/vc-hg.el (vc-hg-working-revision): Use "hg parent" and
8471 vc-hg-command (bug#17570).
8473 2014-06-08 Stefan Monnier <monnier@iro.umontreal.ca>
8475 * international/mule-cmds.el (ucs-names): Add special entry for BEL
8478 2014-06-08 Glenn Morris <rgm@gnu.org>
8480 * startup.el (window-setup-hook): Doc fix.
8482 * emacs-lisp/package.el (package-check-signature)
8483 (package-unsigned-archives): Doc fixes.
8485 2014-06-08 Martin Rudalics <rudalics@gmx.at>
8487 * window.el (display-buffer-use-some-window): Don't make window
8488 used smaller than it was before (Bug#17671).
8490 2014-06-08 Eli Zaretskii <eliz@gnu.org>
8492 * menu-bar.el (menu-bar-open): Fix last change: use the PC
8493 'redisplay' instead of '(sit-for 0)'.
8495 2014-06-08 Michael Albinus <michael.albinus@gmx.de>
8497 * net/tramp.el (tramp-ssh-controlmaster-options):
8498 Improve search regexp. (Bug#17653)
8500 2014-06-08 Glenn Morris <rgm@gnu.org>
8502 * emacs-lisp/package.el (package-pinned-packages): Doc fix.
8504 2014-06-08 Eli Zaretskii <eliz@gnu.org>
8506 * menu-bar.el (menu-bar-open): Fix invocation via M-x.
8508 2014-06-06 Santiago Payà i Miralta <santiagopim@gmail.com>
8510 * vc/vc-hg.el (vc-hg-create-tag, vc-hg-retrieve-tag): New functions
8513 * vc/vc-hg.el (vc-hg-log-graph): New var.
8514 (vc-hg-print-log): Use it.
8515 (vc-hg-root-log-format): Include branch name and bookmarks; ignore
8516 graph output (bug#17515).
8518 2014-06-06 Stefan Monnier <monnier@iro.umontreal.ca>
8520 * mouse.el (mouse-posn-property): Ignore buffer position info when the
8521 even happened elsewhere.
8523 2014-06-06 Mario Lang <mlang@delysid.org>
8525 * emacs-lisp/tabulated-list.el (tabulated-list-print): Only call
8526 `recenter' if `current-buffer' is equal to `window-buffer'.
8528 2014-06-05 Leo Liu <sdl.web@gmail.com>
8530 * emacs-lisp/cl-macs.el (cl-macrolet): Avoid excessive progn's.
8532 2014-06-05 Michal Nazarewicz <mina86@mina86.com>
8534 * textmodes/tildify.el (tildify-foreach-region-outside-env):
8535 New function which calls a callback on portions of the buffer that are
8536 outside of ignored environments.
8537 (tildify-build-regexp): Remove function since it is now
8538 incorporated in `tildify-foreach-region-outside-env' where it is
8539 optimized and simplified by the use of `mapconcat'.
8540 (tildify-tildify): Return number of substitutions made so that…
8541 (tildify-count): …can be removed.
8542 (tildify-find-env): Accept a new PAIRS argument which was
8543 previously looked up in `tildify-ignored-environments-alist' each
8544 time the function was called. With this change, the lookup is
8545 performed only once in `tildify-foreach-region-outside-env'.
8546 (tildify-region): Greatly simplify the function since now most of
8547 the work is done by `tildify-foreach-region-outside-env'.
8548 (tildify-mode-alist): Simplify slightly by avoiding if and setq
8549 and instead using or.
8551 * textmodes/tildify.el (tildify-ignored-environments-alist):
8552 Optimize environments regexes
8554 Each time beginning of an environment to ignore is found,
8555 `tildify-find-env' needs to identify regexp for the ending
8556 of the environment. This is done by trying all the opening
8557 regexes on matched text in a loop, so to speed that up, this
8558 loop should have fewer things to match, which can be done by
8559 using alternatives in the opening regexes.
8561 Coincidentally, this should make matching of the opening
8562 regexp faster as well thanks to the use of `regexp-opt' and
8563 having common prefix pulled from many regexes.
8565 * textmodes/tildify.el (tildify-string-alist)
8566 (tildify-ignored-environments-alist): Add `nxml-mode' to the list
8567 of supported modes since `xml-mode' is no longer a thing but just
8568 an alias to the former. Also include comments and insides of tags
8569 in `tildify-ignored-environments-alist' for XML modes. Finally,
8570 since XML does not define “ ”[1], use a numeric reference for
8571 a no-break space (namely “ ”)
8573 [1] XML specification defines only a handful of predefined entities.
8574 The list is at <http://www.w3.org/TR/REC-xml/#sec-predefined-ent>
8575 and includes only <, >, &, ' and " (meaning <,
8576 >, &, ' and " respectively). This is in contrast to HTML and even
8577 XHTML which defined a whole bunch of entities including “ ”.
8579 * textmodes/tildify.el (tildify-pattern-alist)
8580 (tildify-string-alist, tildify-ignored-environments-alist):
8581 Improve defcustom's types by adding more tags explaining what each
8582 value means and replace “sexp” used in
8583 `tildify-ignored-environments-alist' with a full type declaration.
8585 * textmodes/tildify.el (tildify-find-env): Fix matched group
8586 indexes in end-regex building
8588 When looking for a start of an ignore-environment, the regex is built
8589 by concatenating regexes of all the environments configured in
8590 `tildify-ignored-environments-alist'. So for example, the following
8591 list could be used to match TeX's \verb and \verb* commands:
8593 (("\\\\verb\\(.\\)" . (1))
8594 ("\\\\verb\\*\\(.\\)" . (1)))
8596 This would result in the following regex being used to find the start
8597 of any of the variants of the \verb command:
8599 \\\\verb\\(.\\)\\|\\\\verb\\*\\(.\\)
8601 But now, if “\\\\verb\\*\\(.\\)” matches, the first capture group
8602 won't match anything, and thus (match-string 1) will be nil, which
8603 will cause building of the end-matching regex to fail.
8605 Fix this by using capture groups from the time when the opening
8606 regexes are matched individually.
8608 * textmodes/tildify.el (tildify-find-env): Fix end-regex building
8609 in `tildify-find-env'
8611 The `tildify-ignored-environments-alist' allows the end-regex to
8612 be provided not as a static string but mix of strings and indexes
8613 of groups matched the begin-regex. For example, the “\verb!…!”
8614 TeX-command (where “!” is an arbitrary character) is handled
8617 ("\\\\verb\\*?\\(.\\)" . (1))
8619 In the same way, the following should be supported as well:
8621 ("open-\\(.\\)" . ("end-" 1))
8623 However the tildify-find-env function fails at
8626 (if (stringp (setq aux (car expression)))
8627 expression ; BUG: expression is a list
8628 (regexp-quote (match-string aux))))
8630 where the string part is handled incorrectly.
8632 The most trivial fix would be to replace `expression' in the
8633 true-part of the if-statement with `aux', but instead, this commit
8634 optimizes `tildify-find-env' by changing it to use `mapconcat'
8635 rather than open-coded while-loop.
8637 2014-06-05 Mario Lang <mlang@delysid.org>
8639 * woman.el (woman-mapcan): Remove.
8640 (woman-parse-colon-path): Use cl-mapcan instead.
8642 2014-06-03 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
8644 * register.el: Add link to Emacs manual in Commentary.
8646 2014-06-02 Sam Steingold <sds@gnu.org>
8648 * menu-bar.el (lookup-key-ignore-too-long): Extract from...
8649 (popup-menu): ...here.
8650 (menu-bar-open): Use it to avoid an error when `lookup-key'
8653 2014-06-02 Michael Albinus <michael.albinus@gmx.de>
8655 * net/tramp.el (tramp-call-process): Add traces.
8656 (tramp-handle-unhandled-file-name-directory): Return "/".
8658 2014-06-02 Wilson Snyder <wsnyder@wsnyder.org>
8660 Sync with upstream verilog-mode revision 3cd8144.
8661 * progmodes/verilog-mode.el (verilog-mode-version): Bump.
8662 (verilog-auto-arg-format): New option, to support newlines in AUTOARG.
8663 (verilog-type-font-keywords): Add nor.
8664 (verilog-batch-execute-func): Force reading of Local Variables.
8665 Fix printing "no changes to be saved" with verilog-batch.
8666 (verilog-auto-arg-ports): Doc fix.
8667 Add verilog-auto-arg-format to support newlines in AUTOARG.
8668 (verilog-auto-arg): Doc fix.
8670 2014-06-02 Glenn Morris <rgm@gnu.org>
8672 * emulation/crisp.el, emulation/tpu-edt.el, emulation/tpu-extras.el:
8673 * emulation/tpu-mapper.el, emulation/vi.el, emulation/vip.el:
8674 * emulation/ws-mode.el: Move to obsolete/.
8675 * Makefile.in (AUTOGEN_VCS): Update for moved tpu-edu.el.
8677 2014-06-02 Eli Zaretskii <eliz@gnu.org>
8679 * simple.el (keyboard-quit): Force update of mode lines, to remove
8680 the "Def" indicator, if we were defining a macro. (Bug#17615)
8682 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
8684 * minibuffer.el (minibuffer-force-complete-and-exit):
8685 Obey minibuffer-default (bug#17545).
8687 * progmodes/js.el (js-indent-line): Don't mix columns and chars
8690 * subr.el (set-transient-map): Don't wait for some "nested"
8691 transient-map to finish if we're only supposed to be active for
8692 the next command (bug#17642).
8694 2014-06-02 Leo Liu <sdl.web@gmail.com>
8696 * emacs-lisp/gv.el (window-buffer, window-display-table)
8697 (window-dedicated-p, window-hscroll, window-point, window-start):
8698 Fix gv-expander. (Bug#17630)
8700 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
8702 * mouse.el (mouse-posn-property): Ignore posn-point for mode-line
8705 * leim/quail/latin-pre.el ("latin-2-prefix"): Use ",," rather than ", "
8706 for the single comma, since ", " is *very* common in normal French text
8709 2014-06-02 Glenn Morris <rgm@gnu.org>
8711 * emacs-lisp/package.el (package-check-signature)
8712 (package-unsigned-archives): Fix :version.
8714 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
8716 * subr.el (sit-for): Don't run input-methods (bug#15614).
8718 2014-06-02 Glenn Morris <rgm@gnu.org>
8720 * cus-start.el: Fix some :version numbers.
8722 2014-06-02 Stefan Monnier <monnier@iro.umontreal.ca>
8724 * simple.el (deactivate-mark): Set mark-active to nil even if
8725 deactivation is done via setting transient-mark-mode to nil,
8726 since one is buffer-local and the other is global.
8728 * emacs-lisp/byte-opt.el (byte-optimize-binary-predicate): Don't assume
8729 there can't be more than 2 arguments (bug#17584).
8731 2014-06-02 Glenn Morris <rgm@gnu.org>
8733 * simple.el (filter-buffer-substring-functions)
8734 (filter-buffer-substring-function, buffer-substring-filters)
8735 (filter-buffer-substring, buffer-substring--filter): Doc fixes.
8737 * minibuffer.el (completion-in-region-functions, completion-in-region)
8738 (completion--in-region): Doc fixes.
8740 * abbrev.el (abbrev-expand-functions, abbrev-expand-function)
8741 (expand-abbrev, abbrev--default-expand): Doc fixes.
8743 2014-06-02 Paul Eggert <eggert@cs.ucla.edu>
8745 Include sources used to create macuvs.h.
8746 * international/README: Refer to the Unicode Terms of Use rather
8747 than copying it bodily here, as that simplifies maintenance.
8749 2014-06-01 Glenn Morris <rgm@gnu.org>
8751 * loadup.el (load-prefer-newer): Set non-nil when dumping. (Bug#17629)
8753 2014-05-31 Glenn Morris <rgm@gnu.org>
8755 * files.el (locate-dominating-file): Expand file argument. (Bug#17641)
8757 2014-05-30 Glenn Morris <rgm@gnu.org>
8759 * loadup.el: Treat `command-line-args' more flexibly.
8761 2014-05-30 Alan Mackenzie <acm@muc.de>
8763 Guard (looking-at "\\s!") from XEmacs.
8764 * progmodes/cc-engine.el (c-state-pp-to-literal): Add guard form.
8766 2014-05-30 Ken Olum <kdo@cosmos.phy.tufts.edu>
8768 * mail/rmail.el (rmail-delete-forward, rmail-delete-backward):
8769 The argument COUNT is now optional, to be more backward-compatible.
8770 Doc fix. (Bug#17560)
8772 2014-05-29 Reuben Thomas <rrt@sc3d.org>
8774 * whitespace.el (whitespace-report-region):
8775 Simplify documentation.
8776 (whitespace-report-region): Allow report-if-bogus to take the
8777 value `never', for non-interactive use.
8778 (whitespace-report): Refer to whitespace-report-region's
8781 2014-05-29 Stefan Monnier <monnier@iro.umontreal.ca>
8783 * whitespace.el: Use font-lock-flush. Minimize refontifications.
8784 Side benefit: it works without jit-lock.
8785 (whitespace-point--used): New buffer-local var.
8786 (whitespace-color-on): Initialize it and flush it. Use font-lock-flush.
8787 (whitespace-color-off): Use font-lock-flush.
8788 (whitespace-point--used, whitespace-point--flush-used): New functions.
8789 (whitespace-trailing-regexp, whitespace-empty-at-bob-regexp)
8790 (whitespace-empty-at-eob-regexp): Use them.
8791 (whitespace-post-command-hook): Rewrite.
8793 * font-lock.el (font-lock-flush, font-lock-ensure): New functions.
8794 (font-lock-fontify-buffer): Mark interactive-only.
8795 (font-lock-multiline, font-lock-fontified, font-lock-set-defaults):
8797 (font-lock-specified-p): Remove redundant boundp check.
8798 (font-lock-flush-function, font-lock-ensure-function): New vars.
8799 (font-lock-turn-on-thing-lock): Set them.
8800 (font-lock-default-fontify-buffer): Obey font-lock-dont-widen.
8801 (font-lock-after-change-function): Make `old-len' optional.
8802 (font-lock-set-defaults): Remove redundant `set' of font-lock-defaults.
8803 Call font-lock-flush, just in case.
8804 * progmodes/verilog-mode.el (verilog-preprocess): Disable workaround in
8806 * progmodes/vera-mode.el (vera-fontify-buffer): Declare obsolete.
8807 (vera-mode-map, vera-mode-menu): Remove bindings to it.
8808 * progmodes/idlw-help.el (idlwave-help-fontify): Use font-lock-ensure
8809 and with-syntax-table.
8810 * textmodes/conf-mode.el (conf-quote-normal):
8811 * progmodes/sh-script.el (sh-set-shell):
8812 * progmodes/prog-mode.el (prettify-symbols-mode):
8813 * progmodes/f90.el (f90-font-lock-n):
8814 * progmodes/cwarn.el (cwarn-mode):
8815 * nxml/nxml-mode.el (nxml-toggle-char-ref-extra-display):
8816 * progmodes/compile.el (compilation-setup, compilation--unsetup):
8817 * hi-lock.el (hi-lock-mode, hi-lock-unface-buffer)
8818 (hi-lock-set-pattern, hi-lock-set-file-patterns): Use font-lock-flush.
8819 * mail/rmail.el (rmail-variables): Set font-lock-dont-widen instead of
8820 font-lock-fontify-buffer-function and
8821 font-lock-unfontify-buffer-function.
8822 (rmail-unfontify-buffer-function, rmail-fontify-message):
8823 Use with-silent-modifications.
8824 * htmlfontify.el (hfy-force-fontification): Use jit-lock-fontify-now
8825 and font-lock-ensure.
8826 * bs.el (bs-show-in-buffer): Use font-lock-ensure.
8828 2014-05-28 Thien-Thi Nguyen <ttn@gnu.org>
8830 * emacs-lisp/package.el (package-generate-autoloads):
8831 Inhibit backup files.
8833 2014-05-28 Stefan Monnier <monnier@iro.umontreal.ca>
8835 * progmodes/hideshow.el (hs-hide-all): Call syntax-propertize
8838 2014-05-21 Michal Nazarewicz <mina86@mina86.com>
8840 * textmodes/tildify.el (tildify-buffer, tildify-region):
8841 Add dont-ask option.
8843 2014-05-28 Stefan Monnier <monnier@iro.umontreal.ca>
8845 * subr.el (zerop): Move from C. Add compiler-macro (bug#17475).
8846 * emacs-lisp/byte-opt.el (byte-optimize-zerop): Remove.
8848 * subr.el (internal--funcall-interactively): New.
8849 (internal--call-interactively): Remove.
8850 (called-interactively-p): Detect funcall-interactively instead of
8852 * simple.el (repeat-complex-command): Use funcall-interactively.
8853 (repeat-complex-command--called-interactively-skip): Remove.
8855 2014-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
8857 * register.el (register-read-with-preview): Don't burp on
8858 frame switches (e.g. due to the frame we just popped).
8860 * mouse.el (mouse-set-region): Handle spurious drag events (bug#17562).
8861 (mouse-drag-track): Annotate `mouse-drag-start' so we know we moved.
8863 2014-05-26 Andreas Schwab <schwab@linux-m68k.org>
8865 * cus-face.el (custom-face-attributes): Add :distant-foreground.
8867 2014-05-26 Martin Rudalics <rudalics@gmx.at>
8869 * window.el (window--dump-frame): Remove interactive specification.
8871 2014-05-26 Glenn Morris <rgm@gnu.org>
8873 * hippie-exp.el (he-line-search-regexp):
8874 Handle comint-prompt-regexp containing subgroups. (Bug#17529)
8876 2014-05-26 Stephen Berman <stephen.berman@gmx.net>
8878 * calendar/todo-mode.el: Remove dependence on auto-mode-alist,
8879 to avoid errors when trying to create or visit a file foo.todo
8880 located outside to todo-directory, and to allow having such files
8881 without them being tied to Todo mode (bug#17482).
8882 (todo-show, todo-move-category, todo-merge-category, todo-find-archive)
8883 (todo-archive-done-item, todo-find-filtered-items-file)
8884 (todo-filter-items, todo-find-item, todo-diary-goto-entry)
8885 (todo-category-completions, todo-read-category): When visiting a
8886 Todo file, make sure we're in the right mode and the buffer local
8888 (todo-make-categories-list, todo-reset-nondiary-marker)
8889 (todo-reset-done-string, todo-reset-comment-string):
8890 After processing all Todo files, kill the buffers of those files that
8891 weren't being visited before the processing.
8892 (todo-display-as-todo-file, todo-add-to-buffer-list)
8893 (todo-visit-files-commands): Comment out.
8894 (todo-modes-set-3, todo-mode): Comment out additions to find-file-hook.
8895 (auto-mode-alist): Remove add-to-list calls making Todo file
8896 extensions unrestrictedly tied to Todo modes.
8898 2014-05-26 Stefan Monnier <monnier@iro.umontreal.ca>
8900 * emacs-lisp/nadvice.el (advice--member-p): Change second arg.
8901 (advice-function-member-p): Tell it to check both names and functions
8903 (advice--add-function): Adjust call accordingly.
8905 2014-05-26 Stephen Berman <stephen.berman@gmx.net>
8907 * calendar/todo-mode.el: Miscellaneous bug fixes.
8908 (todo-delete-file): When deleting an archive but not its todo
8909 file, make sure to update the todo file's category sexp.
8910 (todo-move-category): Keep the moved category's name unless the
8911 file moved to already has a category with that name. If the
8912 numerically last category of the source file was moved, make the
8913 first category current to avoid selecting a nonexisting category.
8914 (todo-merge-category): Fix implementation to make merging to a
8915 category in another file work as documented. Eliminate now
8916 insufficient and unnecessary renaming of archive category, correct
8917 document string accordingly, and clarify it. If the numerically
8918 last category of the source file was merged, make the first
8919 category current to avoid selecting a nonexisting category.
8920 (todo-archive-done-item): When there are marked items and point
8921 happens to be on an unmarked item, ignore the latter. Don't leave
8922 point below last item after archiving marked items.
8923 (todo-unarchive-items): Fix logic to ensure unarchiving an item
8924 from an archive with only one category deletes the archive only
8925 when the category is empty after unarchiving. Make sure the todo
8926 file's category sexp is updated.
8927 (todo-read-file-name): Allow an existing file name even when it is
8928 not required (todo-move-category needs this to work as documented).
8929 (todo-add-file): Call todo-validate-name to reject the name of an
8930 existing todo file (needed due to fix in todo-read-file-name).
8931 (todo-reset-nondiary-marker): Also reset in filtered items files.
8932 (todo-reset-done-string, todo-reset-comment-string): Also reset in
8933 regexp filtered items files.
8934 (todo-reset-highlight-item): Also reset in filtered items files.
8935 Fix incorrect variable reference in document string.
8937 2014-05-26 Glenn Morris <rgm@gnu.org>
8939 * window.el (window--dump-frame): Avoid error in --without-x builds.
8941 2014-05-26 Glenn Morris <rgm@gnu.org>
8943 * nxml/nxml-mode.el (xml-mode): Only define this alias once.
8945 2014-05-26 Eli Zaretskii <eliz@gnu.org>
8947 * frame.el (set-frame-font): Doc fix.
8949 * menu-bar.el (menu-set-font): Doc fix. (Bug#17532)
8951 2014-05-26 Dmitry Gutov <dgutov@yandex.ru>
8953 * emacs-lisp/package.el (package--download-one-archive):
8954 Use `write-region' instead of `save-buffer' to avoid running various
8956 (describe-package-1): Same. Insert newline at the end of the
8957 buffer if appropriate.
8959 2014-05-26 Juri Linkov <juri@jurta.org>
8961 * avoid.el (mouse-avoidance-set-mouse-position): Don't raise frame.
8962 (mouse-avoidance-ignore-p): Remove `switch-frame', add `focus-out'.
8963 Add more modifiers: meta, control, shift, hyper, super, alt.
8966 * avoid.el (mouse-avoidance-banish-position): Fix defcustom :options
8967 to allow changing its value with `set-variable'.
8969 2014-05-26 Stefan Monnier <monnier@iro.umontreal.ca>
8971 * progmodes/scheme.el (scheme-mode-syntax-table): Remove hack for
8973 (scheme-syntax-propertize, scheme-syntax-propertize-sexp-comment):
8975 (scheme-mode-variables): Set syntax-propertize-function instead of
8976 font-lock-syntactic-face-function.
8977 (scheme-font-lock-syntactic-face-function): Delete.
8979 * emacs-lisp/lisp.el (end-of-defun): Ensure we move (bug#17274).
8981 * emacs-lisp/timer.el (timer-event-handler): Don't run if canceled
8984 2014-05-26 Michael Albinus <michael.albinus@gmx.de>
8986 * net/tramp-sh.el (tramp-find-inline-encoding): Do not match "%%t"
8987 for a temporary file name.
8989 2014-05-26 Eli Zaretskii <eliz@gnu.org>
8991 * simple.el (line-move-ignore-invisible): Doc fix. (Bug#17511)
8993 2014-05-26 Michael Albinus <michael.albinus@gmx.de>
8995 * net/dbus.el (dbus-init-bus, dbus-call-method)
8996 (dbus-call-method-asynchronously, dbus-send-signal)
8997 (dbus-method-return-internal, dbus-method-error-internal):
8998 Check, whether Emacs has been compiled with D-Bus support. (Bug#17508)
9000 2014-05-26 Nicolas Richard <theonewiththeevillook@yahoo.fr>
9002 * emacs-lisp/eieio-opt.el (eieio-help-class): Correctly deal with
9003 methods which do not have a doc string. (Bug#17490)
9005 2014-05-25 Tassilo Horn <tsdh@gnu.org>
9007 * textmodes/reftex-ref.el (reftex-format-special): Make it work
9008 also for AMS Math's \eqref macro.
9010 2014-05-25 Thien-Thi Nguyen <ttn@gnu.org>
9012 Arrange to never byte-compile the generated -pkg.el file.
9014 * emacs-lisp/package.el (package-generate-description-file):
9015 Output first-line comment to set buffer-local var `no-byte-compile'.
9016 Suggested by Dmitry Gutov:
9017 <http://lists.gnu.org/archive/html/emacs-devel/2014-05/msg00401.html>.
9019 2014-05-25 Thien-Thi Nguyen <ttn@gnu.org>
9021 Fix bug: Properly quote args to generated -pkg.el `define-package'.
9023 * emacs-lisp/package.el (package-generate-description-file):
9024 Inline `package--alist-to-plist'; rewrite to selectively
9025 quote alist values that are not self-quoting.
9026 (package--alist-to-plist): Delete func.
9028 2014-05-25 Andreas Schwab <schwab@linux-m68k.org>
9030 * term/xterm.el (xterm-function-map): Add mapping for shifted
9033 2014-05-24 Daniel Colascione <dancol@dancol.org>
9035 * progmodes/subword.el (subword-find-word-boundary): Move point to
9036 correct spot before search. (Bug#17580)
9038 * emacs-lisp/nadvice.el (defun): Write in eval-and-compile to avoid
9041 2014-05-24 Leo Liu <sdl.web@gmail.com>
9043 * calc/calc.el (math-bignum): Handle most-negative-fixnum. (Bug#17556)
9045 2014-05-23 Stefan Monnier <monnier@iro.umontreal.ca>
9047 * minibuffer.el (completion--sreverse): Remove.
9048 (completion--common-suffix): Use `reverse' instead.
9049 * emacs-lisp/regexp-opt.el (regexp-opt-group): Use `reverse' on strings.
9051 2014-05-22 Glenn Morris <rgm@gnu.org>
9053 * shell.el (shell-mode) <shell-dirstack-query>: Bypass bash aliases.
9055 2014-05-21 Daniel Colascione <dancol@dancol.org>
9057 * files.el (interpreter-mode-alist): Add mksh.
9059 * progmodes/sh-script.el (sh-ancestor-alist): Add mksh, a pdksh
9061 (sh-alias-alist): Alias /system/bin/sh (Android's system shell) to
9062 mksh. Improve custom spec; allow regular expressions.
9063 (sh-shell): Delegate name splitting to `sh-canonicalize-shell'.
9064 (sh-after-hack-local-variables): New function.
9065 (sh-mode): Use it; respect file-local `sh-shell' variable. (Bug#17333)
9066 (sh-set-shell): Use `sh-canonicalize-shell' instead of open-coding
9068 (sh-canonicalize-shell): Rewrite to support regexes.
9070 2014-05-21 Leo Liu <sdl.web@gmail.com>
9072 * emacs-lisp/cl-lib.el (cl-endp): Fix last change.
9074 2014-05-19 Leo Liu <sdl.web@gmail.com>
9076 * emacs-lisp/cl-lib.el (cl-endp): Conform to CL's semantics.
9078 2014-05-18 Glenn Morris <rgm@gnu.org>
9081 * play/gametree.el: `track-mouse' is always defined since 2012-11-24.
9083 2014-05-14 Sam Steingold <sds@gnu.org>
9085 * progmodes/python.el (python-shell-get-or-create-process):
9086 Do not bind `current-prefix-arg' so that C-c C-z does not talk
9087 back unless requested.
9089 2014-05-14 Glenn Morris <rgm@gnu.org>
9091 * subr.el (with-file-modes): New macro.
9092 * printing.el (pr-save-file-modes): Make obsolete.
9093 * eshell/esh-util.el (eshell-with-file-modes): Make obsolete.
9094 * emacs-lisp/lisp-mode.el (lisp-el-font-lock-keywords-2):
9095 Add with-file-modes.
9096 * doc-view.el (doc-view-make-safe-dir):
9097 * epg.el (epg--start):
9098 * files.el (locate-user-emacs-file, make-temp-file)
9099 (backup-buffer-copy, move-file-to-trash):
9100 * printing.el (pr-despool-print, pr-call-process, pr-text2ps):
9101 * eshell/esh-util.el (eshell-with-private-file-modes)
9102 (eshell-make-private-directory):
9103 * net/browse-url.el (browse-url-mosaic):
9104 * obsolete/mailpost.el (post-mail-send-it):
9105 * obsolete/pgg-pgp.el (pgg-pgp-verify-region):
9106 * obsolete/pgg-pgp5.el (pgg-pgp5-verify-region):
9107 Use with-file-modes.
9109 * vc/emerge.el (emerge-make-temp-file): Simplify.
9111 2014-05-14 Stephen Berman <stephen.berman@gmx.net>
9112 Stefan Monnier <monnier@iro.umontreal.ca>
9114 * minibuffer.el (completion-pcm--merge-try): Merge trailing / with
9117 2014-05-14 Glenn Morris <rgm@gnu.org>
9119 * vc/emerge.el (emerge-temp-file-prefix):
9120 Make pointless option obsolete.
9121 (emerge-temp-file-mode): Make non-functional option obsolete.
9123 2014-05-14 Michael Albinus <michael.albinus@gmx.de>
9125 * net/browse-url.el (browse-url):
9126 Use `unhandled-file-name-directory' when setting `default-directory',
9127 in order to circumvent stalled remote connections. (Bug#17425)
9129 2014-05-14 Glenn Morris <rgm@gnu.org>
9131 * printing.el (subst-char-in-string, make-temp-file, pr-get-symbol):
9132 Optimize on Emacs, which has the relevant functions for ages.
9134 2014-05-13 Stefan Monnier <monnier@iro.umontreal.ca>
9136 * simple.el (undo-make-selective-list): Obey undo-no-redo.
9138 2014-05-12 Sam Steingold <sds@gnu.org>
9140 * calendar/time-date.el (seconds-to-string): New function to
9141 pretty print time delay in seconds.
9143 2014-05-12 Stefan Monnier <monnier@iro.umontreal.ca>
9145 * mpc.el (mpc-format): Trim Date to the year.
9146 (mpc-songs-hashcons): Shorten the Date field.
9148 * emacs-lisp/nadvice.el (advice--interactive-form): Don't get fooled
9149 into autoloading just because of a silly indirection.
9151 2014-05-12 Santiago Payà i Miralta <santiagopim@gmail.com>
9153 * vc/vc-hg.el (vc-hg-unregister): New function. (Bug#17454)
9155 2014-05-12 Glenn Morris <rgm@gnu.org>
9157 * emacs-lisp/find-gc.el: Move to ../admin.
9159 * printing.el (pr-version):
9160 * ps-print.el (ps-print-version): Also mention bug-gnu-emacs.
9162 * net/browse-url.el (browse-url-mosaic):
9163 Create /tmp/Mosaic.PID as a private file.
9165 2014-05-12 Stefan Monnier <monnier@iro.umontreal.ca>
9167 * emacs-lisp/nadvice.el: Support adding a given function multiple times.
9168 (advice--member-p): If name is given, only compare the name.
9169 (advice--remove-function): Don't stop at the first match.
9170 (advice--normalize-place): New function.
9171 (add-function, remove-function): Use it.
9172 (advice--add-function): Pass the name, if any, to
9173 advice--remove-function.
9175 2014-05-12 Philipp Rumpf <prumpf@gmail.com> (tiny change)
9177 * electric.el (electric-indent-post-self-insert-function): Don't use
9178 `pos' after modifying the buffer (bug#17449).
9180 2014-05-12 Stephen Berman <stephen.berman@gmx.net>
9182 * calendar/todo-mode.el (todo-insert-item-from-calendar):
9183 Correct argument list to conform to todo-insert-item--basic.
9185 2014-05-12 Glenn Morris <rgm@gnu.org>
9187 * files.el (cd-absolute): Test if directory is accessible
9188 rather than executable. (Bug#17330)
9190 * progmodes/compile.el (recompile):
9191 Handle C-u M-x recompile from a non-compilation buffer. (Bug#17444)
9193 * net/browse-url.el (browse-url-mosaic):
9194 Be careful when writing /tmp/Mosaic.PID. (Bug#17428)
9195 This is CVE-2014-3423.
9197 2014-05-11 Stefan Monnier <monnier@iro.umontreal.ca>
9199 * mouse.el: Use the normal toplevel loop while dragging.
9200 (mouse-set-point): Handle multi-clicks.
9201 (mouse-set-region): Handle multi-clicks for drags.
9202 (mouse-drag-region): Update call accordingly.
9203 (mouse-drag-track): Remove `do-mouse-drag-region-post-process' hack.
9204 Use the normal event loop instead of a local while/read-event loop.
9205 (global-map): Remove redundant bindings for double/triple-mouse-1.
9206 * xt-mouse.el (xterm-mouse-translate-1): Only process one event at a time.
9207 Generate synthetic down events when the protocol only sends up events.
9208 (xterm-mouse-last): Remove.
9209 (xterm-mouse--read-event-sequence-1000): Use xterm-mouse-last-down
9210 terminal parameter instead.
9211 (xterm-mouse--set-click-count): New function.
9212 (xterm-mouse-event): Detect/generate double/triple clicks.
9213 * reveal.el (reveal-close-old-overlays): Don't close while dragging.
9215 * info.el (Info-quoted): New face.
9216 (Info-mode-font-lock-keywords): New var.
9217 (Info-mode): Use it.
9219 * emacs-lisp/lisp-mode.el (preceding-sexp): Exclude leading "," which
9220 are a hindrance for C-x C-e.
9222 2014-05-11 Leo Liu <sdl.web@gmail.com>
9224 * net/rcirc.el (rcirc-sentinel): Fix last change.
9226 2014-05-08 Sam Steingold <sds@gnu.org>
9228 * net/rcirc.el (rcirc-reconnect-delay): New user option.
9229 (rcirc-sentinel): Auto-reconnect to the server if
9230 `rcirc-reconnect-delay' is non-0 (but not more often than its
9231 value in case the host is off-line).
9233 2014-05-09 Eli Zaretskii <eliz@gnu.org>
9235 * progmodes/grep.el (lgrep): Fix a typo in last commit.
9237 2014-05-09 Glenn Morris <rgm@gnu.org>
9239 * files.el (file-expand-wildcards):
9240 * man.el (Man-support-local-filenames):
9241 * printing.el (pr-i-directory, pr-interface-directory):
9242 * progmodes/grep.el (lgrep, rgrep):
9243 * textmodes/ispell.el (ispell-call-process)
9244 (ispell-call-process-region, ispell-start-process)
9245 (ispell-init-process): Use file-accessible-directory-p.
9247 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
9249 * xt-mouse.el: Drop spurious/oddly shaped events (bug#17378).
9250 (xterm-mouse--read-event-sequence-1000): Return nil if something
9252 (xterm-mouse-event): Propagate it.
9253 (xterm-mouse-translate-1): Handle it.
9255 2014-05-08 Stephen Berman <stephen.berman@gmx.net>
9257 * calendar/todo-mode.el (todo-insert-item--apply-args): When all
9258 four slots of the parameter list are filled, make sure to pass it
9259 to the argument list of todo-insert-item--basic.
9261 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
9263 * emacs-lisp/package.el (package-compute-transaction): Topological sort.
9264 Add optional `seen' argument to detect and break infinite loops.
9266 2014-05-08 Eli Zaretskii <eliz@gnu.org>
9268 * emacs-lisp/find-gc.el (find-gc-unsafe, find-unsafe-funcs)
9269 (trace-unsafe, trace-use-tree): Make parentheses style be
9270 according to Emacs style.
9272 2014-05-08 Michael Albinus <michael.albinus@gmx.de>
9274 * net/tramp-sh.el (tramp-remote-process-environment):
9275 Remove HISTFILE and HISTSIZE; it's too late to set them here.
9277 (tramp-open-shell): Do not let-bind `tramp-end-of-output'.
9278 Add "HISTFILE=/dev/null" to the shell's env arguments. Do not send
9279 extra "PSx=..." commands.
9280 (tramp-maybe-open-connection): Setenv HISTFILE to /dev/null.
9283 (tramp-uudecode): Replace the hard-coded temporary file name by a
9285 (tramp-remote-coding-commands): Enhance docstring.
9286 (tramp-find-inline-encoding): Replace "%t" by a temporary file
9288 This is CVE-2014-3424.
9290 2014-05-08 Glenn Morris <rgm@gnu.org>
9292 * emacs-lisp/find-gc.el (find-gc-source-directory): Give it a value.
9293 (find-gc-source-files): Update some names.
9294 (trace-call-tree): Simplify and update.
9295 Avoid predictable temp-file names. (http://bugs.debian.org/747100)
9296 This is CVE-2014-3422.
9298 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
9300 * minibuffer.el (completion--try-word-completion): Revert fix for
9301 Bug#15980 (bug#17375).
9303 * xt-mouse.el (xterm-mouse--read-event-sequence-1000): (bug#17378)
9304 Always store button numbers in the same way in xterm-mouse-last;
9305 Don't burp is xterm-mouse-last is not set as expected.
9306 Never return negative indices.
9308 2014-05-08 Dmitry Gutov <dgutov@yandex.ru>
9310 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
9311 Backtrack one char if the global/char-literal var matcher hits
9312 inside a string. The next char could be the beginning of an
9313 expression expansion.
9315 2014-05-08 Glenn Morris <rgm@gnu.org>
9317 * help-fns.el (describe-function-1): Test for an autoload before a
9318 macro, since `macrop' works on autoloads. (Bug#17410)
9320 2014-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
9322 * electric.el (electric-indent-functions-without-reindent): Add yaml.
9324 * minibuffer.el (completion-table-with-quoting) <completion--unquote>:
9325 Make sure the new point we return is within the new string (bug#17239).
9327 2014-05-05 Daniel Colascione <dancol@dancol.org>
9329 * progmodes/compile.el (compilation-error-regexp-alist-alist):
9330 Port `gnu' pattern to rx.
9332 2014-05-05 Jarek Czekalski <jarekczek@poczta.onet.pl>
9334 Remove unneeded prompt when closing a buffer with active
9335 emacsclient ("Buffer ... still has clients"), #16548.
9336 * server.el (server-start): Remove the only call to:
9337 (server-kill-buffer-query-function): Remove.
9339 2014-05-04 Leo Liu <sdl.web@gmail.com>
9341 * calendar/diary-lib.el (calendar-chinese-month-name-array):
9342 Defvar to pacify compiler.
9344 2014-05-04 Eli Zaretskii <eliz@gnu.org>
9346 * mail/rmailsum.el (rmail-new-summary-1): Fix a typo in a comment.
9348 2014-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
9350 * vc/ediff-diff.el (ediff-set-fine-diff-properties-in-one-buffer):
9351 Use nil rather than `default' for the "default" appearance (bug#17388).
9352 * vc/ediff-util.el (ediff-inferior-compare-regions)
9353 (ediff-toggle-autorefine, ediff-unselect-difference): Don't use
9354 a misleading `default' value when it's really a boolean.
9355 * vc/ediff-init.el (ediff-set-overlay-face): Don't set help-echo if the
9356 overlay is not visible.
9358 2014-05-04 Stephen Berman <stephen.berman@gmx.net>
9360 * calendar/todo-mode.el (todo-edit-file): Use display-warning.
9361 (todo-menu): Uncomment and update.
9363 2014-05-04 Stephen Berman <stephen.berman@gmx.net>
9365 * calendar/todo-mode.el: Reimplement item editing to have the same
9366 basic user interface as item insertion, and make small UI and
9367 larger internal improvements to the latter.
9368 (todo-insert-item): Add reference to the Todo mode user manual to
9369 the documentation string.
9370 (todo-insert-item--basic): Rename from todo-basic-insert-item and
9371 adjust all callers. Change signature to combine diary and
9372 nonmarking arguments. Incorporate functionality of deleted item
9373 copying command and add error checking. Remove detailed
9374 descriptions of the arguments from the documentation string, since
9375 this is treated in the Todo mode user manual.
9376 (todo-copy-item, todo-edit-multiline-item)
9377 (todo-edit-done-item-comment, todo-edit-item-header)
9378 (todo-edit-item-time, todo-edit-item-date-from-calendar)
9379 (todo-edit-item-date-to-today, todo-edit-item-date-day-name)
9380 (todo-edit-item-date-year, todo-edit-item-date-month)
9381 (todo-edit-item-date-day, todo-edit-item-diary-nonmarking):
9383 (todo-edit-item): Reimplement as wrapper command for
9384 todo-edit-item--next-key and make it distinguish done and not done
9386 (todo-edit-item--text): New function, replacing old command
9387 todo-edit-item and incorporating deleted commands
9388 todo-edit-multiline-item and todo-edit-done-item-comment.
9389 (todo-edit-item--header): Rename from todo-basic-edit-item-header.
9390 Use only numeric value of prefix argument. Remove detailed
9391 descriptions of the arguments from the documentation string, since
9392 this is treated in the Todo mode user manual.
9393 (todo-edit-item--diary-inclusion): New function, replacing old
9394 command todo-edit-item-diary-inclusion and incorporating and fixing
9395 functionality of deleted command todo-edit-item-diary-nonmarking,
9396 making sure to remove todo-nondiary-marker when adding
9397 diary-nonmarking-symbol.
9398 (todo-edit-category-diary-inclusion): Make sure to delete
9399 diary-nonmarking-symbol when adding todo-nondiary-marker.
9400 (todo-edit-category-diary-nonmarking): Fix indentation.
9401 (todo-insert-item--parameters): Group diary and nonmarking
9402 parameters together.
9403 (todo-insert-item--apply-args): Adjust to signature of
9404 todo-insert-item--basic and incorporate copy parameter.
9405 Make small code improvements.
9406 (todo-insert-item--next-param): Improve prompt and adjust it to
9407 new parameter grouping. Remove obsolete code.
9408 (todo-edit-item--param-key-alist)
9409 (todo-edit-item--date-param-key-alist)
9410 (todo-edit-done-item--param-key-alist): New defconsts.
9411 (todo-edit-item--prompt): New variable.
9412 (todo-edit-item--next-key): New function.
9413 (todo-key-bindings-t): Bind "e" to todo-edit-item.
9414 Remove bindings of deleted commands.
9416 2014-05-04 Leo Liu <sdl.web@gmail.com>
9418 * emacs-lisp/cl-macs.el (cl-deftype): Fix indentation.
9420 2014-05-04 Glenn Morris <rgm@gnu.org>
9422 * allout-widgets.el (allout-widgets-tally)
9423 (allout-decorate-item-guides):
9424 * menu-bar.el (menu-bar-positive-p):
9425 * minibuffer.el (completion-pcm-complete-word-inserts-delimiters):
9426 * progmodes/gdb-mi.el (gdbmi-same-start, gdbmi-is-number):
9427 * progmodes/js.el (js--inside-param-list-p)
9428 (js--inside-dojo-class-list-p, js--forward-destructuring-spec):
9429 * progmodes/prolog.el (region-exists-p):
9430 * progmodes/verilog-mode.el (verilog-scan-cache-ok-p):
9431 * textmodes/reftex-parse.el (reftex-using-biblatex-p):
9432 Doc fixes (replace `iff').
9434 2014-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
9436 * mpc.el (mpc-volume-mouse-set): Don't burp at the boundaries.
9438 2014-05-04 Leo Liu <sdl.web@gmail.com>
9440 Support Chinese diary entries in calendar and diary. (Bug#17393)
9441 * calendar/cal-china.el (calendar-chinese-month-name-array): New var.
9442 (calendar-chinese-from-absolute-for-diary)
9443 (calendar-chinese-to-absolute-for-diary)
9444 (calendar-chinese-mark-date-pattern, diary-chinese-mark-entries)
9445 (diary-chinese-list-entries): New functions to list and mark
9446 Chinese diary entries in the calendar window.
9447 (diary-chinese-anniversary)
9448 (diary-chinese-insert-anniversary-entry)
9449 (diary-chinese-insert-entry, diary-chinese-insert-monthly-entry)
9450 (diary-chinese-insert-yearly-entry): New commands to insert
9451 Chinese diary entries.
9453 * calendar/diary-lib.el (diary-font-lock-keywords):
9454 Support font-locking Chinese dates.
9456 * calendar/cal-menu.el (cal-menu-diary-menu): Add entries for
9457 inserting Chinese diary entries.
9459 * calendar/calendar.el (diary-chinese-entry-symbol):
9460 New customizable variable.
9461 (calendar-mode-map): Add bindings for inserting Chinese diary
9464 2014-05-03 Juri Linkov <juri@jurta.org>
9466 * dired.el (dired-check-switches, dired-switches-recursive-p):
9467 New functions. (Bug#17218)
9468 (dired-switches-escape-p, dired-move-to-end-of-filename):
9469 Use `dired-check-switches'.
9470 (dired-insert-old-subdirs, dired-build-subdir-alist)
9471 (dired-sort-R-check): Use `dired-switches-recursive-p'.
9473 2014-05-01 Barry O'Reilly <gundaetiapo@gmail.com>
9475 * simple.el (undo-make-selective-list): New algorithm fixes
9476 incorrectness of position adjustments when undoing in region.
9478 (undo-elt-crosses-region): Make obsolete.
9479 (undo-adjust-elt, undo-adjust-beg-end, undo-adjust-pos):
9480 New functions to adjust positions using undo-deltas.
9482 2014-05-01 Stefan Monnier <monnier@iro.umontreal.ca>
9484 * emacs-lisp/lisp-mode.el (lisp--match-hidden-arg): Only highlight past
9485 the last consecutive closing paren (bug#17345).
9487 2014-04-30 Reuben Thomas <rrt@sc3d.org>
9489 * dired.el (dired-mode): make terminology for eXpunge command
9490 consistent. (Bug#17276)
9492 2014-04-30 Eli Zaretskii <eliz@gnu.org>
9494 * dired.el (dired-initial-position-hook, dired-initial-position):
9497 2014-04-30 Glenn Morris <rgm@gnu.org>
9499 * mail/rmail.el (rmail-quit): Handle killed summaries. (Bug#17283)
9501 2014-04-30 Matthias Dahl <matthias.dahl@binary-island.eu>
9503 * faces.el (face-spec-recalc): Apply X resources only after the
9504 defface spec has been applied. Thus, X resources are no longer
9505 overriden by the defface spec which also fixes issues on win32 where
9506 the toolbar coloring was wrong because it is set through X resources
9507 and was (wrongfully) overriden. (Bug#16694)
9509 2014-04-30 Stefan Monnier <monnier@iro.umontreal.ca>
9511 * textmodes/rst.el (electric-pair-pairs): Declare.
9512 (rst-mode): Set it (bug#17131).
9514 2014-04-30 Juri Linkov <juri@jurta.org>
9516 * desktop.el (desktop-value-to-string): Let-bind `print-length'
9517 and `print-level' to nil. (Bug#17351)
9519 2014-04-30 Nicolas Richard <theonewiththeevillook@yahoo.fr>
9521 * battery.el (battery-update): Handle the case where battery
9522 status is "N/A" (bug#17319).
9524 2014-04-28 Stefan Monnier <monnier@iro.umontreal.ca>
9526 * progmodes/ps-mode.el: Use SMIE. Move string and comment recognition
9527 to syntax-propertize.
9528 (ps-mode-auto-indent): Mark as obsolete.
9529 (ps-mode-font-lock-keywords-1): Remove string-or-comment handling.
9530 (ps-mode-font-lock-keywords-3): Use symbol regexp operators instead of
9531 word regexp operators.
9532 (ps-mode-map): Move initialization into declaration. Remove binding
9533 for TAB, RET, >, ], and }.
9534 (ps-mode-syntax-table): Move initialization into declaration.
9535 Don't give word syntax to non-word chars.
9536 (ps-run-mode-map): Move initialization into declaration.
9537 (ps-mode-menu-main): Remove auto-indent entry.
9538 (ps-mode-smie-rules): New function.
9539 (ps-mode): Setup smie, syntax-propertize, and electric-indent-mode.
9540 (ps-mode-looking-at-nested, ps-mode-match-string-or-comment): Remove.
9541 (ps-mode--string-syntax-table): New const.
9542 (ps-mode--syntax-propertize-special, ps-mode-syntax-propertize):
9544 (ps-mode-newline, ps-mode-tabkey, ps-mode-r-brace, ps-mode-r-angle)
9545 (ps-mode-r-gt, ps-mode-r-balance): Remove functions.
9547 2014-04-27 Daniel Colascione <dancol@dancol.org>
9549 * term/xterm.el (xterm-paste): Use large finite timeout when
9550 reading event to avoid putting keys in this-command-keys.
9552 2014-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
9554 * progmodes/perl-mode.el (perl--syntax-exp-intro-regexp): New var.
9555 (perl-syntax-propertize-function): Use it. Extend handling of
9556 here-docs to the unquoted case.
9558 2014-04-25 Eli Zaretskii <eliz@gnu.org>
9560 * tooltip.el (tooltip-show-help-non-mode, tooltip-show-help):
9561 Use equal-including-properties to compare help-echo strings (bug#17331).
9563 2014-04-25 Leo Liu <sdl.web@gmail.com>
9565 * emacs-lisp/lisp-mode.el (emacs-lisp-mode-syntax-table):
9566 Fix syntax for @. (Bug#17325)
9568 2014-04-25 Daniel Colascione <dancol@dancol.org>
9570 * emacs-lisp/cl.el (gv): Require gv early to break eager
9571 macro-expansion cycles.
9573 2014-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
9575 * simple.el (region-active-p): Check there's a mark (bug#17324).
9577 * simple.el (completion-list-mode-map): Use choose-completion for the
9578 mouse binding as well (bug#17302).
9579 (completion-list-mode, completion-setup-function): Adjust docstring and
9580 echo area message accordingly.
9581 * progmodes/idlwave.el (idlwave-choose-completion): Adjust to new
9582 calling convention of choose-completion.
9583 * comint.el (comint-dynamic-list-completions):
9584 * term.el (term-dynamic-list-completions): Accept choose-completion.
9586 * progmodes/perl-mode.el (perl-syntax-propertize-function): Slash after
9587 &, |, +, - and * can't be a division (bug#17317).
9589 * term/xterm.el (xterm--version-handler): Don't use modern xterm
9590 features on gnome-terminal (bug#16988).
9592 2014-04-25 Thien-Thi Nguyen <ttn@gnu.org>
9594 Improve Scheme font-locking for (define ((foo ...) ...) ...).
9596 * progmodes/scheme.el (scheme-font-lock-keywords-1): To find
9597 the declared object, ignore zero or more parens, not zero or one.
9599 2014-04-24 Leo Liu <sdl.web@gmail.com>
9601 * progmodes/xscheme.el (xscheme-expressions-ring)
9602 (xscheme-expressions-ring-yank-pointer, xscheme-running-p)
9603 (xscheme-control-g-disabled-p, xscheme-process-filter-state)
9604 (xscheme-allow-output-p, xscheme-prompt)
9605 (xscheme-string-accumulator, xscheme-mode-string): Use defvar-local.
9607 * progmodes/scheme.el (would-be-symbol, next-sexp-as-string):
9608 Comment out unused functions.
9610 2014-04-24 Stefan Monnier <monnier@iro.umontreal.ca>
9612 * info.el: Use lexical-binding and cl-lib.
9613 Use defvar-local and setq-local instead of make-local-variable.
9614 (Info-apropos-matches): Avoid add-to-list.
9615 (Info-edit-mode-map): Fix obsolescence call to Info-edit-map.
9617 2014-04-24 Daniel Colascione <dancol@dancol.org>
9619 * progmodes/sh-script.el (sh-builtins): Add coproc to list of bash builtins.
9621 2014-04-23 Stefan Monnier <monnier@iro.umontreal.ca>
9623 * emacs-lisp/cl-macs.el (cl--loop-let): Fix last merge.
9625 2014-04-22 Michael Heerdegen <michael_heerdegen@web.de>
9627 * dired.el (dired-insert-set-properties): Do not consider
9628 subdirectory headings and empty lines to be information that
9629 `dired-hide-details-mode' should hide. (Bug#17228)
9631 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
9633 * net/tramp-sh.el (tramp-sh-handle-file-name-all-completions):
9634 Remove test messages.
9635 (tramp-do-copy-or-rename-file-out-of-band): Do not quote `source'
9638 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
9640 * dframe.el (dframe-get-focus): Remove `hook' argument (bug#17311).
9641 * speedbar.el (speedbar-get-focus): Run the "hook" afterwards instead.
9643 * emacs-lisp/cl-macs.el (cl--loop-let): Avoid `nil' as var name.
9645 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
9647 * net/tramp-sh.el (tramp-sh-handle-file-name-all-completions):
9648 Set "IFS=" when using read builtin, in order to preserve spaces in
9649 the file name. Add test messages for hunting a bug on hydra.
9650 (tramp-get-ls-command): Undo using "-b" argument. It doesn't help.
9652 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
9654 * progmodes/prog-mode.el (prettify-symbols--compose-symbol):
9655 Don't prettify a word within a symbol.
9657 2014-04-22 Michael Albinus <michael.albinus@gmx.de>
9659 * net/tramp-sh.el (tramp-get-ls-command): Use "-b" argument if
9662 2014-04-22 Daniel Colascione <dancol@dancol.org>
9664 * emacs-lisp/byte-run.el (function-put): Unbreak build: don't
9665 use defun to define `function-put'.
9667 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
9669 * emacs-lisp/lisp-mode.el (lisp--match-hidden-arg): New function.
9670 (lisp-el-font-lock-keywords-2, lisp-cl-font-lock-keywords-2): Use it.
9671 (lisp-mode-variables): Set font-lock-extra-managed-props.
9673 * emacs-lisp/byte-run.el (function-put): New function.
9674 (defun-declarations-alist): Use it. Add `pure' and `side-effect-free'.
9675 * emacs-lisp/cl-macs.el (cl-defstruct, cl-struct-sequence-type)
9676 (cl-struct-slot-info, cl-struct-slot-offset, cl-struct-slot-value):
9679 2014-04-22 Daniel Colascione <dancol@dancol.org>
9681 * emacs-lisp/macroexp.el (internal-macroexpand-for-load):
9682 Add `full-p' parameter; when nil, call `macroexpand' instead of
9685 * emacs-lisp/byte-run.el (eval-when-compile, eval-and-compile):
9688 * emacs-lisp/bytecomp.el (byte-compile-initial-macro-environment):
9689 Use lambda function values, not quoted lambdas.
9690 (byte-compile-recurse-toplevel): Remove extraneous &optional.
9692 * emacs-lisp/cl-macs.el
9693 (cl-struct-sequence-type, cl-struct-slot-info): Declare pure.
9694 (cl-struct-slot-value): Conditionally use aref or nth so that the
9695 compiler produces optimal code.
9697 2014-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
9699 * emacs-lisp/cl-macs.el (cl-struct-slot-offset): Mark as pure.
9700 (inline): Don't inline cl--set-elt.
9701 (cl-struct-slot-value): Remove explicit gv-setter and compiler-macro.
9702 Define as inlinable instead.
9703 (cl-struct-set-slot-value): Remove.
9705 * emacs-lisp/cl-lib.el (cl--set-elt): Remove.
9706 * emacs-lisp/cl-seq.el (cl-replace, cl-substitute, cl-nsubstitute):
9709 2014-04-21 Daniel Colascione <dancol@dancol.org>
9711 * emacs-lisp/cl-macs.el (cl--const-expr-val): We didn't need the
9712 last two parameters after all.
9713 (cl--expr-contains,cl--compiler-macro-typep,cl--compiler-macro-member)
9714 (cl--compiler-macro-assoc,cl-struct-slot-value)
9715 (cl-struct-set-slot-value): Stop using them.
9717 (2014-04-21 Stefan Monnier <monnier@iro.umontreal.ca>
9719 * image-mode.el (image-mode-window-put): Don't assume there's a `t'
9720 entry in image-mode-winprops-alist.
9722 2014-04-21 Daniel Colascione <dancol@dancol.org>
9724 * emacs-lisp/bytecomp.el (byte-compile-recurse-toplevel): New function.
9725 (byte-compile-recurse-toplevel, byte-compile-initial-macro-environment)
9726 (byte-compile-toplevel-file-form): Use it.
9728 * emacs-lisp/cl-macs.el:
9729 (cl--loop-let): Properly destructure `while' clauses.
9731 2014-04-20 Daniel Colascione <dancol@dancol.org>
9733 * vc/vc.el (vc-root-dir): New public autoloaded function for
9734 generically finding the current VC root.
9735 * vc/vc-hooks.el (vc-not-supported): New error.
9736 (vc-call-backend): Signal `vc-not-supported' instead of generic error.
9738 2014-04-20 Daniel Colascione <dancol@dancol.org>
9740 * emacs-lisp/cl-macs.el (cl-the): Make `cl-the' assert its type
9742 (cl--const-expr-val): cl--const-expr-val should macroexpand its
9743 argument in case we're inside a symbol-macrolet.
9744 (cl--do-arglist, cl--compiler-macro-typep)
9745 (cl--compiler-macro-member, cl--compiler-macro-assoc): Pass macro
9746 environment to `cl--const-expr-val'.
9747 (cl-struct-sequence-type,cl-struct-slot-info)
9748 (cl-struct-slot-offset, cl-struct-slot-value)
9749 (cl-struct-set-slot-value): New functions.
9751 2014-04-19 Stefan Monnier <monnier@iro.umontreal.ca>
9753 * progmodes/sh-script.el (sh-smie--sh-keyword-p): Handle variable
9754 assignments such as "case=hello" (bug#17297).
9756 2014-04-18 Michael Albinus <michael.albinus@gmx.de>
9758 * net/tramp.el (tramp-run-real-handler, tramp-file-name-handler):
9760 (tramp-file-name-handler, tramp-completion-file-name-handler):
9761 Revert patch from 2014-04-10, it isn't necessary anymore.
9762 (tramp-autoload-file-name-handler)
9763 (tramp-register-autoload-file-name-handlers): New defuns.
9764 (top): Autoload call of `tramp-register-autoload-file-name-handlers'.
9765 (tramp-register-file-name-handlers): Remove also
9766 `tramp-autoload-file-name-handler' from `file-name-handler-list'.
9767 Do not autoload its invocation, but eval it after loading of 'tramp.
9769 * net/tramp-adb.el (tramp-unload-hook): Unload `tramp-adb'.
9771 * net/tramp-compat.el (tramp-unload-hook): Unload `tramp-loaddefs'.
9773 2014-04-17 Daniel Colascione <dancol@dancol.org>
9775 Add support for bracketed paste mode; add infrastructure for
9776 managing terminal mode enabling and disabling automatically.
9779 (xterm-mouse-mode): Simplify.
9780 (xterm-mouse-tracking-enable-sequence)
9781 (xterm-mouse-tracking-disable-sequence): New constants.
9782 (turn-on-xterm-mouse-tracking-on-terminal)
9783 (turn-off-xterm-mouse-tracking-on-terminal):
9784 Use tty-mode-set-strings and tty-mode-reset-strings terminal
9785 parameters instead of random hooks.
9786 (turn-on-xterm-mouse-tracking)
9787 (turn-off-xterm-mouse-tracking): Delete.
9789 * term/xterm.el (xterm-extra-capabilities): Fix bitrotted comment.
9790 (xterm-paste-ending-sequence): New constant.
9791 (xterm-paste): New command used for bracketed paste support.
9793 (xterm-modify-other-keys-terminal-list): Delete obsolete variable.
9794 (terminal-init-xterm-bracketed-paste-mode): New function.
9795 (terminal-init-xterm): Call it.
9796 (terminal-init-xterm-modify-other-keys): Use tty-mode-set-strings
9797 and tty-mode-reset-strings instead of random hooks.
9798 (xterm-turn-on-modify-other-keys)
9799 (xterm-turn-off-modify-other-keys)
9800 (xterm-remove-modify-other-keys): Delete obsolete functions.
9802 * term/screen.el: Rewrite to just use the xterm code.
9803 Add copyright notice. Mention tmux.
9805 2014-04-17 Ian D <dunni@gnu.org> (tiny change)
9807 * image-mode.el (image-mode-window-put): Also update the property of
9808 the "default window".
9809 * doc-view.el (doc-view-new-window-function): If no window
9810 exists, move to the last known page.
9812 2014-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
9814 * progmodes/perl-mode.el (perl-calculate-indent): Don't auto-indent in
9815 here-documents (bug#17262).
9817 2014-04-16 Eli Zaretskii <eliz@gnu.org>
9819 * term/pc-win.el (x-list-fonts, x-get-selection-value):
9820 Provide doc strings, as required by snarf-documentation.
9822 2014-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
9824 * ps-def.el (ps-generate-postscript-with-faces1): Use the new `sorted'
9825 arg of overlays-at. Use `invisible-p'.
9827 * obsolete/lucid.el (extent-at):
9828 * htmlfontify.el (hfy-overlay-props-at): Use the new `sorted' arg of
9830 (hfy-fontify-buffer): Remove unused var `orig-ovls'.
9832 2014-04-16 João Távora <joaotavora@gmail.com>
9834 * net/shr.el (shr-expand-url): Use `expand-file-name' for relative
9837 2014-04-16 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
9839 * vc/ediff-diff.el (ediff-set-fine-diff-properties-in-one-buffer):
9840 Use mapc to loop over a vector. (Bug#17257).
9842 2014-04-16 Michael Albinus <michael.albinus@gmx.de>
9844 * net/tramp-sh.el (tramp-sh-handle-file-truename): Revert previous
9845 patch, there are new problems with file names containing spaces.
9846 Get rid of backticks. (Bug#17238)
9848 2014-04-16 João Távora <joaotavora@gmail.com>
9850 * elec-pair.el (electric-pair--syntax-ppss): Simplify and fix
9853 2014-04-16 Eli Zaretskii <eliz@gnu.org>
9855 * frame.el (blink-cursor-blinks, blink-cursor-blinks-done): Doc fixes.
9856 (blink-cursor-mode): Mention customization variables and the
9857 effect of 'blink-cursor-blinks'.
9859 2014-04-16 Barry O'Reilly <gundaetiapo@gmail.com>
9861 * simple.el (undo): Prevent insertion of identity mapping into
9862 undo-equiv-table so as undo-only does not inf loop in the presence
9863 of consecutive nils in undo list.
9865 2014-04-16 Matthias Dahl <matthias.dahl@binary-island.eu>
9867 * faces.el (make-face): Deprecate optional argument as it is no
9868 longer needed/used since the conditional X resources handling
9869 has been pushed down to make-face-x-resource-internal itself.
9870 (make-empty-face): Don't pass optional argument to make-face.
9872 2014-04-16 Karl Fogel <kfogel@red-bean.com>
9874 * savehist.el (savehist-save): Remove workaround for a read-passwd
9875 bug that was fixed before 24.3. Thanks to Juanma Barranquero for
9876 noticing that the shim was still present.
9878 2014-04-14 Stefan Monnier <monnier@iro.umontreal.ca>
9880 * doc-view.el (doc-view-set-doc-type): Ignore file name case; add .pps.
9882 2014-04-14 Juanma Barranquero <lekktu@gmail.com>
9884 * faces.el (face-set-after-frame-default): Remove unused local variable.
9886 2014-04-12 Stefan Monnier <monnier@iro.umontreal.ca>
9888 * progmodes/grep.el: Use lexical-binding.
9889 (grep-expand-template): Pass explicit lexical env to `eval'.
9890 (zrgrep): Let-bind grep-find-template explicitly.
9892 * emacs-lisp/cl-lib.el (current-case-table): Remove setter.
9893 * leim/quail/sisheng.el (sisheng-list): Use with-case-table.
9895 2014-04-12 Eli Zaretskii <eliz@gnu.org>
9897 * international/characters.el <standard-case-table>: Add entries
9898 for letters from the Coptic block u+2C80-u+2CFF. (Bug#17243)
9899 Set category of Coptic characters be 'g' (Greek).
9901 2014-04-12 Leo Liu <sdl.web@gmail.com>
9903 * progmodes/octave.el (completion-table-with-cache):
9904 Define if not available.
9905 (octave-goto-function-definition, octave-sync-function-file-names)
9906 (octave-find-definition-default-filename):
9907 Backquote upattern for compatibility.
9909 2014-04-12 Michael Albinus <michael.albinus@gmx.de>
9911 * net/tramp-sh.el (tramp-sh-handle-file-truename): Quote the file
9912 name twice due to backticks. (Bug#17238)
9914 2014-04-12 Glenn Morris <rgm@gnu.org>
9916 * term/w32-win.el (x-win-suspend-error):
9917 * term/x-win.el (x-win-suspend-error): Sync docs.
9919 2014-04-12 Matthias Dahl <matthias.dahl@binary-island.eu>
9921 * faces.el (make-face): Remove deprecated optional argument.
9922 The conditional application of X resources is handled directly by
9923 make-face-x-resource-internal since Emacs 24.4.
9924 (make-empty-face): Don't pass optional argument to make-face.
9926 2014-04-11 Glenn Morris <rgm@gnu.org>
9928 * Makefile.in (EMACSDATA, EMACSDOC, EMACSPATH): Unexport. (Bug#16429)
9930 2014-04-11 Stefan Monnier <monnier@iro.umontreal.ca>
9932 Ediff's overlay priorities cause more trouble than they solve.
9933 * vc/ediff-init.el (ediff-shadow-overlay-priority): Remove variable.
9934 (ediff-highest-priority): Remove function (bug#17234).
9935 * vc/ediff-util.el (ediff-highlight-diff-in-one-buffer):
9936 * vc/ediff-diff.el (ediff-set-diff-overlays-in-one-buffer)
9937 (ediff-set-fine-diff-properties-in-one-buffer): Don't mess with
9940 2014-04-11 Feng Li <fengli@gmail.com> (tiny change)
9942 * progmodes/pascal.el (pascal-font-lock-keywords): Fix incorrect format
9943 entry; use symbol boundaries to avoid mis-matches.
9945 2014-04-11 Michael Albinus <michael.albinus@gmx.de>
9947 * net/tramp.el (tramp-file-name-handler)
9948 (tramp-completion-file-name-handler): Avoid recursive loading.
9950 * net/tramp-sh.el (tramp-make-copy-program-file-name):
9951 Quote result also locally.
9953 2014-04-11 Stefan Monnier <monnier@iro.umontreal.ca>
9955 * emulation/cua-base.el (<toplevel>, cua--pre-command-handler-1):
9956 Remove left-over code.
9958 * newcomment.el (comment-indent-new-line): Sink code where it's used.
9959 Reuse the previous comment's indentation unconditionally if it's on its
9962 2014-04-09 Daniel Colascione <dancol@dancol.org>
9964 * emacs-lisp/lisp.el (backward-up-list): Add `escape-strings',
9965 `no-syntax-crossing' arguments. Forward to `up-list'.
9966 (up-list): Add `escape-strings', `no-syntax-crossing' arguments.
9967 Implement logic for escaping from strings. Use narrowing to deal
9970 2014-04-09 Leo Liu <sdl.web@gmail.com>
9972 * net/rcirc.el (rcirc-connection-info): New variable.
9973 (rcirc-connect): Use it to store connection info.
9974 (rcirc-buffer-process): Avoid get-buffer-process which returns nil
9976 (rcirc-cmd-reconnect): New command. (Bug#17045)
9977 (rcirc-mode, set-rcirc-encode-coding-system)
9978 (set-rcirc-decode-coding-system, rcirc-connect): Use setq-local.
9980 2014-04-09 Daniel Colascione <dancol@dancol.org>
9982 * emacs-lisp/cl-indent.el: Add comment claiming
9983 facility is also good for elisp.
9984 (lisp-indent-find-method): New function.
9985 (common-lisp-indent-function): Recognize cl-loop.
9986 (common-lisp-indent-function-1): Recognize cl constructs; use
9987 `lisp-indent-find-method' instead of `get' directly.
9988 (if): Use else-body style for elisp.
9990 2014-04-09 Dmitry Gutov <dgutov@yandex.ru>
9992 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Highlight more
9993 Module methods. (Bug#17216)
9995 2014-04-09 Stefan Monnier <monnier@iro.umontreal.ca>
9997 * help.el (describe-bindings): Fix buffer handling (bug#17210).
9998 (describe-bindings-internal): Mark obsolete.
10000 2014-04-09 Stefan Monnier <monnier@iro.umontreal.ca>
10002 * subr.el (with-silent-modifications): Don't bind deactivate-mark,
10003 buffer-file-name, and buffer-file-truename any more.
10005 2014-04-08 Leo Liu <sdl.web@gmail.com>
10007 Use lexical-binding and require cl-lib.
10008 * net/rcirc.el (rcirc, rcirc-handler-ctcp-KEEPALIVE)
10009 (rcirc-handler-generic, rcirc-fill-paragraph)
10010 (rcirc-format-response-string, rcirc-target-buffer)
10011 (rcirc-last-line, rcirc-record-activity, rcirc-split-activity)
10012 (rcirc-activity-string, rcirc-make-trees, rcirc-cmd-ctcp)
10013 (rcirc-ctcp-sender-PING, rcirc-browse-url)
10014 (rcirc-markup-timestamp, rcirc-markup-attributes)
10015 (rcirc-markup-my-nick, rcirc-markup-urls)
10016 (rcirc-markup-bright-nicks, rcirc-markup-fill)
10017 (rcirc-check-auth-status, rcirc-handler-WALLOPS)
10018 (rcirc-handler-JOIN, rcirc-handler-PART-or-KICK)
10019 (rcirc-handler-PART, rcirc-handler-KICK, rcirc-handler-QUIT)
10020 (rcirc-handler-NICK, rcirc-handler-PING, rcirc-handler-PONG)
10021 (rcirc-handler-TOPIC, rcirc-handler-301, rcirc-handler-317)
10022 (rcirc-handler-332, rcirc-handler-333, rcirc-handler-477)
10023 (rcirc-handler-MODE, rcirc-handler-353, rcirc-handler-366)
10024 (rcirc-authenticate, rcirc-handler-INVITE, rcirc-handler-ERROR)
10025 (rcirc-handler-ctcp-VERSION, rcirc-handler-ctcp-TIME)
10026 (rcirc-handler-CTCP-response): Fix unused arguments warnings and
10029 2014-04-07 João Távora <joaotavora@gmail.com>
10031 * elec-pair.el (electric-pair--syntax-ppss):
10032 When inside comments parse from comment beginning.
10033 (electric-pair--balance-info): Fix typo in comment.
10034 (electric-pair--in-unterminated-string-p): Delete.
10035 (electric-pair--unbalanced-strings-p): New function.
10036 (electric-pair-string-bound-function): New var.
10037 (electric-pair-inhibit-if-helps-balance): Decide quote pairing
10038 according to `electric-pair--in-unterminated-string-p'
10040 * elec-pair.el (electric-pair-inhibit-if-helps-balance):
10041 Inhibit quote pairing if point-max is inside an unterminated string.
10042 (electric-pair--looking-at-unterminated-string-p): Delete.
10043 (electric-pair--in-unterminated-string-p): New function.
10045 2014-04-07 Glenn Morris <rgm@gnu.org>
10047 * shell.el (shell-directory-tracker):
10048 Go back to just ignoring failures. (Bug#17159)
10050 2014-04-07 João Távora <joaotavora@gmail.com>
10052 Fix `electric-pair-delete-adjacent-pairs' in modes binding
10053 backspace. (Bug#16981)
10054 * elec-pair.el (electric-pair-backward-delete-char): Delete.
10055 (electric-pair-backward-delete-char-untabify): Delete.
10056 (electric-pair-mode-map): Bind backspace to a menu item filtering
10057 a new `electric-pair-delete-pair' command.
10058 (electric-pair-delete-pair): New command.
10060 * progmodes/python.el (python-electric-pair-string-delimiter):
10061 Fix triple-quoting electricity. (Bug#17192)
10063 * elec-pair.el (electric-pair-post-self-insert-function):
10064 Don't skip whitespace when `electric-pair-text-pairs' and
10065 `electric-pair-pairs' were used. syntax to
10066 electric-pair--skip-whitespace. (Bug#17183)
10068 2014-04-07 Eli Zaretskii <eliz@gnu.org>
10070 * leim/quail/ipa.el (ipa-x-sampa): Fix the character produced for
10073 2014-04-07 Stefan Monnier <monnier@iro.umontreal.ca>
10075 * mpc.el (mpc--status-timer-run): Disable timer if not displayed.
10076 (mpc--status-idle-timer-run): Use mpc--status-timer-run.
10078 2014-04-07 Glenn Morris <rgm@gnu.org>
10080 * help.el (view-lossage): Doc tweak.
10082 2014-04-07 Matthias Dahl <ml_emacs-lists@binary-island.eu>
10084 * faces.el (face-spec-recalc): Call make-face-x-resource-internal
10085 only when inhibit-x-resources is nil, and do that earlier in the
10086 function. Doc fix. (Bug#16694)
10087 (face-spec-choose): Accept additional optional argument, whose
10088 value is returned if no matching attributes are found.
10089 (face-spec-recalc): Use the new optional argument when calling
10090 face-spec-choose. (Bug#16378)
10091 (make-face-x-resource-internal): Do nothing when
10092 inhibit-x-resources is non-nil. Don't touch the default face if
10093 reversed video is given--as was done in previous versions of Emacs.
10094 (face-set-after-frame-default): Don't call
10095 make-face-x-resource-internal here. (Bug#16434)
10097 2014-04-07 Tassilo Horn <tsdh@gnu.org>
10099 * doc-view.el (doc-view-bookmark-jump):
10100 Use `bookmark-after-jump-hook' to jump to the right page after the
10101 buffer is shown in a window. (bug#16090)
10103 2014-04-07 Eli Zaretskii <eliz@gnu.org>
10105 * international/characters.el (mirroring): Fix last change:
10106 instead of loading uni-mirrored.el explicitly, do that implicitly
10107 by creating the 'mirroring' uniprop table. This avoids announcing
10108 the loading of uni-mirrored.el.
10110 2014-04-07 Glenn Morris <rgm@gnu.org>
10112 * files.el (buffer-stale--default-function)
10113 (buffer-stale-function, revert-buffer--default):
10114 * autorevert.el (auto-revert-buffers): Doc tweaks.
10116 2014-04-07 Eli Zaretskii <eliz@gnu.org>
10118 * international/characters.el: Preload uni-mirrored.el. (Bug#17169)
10120 2014-04-07 Glenn Morris <rgm@gnu.org>
10122 * files.el (make-backup-file-name-function)
10123 (make-backup-file-name, make-backup-file-name--default-function)
10124 (make-backup-file-name-1, find-backup-file-name)
10125 (revert-buffer-function, revert-buffer-insert-file-contents-function)
10126 (buffer-stale--default-function, buffer-stale-function)
10127 (before-revert-hook, after-revert-hook, revert-buffer-in-progress-p)
10128 (revert-buffer, revert-buffer--default)
10129 (revert-buffer-insert-file-contents--default-function):
10130 Doc fixes related to defaults no longer being nil.
10131 (make-backup-file-name-function): Bump :version.
10132 Restore nil as a valid but deprecated custom type.
10134 2014-04-07 Stefan Monnier <monnier@iro.umontreal.ca>
10136 * progmodes/perl-mode.el (perl-syntax-propertize-function):
10137 Handle $' used as a variable (bug#17174).
10139 * progmodes/perl-mode.el (perl-indent-new-calculate):
10140 Handle forward-sexp failure (bug#16985).
10141 (perl-syntax-propertize-function): Add "foreach" and "for" statement
10142 modifiers introducing expressions (bug#17116).
10144 2014-04-06 Stefan Monnier <monnier@iro.umontreal.ca>
10146 * dired-aux.el (dired-file-set-difference): Use lexical-scoping.
10148 2014-04-05 Leo Liu <sdl.web@gmail.com>
10150 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
10151 Add define-compilation-mode.
10153 2014-04-04 João Távora <joaotavora@gmail.com>
10155 * elec-pair.el (electric-pair--syntax-ppss): When inside comments
10156 parse from comment beginning.
10157 (electric-pair--balance-info): Fix typo in comment.
10158 (electric-pair--in-unterminated-string-p): Delete.
10159 (electric-pair--unbalanced-strings-p): New function.
10160 (electric-pair-string-bound-function): New var.
10161 (electric-pair-inhibit-if-helps-balance): Decide quote pairing
10162 according to `electric-pair--in-unterminated-string-p'.
10164 2014-04-04 Stefan Monnier <monnier@iro.umontreal.ca>
10166 * textmodes/reftex-parse.el (reftex--index-tags): Rename `index-tags'.
10167 Move declaration before first use.
10168 (reftex-move-to-next-arg): Silence compiler warning.
10170 2014-04-04 Joost Kremers <joostkremers@fastmail.fm> (tiny change)
10172 * textmodes/reftex-toc.el (reftex-toc, reftex-re-enlarge):
10173 Use `window-total-width' instead of `window-width'.
10175 2014-04-03 Daniel Colascione <dancol@dancol.org>
10177 * subr.el (set-transient-map): Remove rms's workaround entirely;
10178 use new `suspicious-object' subr to mark our lambda for closer
10179 scrutiny during gc.
10181 2014-04-02 Richard Stallman <rms@gnu.org>
10183 * subr.el (set-transient-map): Comment out previous change.
10185 2014-04-02 Glenn Morris <rgm@gnu.org>
10187 * menu-bar.el (menu-bar-file-menu):
10188 * vc/ediff.el (ediff-current-file):
10189 Update for revert-buffer-function no longer being nil by default.
10191 * simple.el (command-execute): Respect nil disabled-command-function.
10193 2014-04-02 Nicolas Richard <theonewiththeevillook@yahoo.fr>
10195 * simple.el (command-execute): Do not execute the command when it
10196 is disabled; fixes thinko in 2013-02-20 conversion from C. (Bug#17151)
10198 2014-04-02 Juri Linkov <juri@jurta.org>
10200 * dired-aux.el (dired-compress-file): Don't use string-match-p
10201 because its match data is used afterwards.
10203 2014-04-02 Stefan Monnier <monnier@iro.umontreal.ca>
10205 * emacs-lisp/package.el (package-built-in-p): Treat a min-version of
10208 2014-04-02 João Távora <joaotavora@gmail.com>
10210 * elec-pair.el (electric-pair-inhibit-if-helps-balance):
10211 Inhibit quote pairing if point-max is inside an unterminated string.
10212 (electric-pair--looking-at-unterminated-string-p):
10214 (electric-pair--in-unterminated-string-p): New function.
10216 2014-04-01 Daniel Colascione <dancol@dancol.org>
10218 * minibuffer.el (minibuffer-complete): Prevent assertion failure
10219 when trying to complete the prompt.
10221 2014-03-31 Leo Liu <sdl.web@gmail.com>
10223 * emacs-lisp/eldoc.el (eldoc-print-current-symbol-info):
10224 Refactor out eldoc-documentation-function-default.
10225 (eldoc-documentation-function-default): New function.
10226 (eldoc-documentation-function): Change value.
10228 2014-03-31 Glenn Morris <rgm@gnu.org>
10230 * simple.el (cycle-spacing--context, cycle-spacing): Doc tweaks.
10232 * progmodes/vhdl-mode.el (vhdl-speedbar-select-mra)
10233 (vhdl-compose-components-package, vhdl-compose-configuration):
10234 Abbreviate default-directory (missing from some previous upstream sync).
10236 2014-03-31 Reto Zimmermann <reto@gnu.org>
10238 Sync with upstream vhdl mode v3.35.2.
10239 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
10240 (top-level): No longer require assoc.
10241 (vhdl-asort, vhdl-anot-head-p, vhdl-aput, vhdl-adelete, vhdl-aget):
10242 New functions. Use throughout to replace aget etc.
10243 (vhdl-aput-delete-if-nil): Rename from vhdl-aput.
10244 (vhdl-update-file-contents): Update for vhdl-aput-delete-if-nil rename.
10245 (vhdl-template-replace-header-keywords): Fix bug for "<title string>".
10246 (vhdl-compile-init): Do not initialize regexps for Emacs 22+.
10247 (vhdl-error-regexp-emacs-alist): Remove regexps from all compilers
10248 except `vhdl-compiler'.
10249 (vhdl-error-regexp-add-emacs): Remove all other compilers,
10252 2014-03-31 Glenn Morris <rgm@gnu.org>
10254 * progmodes/vhdl-mode.el (vhdl-expand-abbrev, vhdl-expand-paren):
10255 Revert 2014-03-26 merge goof; go back to using defalias.
10257 2014-03-30 Daniel Colascione <dancol@dancol.org>
10259 * comint.el (comint-send-input):
10260 Deactivate completion-in-region-mode before we send comint input.
10263 * simple.el (keyboard-quit): Deactivate completion-in-region-mode
10266 2014-03-29 Glenn Morris <rgm@gnu.org>
10268 * textmodes/reftex.el: Manage most autoloads automatically.
10269 * textmodes/reftex-auc.el, textmodes/reftex-cite.el:
10270 * textmodes/reftex-dcr.el, textmodes/reftex-global.el:
10271 * textmodes/reftex-index.el, textmodes/reftex-parse.el:
10272 * textmodes/reftex-ref.el, textmodes/reftex-sel.el:
10273 * textmodes/reftex-toc.el: Set generated-autoload-file,
10274 and add autoload cookies for reftex.el.
10275 * Makefile.in (AUTOGEN_VCS): Add textmodes/reftex.el.
10277 2014-03-28 Glenn Morris <rgm@gnu.org>
10279 * cus-start.el (report-emacs-bug-address): Set custom properties.
10280 * mail/emacsbug.el (report-emacs-bug-address):
10281 Variable is now defined in emacs.c.
10283 * mail/emacsbug.el (report-emacs-bug):
10284 Include system-configuration-features.
10286 2014-03-28 Michal Nazarewicz <mina86@mina86.com>
10288 * simple.el (cycle-spacing): Never delete spaces on first run by
10289 default, but do so in a new 'fast mode and if there are already
10290 N spaces (the previous behavior).
10291 Compare N with its value in previous invocation so that changing
10292 prefix argument restarts `cycle-spacing' sequence.
10293 The idea is that with this change, binding M-SPC to
10294 `cycle-spacing' should not introduce any changes in behavior of
10295 the binding so long as users do not type M-SPC twice in a raw with
10296 the same prefix argument or lack thereof.
10298 2014-03-28 Glenn Morris <rgm@gnu.org>
10300 * faces.el (term-file-aliases): New variable.
10301 (tty-run-terminal-initialization): Respect term-file-aliases.
10302 * term/apollo.el, term/vt102.el, term/vt125.el, term/vt201.el:
10303 * term/vt220.el, term/vt240.el, term/vt300.el, term/vt320.el:
10304 * term/vt400.el, term/vt420.el: Remove files, replaced by aliases.
10306 2014-03-27 Glenn Morris <rgm@gnu.org>
10308 * startup.el (inhibit-startup-hooks): Doc tweak.
10309 (normal-top-level): Simplify running of hooks.
10310 For window-setup-hook, respect inhibit-startup-hooks.
10311 (command-line-1): Don't set window-setup-hook to nil.
10313 Allow selective autoloading from obsolete/ directory.
10314 * Makefile.in (obsolete-autoloads): New rule.
10315 (autoloads): Run obsolete-autoloads.
10316 * obsolete/iswitchb.el (iswitchb-mode): Use obsolete-autoload.
10317 * simple.el (iswitchb-mode): Remove hand-written autoloads.
10319 2014-03-27 Dmitry Gutov <dgutov@yandex.ru>
10321 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
10322 Highlight special globals with font-lock-builtin-face. (Bug#17057)
10324 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
10325 Don't propertize `?' or `!' as symbol constituent when after
10328 2014-03-27 Juanma Barranquero <lekktu@gmail.com>
10330 * frameset.el (frameset--restore-frame): Remove workaround for bug#14795
10331 which is no longer needed and causes trouble in GTK builds (bug#17046).
10333 * emacs-lisp/package-x.el (package--archive-contents-from-url):
10334 Use url-insert-file-contents; package-handle-response no longer exists.
10336 2014-03-26 Daniel Colascione <dancol@dancol.org>
10338 * simple.el (process-menu-mode-map): New variable.
10339 (process-menu-delete-process): New command.
10341 2014-03-26 Juanma Barranquero <lekktu@gmail.com>
10343 * emacs-lisp/package.el: Fix bug#16733 (again).
10344 (url-http-parse-response, url-http-end-of-headers, url-recreate-url)
10345 (url-http-target-url): Remove unused declarations.
10346 (package-handle-response): Remove.
10347 (package--with-work-buffer): Use url-insert-file-contents and simplify.
10348 (package--download-one-archive): Use current-buffer instead of
10349 dynamic binding of `buffer'.
10350 (describe-package-1): Do not decode readme-string.
10352 2014-03-26 Michael Albinus <michael.albinus@gmx.de>
10354 * net/tramp.el (tramp-methods, tramp-connection-timeout): Fix docstring.
10356 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Revert change
10357 from 2014-03-07, it decreases performance unnecessarily. Let-bind
10358 `remote-file-name-inhibit-cache' to nil in the second pass.
10359 (tramp-find-executable): Do not call "which" on SunOS.
10360 (tramp-send-command-and-check): Fix docstring.
10361 (tramp-do-copy-or-rename-file-directly): In the `rename' case,
10362 check whether source directory has set the sticky bit.
10364 2014-03-26 Barry O'Reilly <gundaetiapo@gmail.com>
10366 * simple.el (primitive-undo): Only process marker adjustments
10367 validated against their corresponding (TEXT . POS). Issue warning
10368 for lone marker adjustments in undo history. (Bug#16818)
10369 (undo-make-selective-list): Add marker adjustments to selective
10370 undo list based on whether their corresponding (TEXT . POS) is in
10371 the region. Remove variable adjusted-markers, which was unused
10372 and only non nil during undo-make-selective-list.
10373 (undo-elt-in-region): Return nil when passed a marker adjustment
10374 and explain in function doc.
10376 2014-03-26 Nicolas Richard <theonewiththeevillook@yahoo.fr>
10378 * align.el (align-region): Do not fail when end-mark is nil (bug#17088).
10380 2014-03-26 Dmitry Gutov <dgutov@yandex.ru>
10382 * progmodes/ruby-mode.el (ruby-expression-expansion-re):
10383 Match special global variables without curlies, too.
10384 (ruby-font-lock-keywords): Simplify the matcher for special global
10385 variables. Don't require a non-word character after the variable.
10388 2014-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
10390 * simple.el (redisplay-highlight-region-function): Increase priority of
10391 overlay to make sure boundaries are visible (bug#15899).
10393 2014-03-26 Juanma Barranquero <lekktu@gmail.com>
10395 * frameset.el (frameset--initial-params): Fix typo in parameter name.
10396 (frameset-restore): Compare display strings with equal.
10398 * frame.el (make-frame): Don't quote display name in error message,
10399 it is already a string.
10401 2014-03-26 Thierry Volpiatto <thierry.volpiatto@gmail.com>
10403 * net/tramp.el (tramp-read-passwd): Suspend the timers while reading
10406 2014-03-26 Dmitry Gutov <dgutov@yandex.ru>
10408 * emacs-lisp/package.el (package--add-to-archive-contents):
10409 Include already installed and built-in packages in
10410 `package-archive-contents'.
10411 (package-install): Don't include already installed packages in the
10412 options during interactive invocation. (Bug#16762)
10413 (package-show-package-list): If the buffer is already displayed in
10414 another window, switch to that window.
10416 2014-03-26 Reto Zimmermann <reto@gnu.org>
10418 Sync with upstream vhdl mode v3.35.1.
10419 * progmodes/vhdl-mode.el (vhdl-version, vhdl-time-stamp): Update.
10420 (vhdl-compiler-alist): Doc fix.
10421 (vhdl-goto-line): Remove.
10422 (vhdl-mode-abbrev-table-init): Add XEmacs compat.
10423 (vhdl-mode) <paragraph-start>: Fix value.
10424 (vhdl-fix-statement-region): Not `for' in wait-statement.
10425 (vhdl-beautify-region): Also (un)tabify.
10426 (vhdl-get-visible-signals):
10427 Scan declarative part of generate statements.
10428 (vhdl-template-record): Fix indentation for record type declaration.
10429 (vhdl-expand-abbrev, vhdl-expand-paren):
10430 Revert to using fset again rather than defalias.
10431 (vhdl-scan-directory-contents): Tweak.
10432 (vhdl-speedbar-find-file, vhdl-speedbar-port-copy)
10433 (vhdl-compose-components-package):
10434 Replace vhdl-goto-line with forward-line.
10435 (top-level): Tweak speedbar frame selection.
10436 (vhdl-generate-makefile-1): Support for compilers with no
10437 unit-to-file name mapping (create directory with dummy files).
10439 2014-03-26 Wilson Snyder <wsnyder@wsnyder.org>
10441 Sync with upstream verilog-mode revision 702457d.
10442 * progmodes/verilog-mode.el (verilog-mode-version): Update.
10443 (create-lockfiles): Declare.
10444 (verilog-read-decls): Fix module header imports, bug709.
10445 Reported by Victor Lau.
10446 Fix parsing 'var' in AUTOs, msg1294. Reported by Dominique Chen.
10447 (verilog-auto-inout-module): Fix AUTOINOUTMODULE not inserting
10448 interface-only modules, bug721. Reported by Dean Hoyt.
10450 2014-03-26 Glenn Morris <rgm@gnu.org>
10452 * obsolete/gulp.el: Move here from emacs-lisp/.
10454 * files.el (lock-buffer, unlock-buffer, file-locked-p):
10455 Remove fallback aliases, since they are always defined now.
10457 2014-03-24 Daniel Colascione <dancol@dancol.org>
10459 * emacs-lisp/cl-macs.el (cl--do-arglist): Use `plist-member'
10460 instead of cl-loop search function.
10462 2014-03-23 Lars Ingebrigtsen <larsi@gnus.org>
10464 * calendar/parse-time.el (parse-time-iso8601-regexp)
10465 (parse-iso8601-time-string): Copy from `url-dav' so that we can use
10468 2014-03-23 Lars Ingebrigtsen <larsi@gnus.org>
10470 * net/dns.el (network-interface-list): Define for XEmacs.
10472 2014-03-23 Magnus Henoch <magnus.henoch@gmail.com>
10474 * net/dns.el (dns-servers-up-to-date-p): New function to see whether
10475 the network interfaces changed.
10476 (dns-query): Use it to flush the data.
10478 2014-03-23 Juanma Barranquero <lekktu@gmail.com>
10480 * vc/vc.el (vc-rollback): Use set-buffer-modified-p.
10482 2014-03-23 Daniel Colascione <dancol@dancol.org>
10484 Change subword-mode to use `find-word-boundary-function-table' and
10485 replace `capitalized-words-mode'. Also, convert to lexical binding.
10486 * progmodes/cap-words.el: Delete now-obsolete file.
10487 * progmodes/subword.el: Reimplement using
10488 `find-word-boundary-function-table'.
10489 (subword-mode-map): Hollow out.
10490 (capitalized-words-mode): Define as obsolete alias for
10492 (subword-mode, superword-mode): Tweak documentation to reflect new
10493 implementation; call `subword-setup-buffer'.
10494 (subword-forward, subword-capitalize): Add underscore to indicate
10496 (subword-find-word-boundary-function-table): New constant.
10497 (subword-empty-char-table): New constant.
10498 (subword-setup-buffer): New function.
10499 (subword-find-word-boundary): New function.
10501 2014-03-23 Daniel Colascione <dancol@dancol.org>
10503 * emacs-lisp/cl-macs.el (cl--do-arglist): Use a little `cl-loop'
10504 list to look for keyword arguments instead of `memq', fixing
10505 (Bug#3647) --- unfortunately, only for freshly-compiled code.
10506 Please make bootstrap.
10508 2014-03-22 Glenn Morris <rgm@gnu.org>
10510 * dired.el (dired-read-regexp): Make obsolete.
10511 (dired-mark-files-regexp, dired-mark-files-containing-regexp)
10512 (dired-flag-files-regexp):
10513 * dired-aux.el (dired-mark-read-regexp):
10514 * dired-x.el (dired-mark-unmarked-files): Use read-regexp directly.
10516 * startup.el (fancy-startup-text):
10517 * help.el (describe-gnu-project): Visit online info about GNU project.
10519 * help-fns.el (help-fns--interactive-only): New function.
10520 (help-fns-describe-function-functions): Add the above function.
10521 * simple.el (beginning-of-buffer, end-of-buffer, insert-buffer)
10522 (next-line, previous-line): Remove hand-written interactive-only
10523 information from doc strings, it is auto-generated now.
10524 * bookmark.el (bookmark-write):
10525 * epa-mail.el (epa-mail-decrypt, epa-mail-verify, epa-mail-sign)
10526 (epa-mail-import-keys): Mark interactive-only,
10527 and remove hand-written interactive-only information from doc strings.
10528 * epa.el (epa-decrypt-armor-in-region, epa-verify-region)
10529 (epa-verify-cleartext-in-region, epa-sign-region, epa-encrypt-region):
10530 * files.el (not-modified):
10531 * simple.el (mark-whole-buffer): Mark interactive-only.
10533 * emacs-lisp/byte-run.el (defun-declarations-alist):
10534 Add interactive-only. Doc tweak.
10535 (macro-declarations-alist): Doc tweak.
10536 * subr.el (declare): Doc tweak (add xref to manual).
10537 * comint.el (comint-run):
10538 * files.el (insert-file-literally, insert-file):
10539 * replace.el (replace-string, replace-regexp):
10540 * simple.el (beginning-of-buffer, end-of-buffer, delete-backward-char)
10541 (delete-forward-char, goto-line, insert-buffer, next-line)
10542 (previous-line): Set interactive-only via declare.
10544 2014-03-22 Dmitry Gutov <dgutov@yandex.ru>
10546 * emacs-lisp/package.el (package-desc): Use the contents of the
10547 quoted form, not its cdr. (Bug#16873)
10549 2014-03-22 Juanma Barranquero <lekktu@gmail.com>
10551 * w32-common-fns.el (x-selection-owner-p): Add empty docstring for the
10552 benefit of doc.c; change parameter profile to match the X function.
10554 2014-03-22 Leo Liu <sdl.web@gmail.com>
10556 * help.el (temp-buffer-setup-hook): Remove help-mode-setup.
10557 (temp-buffer-show-hook): Remove help-mode-finish. (Bug#16038)
10559 2014-03-21 Richard Stallman <rms@gnu.org>
10561 * battery.el (battery-linux-sysfs): Search for each field
10562 from the beginning of the buffer.
10564 * subr.el (set-transient-map): Clear out function and value
10565 of the temporary symbol when we're done with it.
10567 * mail/rmailsum.el (rmail-summary-delete-forward):
10568 Optimize case of reaching end and handling count.
10569 (rmail-summary-mark-deleted): Optimize when N is current msg.
10570 Don't create new summary line.
10571 (rmail-summary-undelete): Pass arg to rmail-undelete-previous-message.
10572 (rmail-summary-undelete-many): Rewrite for speed.
10573 (rmail-summary-msg-number): New function.
10575 * mail/rmail.el (rmail-delete-message): Update summary.
10576 (rmail-undelete-previous-message): Handle repeat count arg.
10577 (rmail-delete-backward, rmail-delete-forward): Likewise.
10579 2014-03-21 Daniel Colascione <dancol@dancol.org>
10581 * mail/emacsbug.el (report-emacs-bug): Include memory usage
10582 information in bug reports.
10584 2014-03-21 Michael Albinus <michael.albinus@gmx.de>
10586 * net/tramp.el (tramp-methods): Add docstring for `tramp-login-env'
10587 and `tramp-copy-env'.
10589 * net/tramp-sh.el (tramp-methods) <sudo>: Add `tramp-login-env'.
10590 (tramp-maybe-open-connection): Handle `tramp-login-env'.
10592 2014-03-21 Glenn Morris <rgm@gnu.org>
10594 * electric.el (electric-indent-post-self-insert-function): Add doc.
10596 2014-03-21 Dmitry Gutov <dgutov@yandex.ru>
10598 * emacs-lisp/package.el (package-compute-transaction):
10599 Use `version-list-<=' to compare the requirement version against
10600 the version of package already to be installed. Update the error
10601 message. (Bug#16826)
10603 * progmodes/ruby-mode.el (ruby-smie-rules):
10604 Add indentation rule for ` @ '. (Bug#17050)
10606 2014-03-21 Juanma Barranquero <lekktu@gmail.com>
10608 * align.el (align-regexp): Remove superfluous backslash.
10610 * ffap.el (ffap-ftp-default-user, ffap-url-regexp)
10611 (ffap-pass-wildcards-to-dired, dired-at-point-require-prefix)
10612 (ffap-rfc-path, ffap-ftp-sans-slash-regexp, ffap-menu-regexp):
10613 Fix docstring typos.
10614 (ffap-next): Use C-u in docstring.
10615 (ffap-machine-p, ffap-list-env, ffap-alist, ffap-alist)
10616 (ffap-string-at-point-mode-alist, ffap-menu, ffap-menu-ask):
10617 Remove superfluous backslashes.
10618 (ffap-string-at-point): Reflow docstring.
10620 * server.el (server-host): Reflow docstring.
10621 (server-unload-function): Fix docstring typo.
10622 (server-eval-at): Remove superfluous backslash.
10624 * skeleton.el (skeleton-insert): Remove superfluous backslash.
10625 (skeleton-insert): Doc fix.
10626 (skeleton-insert): Reflow docstring.
10628 * term/tty-colors.el (tty-color-alist, tty-modify-color-alist)
10629 (tty-color-approximate, tty-color-by-index, tty-color-values)
10630 (tty-color-desc): Remove superfluous backslashes.
10632 2014-03-21 Glenn Morris <rgm@gnu.org>
10634 * cus-start.el (history-length): Bump :version.
10636 * Makefile.in ($(MH_E_DIR)/mh-loaddefs.el)
10637 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
10638 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
10639 Don't set `make-backup-files'.
10641 * info.el (info--prettify-description): New function,
10642 to give info-finder descriptions consistent case, punctuation.
10643 (Info-finder-find-node): Use it. Sort packages.
10644 Refer to "description" rather than "commentary".
10646 2014-03-21 Juanma Barranquero <lekktu@gmail.com>
10648 * frameset.el (frameset--print-register): New function.
10649 (frameset-to-register): Use it.
10651 2014-03-20 Juanma Barranquero <lekktu@gmail.com>
10653 * progmodes/hideif.el (hif-string-to-number): New function.
10654 (hif-tokenize): Use it to understand non-decimal floats.
10656 * emacs-lisp/cl-extra.el (cl--map-overlays): Remove obsolete code.
10658 * skeleton.el (skeleton-autowrap): Mark as obsolete. Doc fix.
10660 2014-03-20 Stefan Monnier <monnier@iro.umontreal.ca>
10662 * electric.el (electric-newline-and-maybe-indent): New command.
10663 Bind it globally to C-j.
10664 (electric-indent-mode): Don't mess with the global map any more.
10665 Don't drop the post-self-insert-hook is some buffer is still using it
10668 * bindings.el (global-map): Remove C-j binding.
10670 * emacs-lisp/nadvice.el (advice--make-docstring): Try harder to find
10671 the docstring of functions advised before dumping (bug#16993).
10673 2014-03-19 Stefan-W. Hahn <stefan.hahn@s-hahn.de> (tiny change)
10675 * ps-print.el (ps-generate-postscript-with-faces):
10676 Explicitly deactivate the mark (bug#16866).
10677 * simple.el (deactivate-mark): Update region highlight.
10679 2014-03-19 Juanma Barranquero <lekktu@gmail.com>
10681 * emacs-lisp/package.el (describe-package-1):
10682 Decode commentary (bug#16733).
10684 2014-03-18 Juanma Barranquero <lekktu@gmail.com>
10686 * custom.el (defcustom): Doc fix: recommend avoiding destructive
10687 modification of the value argument of :set (bug#16755).
10689 2014-03-18 Stefan Monnier <monnier@iro.umontreal.ca>
10691 * simple.el (newline-and-indent): Do autofill (bug#17031).
10693 2014-03-18 Dmitry Gutov <dgutov@yandex.ru>
10695 * newcomment.el (comment-normalize-vars): Only add escaping check
10696 to `comment-start-skip' if not `comment-use-syntax'. (Bug#16971)
10697 (comment-beginning): Use `narrow-to-region' instead of moving back
10699 (http://lists.gnu.org/archive/html/emacs-devel/2014-03/msg00488.html)
10700 (comment-start-skip): Update the docstring.
10702 2014-03-18 Richard Stallman <rms@gnu.org>
10704 * dired.el (dired-display-file): Force use of other window.
10706 2014-03-18 Daniel Colascione <dancol@dancol.org>
10708 * startup.el (tty-handle-args): Remove debug message from 2007.
10710 2014-03-17 Stefan Monnier <monnier@iro.umontreal.ca>
10712 * emacs-lisp/nadvice.el (advice--interactive-form): New function.
10713 (advice--make-interactive-form): Use it to avoid (auto)loading function.
10714 (advice--make-1, advice-add, advice-remove):
10715 Remove braindead :advice-pending hack.
10717 2014-03-17 Glenn Morris <rgm@gnu.org>
10719 * calendar/calendar.el (calendar-generate-month): Apply weekend
10720 face to the right days; fixes 2013-08-06 change. (Bug#17028)
10722 2014-03-17 Michael Albinus <michael.albinus@gmx.de>
10724 * net/tramp.el (tramp-action-out-of-band): Read pending output.
10725 (tramp-call-process): Trace also DESTINATION.
10727 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band):
10728 Quote file names when they are local. Remove superfluous trace.
10730 2014-03-17 Dmitry Gutov <dgutov@yandex.ru>
10732 * newcomment.el (comment-beginning): If `comment-start-skip'
10733 doesn't match, move back one char and try again. (Bug#16971)
10735 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
10736 Set `comment-use-syntax' to t to avoid the unnecessary runtime check.
10737 Set `comment-start-skip' to a simpler value that doesn't try to
10738 check if the semicolon is escaped (this is handled by
10739 `syntax-ppss' now). (Bug#16971)
10741 * progmodes/scheme.el (scheme-mode-variables): Same.
10743 2014-03-16 Martin Rudalics <rudalics@gmx.at>
10745 Fix behavior of with-temp-buffer-window (Bug#16816, Bug#17007).
10746 * window.el (with-temp-buffer-window): Don't make BUFFER-OR-NAME
10747 current (Bug#16816, Bug#17007).
10748 (with-current-buffer-window): New macro doing the same as
10749 `with-temp-buffer-window' but with BUFFER-OR-NAME current.
10750 * help.el (help-print-return-message): Warn in doc-string to not
10751 use this in `with-help-window'.
10752 (describe-bindings-internal): Call `describe-buffer-bindings'
10753 from within help buffer. See Juanma's scenario in (Bug#16816).
10754 (with-help-window): Update doc-string.
10755 * dired.el (dired-mark-pop-up):
10756 * files.el (save-buffers-kill-emacs):
10757 * register.el (register-preview): Use `with-current-buffer-window'
10758 instead of `with-temp-buffer-window'.
10760 2014-03-16 Juanma Barranquero <lekktu@gmail.com>
10762 * textmodes/rst.el (rst-arabic-to-roman, rst-roman-to-arabic):
10763 Implement inserting into current buffer, documented in their docstrings.
10764 (rst-define-key, rst-compare-adornments, rst-insert-list-new-item)
10765 (rst-section-tree-point, rst-forward-section, rst-indent)
10766 (rst-compute-tabs, rst-font-lock-find-unindented-line-end)
10767 (rst-font-lock-find-unindented-line-limit, rst-adornment-level)
10768 (rst-font-lock-handle-adornment-pre-match-form)
10769 (rst-repeat-last-character): Reflow docstrings.
10770 (rst-preferred-adornments, rst-update-section, rst-find-title-line)
10771 (rst-adjust-adornment-work, rst-initial-items, rst-insert-list)
10772 (rst-toc-insert-style, rst-toc-insert-node, rst-goto-section)
10773 (rst-compile, rst-imenu-convert-cell, rst-imenu-create-index):
10774 Fix docstring typos.
10775 (rst-all-sections, rst-section-hierarchy, rst-adjust): Doc fixes.
10776 (rst-uncomment-region, rst-font-lock-find-unindented-line-match)
10777 (rst-font-lock-handle-adornment-matcher): Mark unused arguments.
10779 2014-03-15 Juanma Barranquero <lekktu@gmail.com>
10781 * term/ns-win.el (x-command-line-resources): Rename from ns-... version,
10782 for compatibility with other ports.
10783 (ns-initialize-window-system): Use it. It is set in term/common-win.el
10784 from the -xrm command line argument, but in the Nextstep port its value
10785 is irrelevant because nsfns.m:Fx_open_connection ignores it for now.
10787 * progmodes/python.el (defconst, python-syntax-count-quotes)
10788 (python-indent-region, python-indent-shift-right)
10789 (python-indent-dedent-line-backspace, python-nav-backward-sexp)
10790 (python-nav-backward-sexp-safe, python-nav-backward-up-list)
10791 (python-shell-prompt-block-regexp, python-shell-prompt-output-regexp)
10792 (python-shell-prompt-pdb-regexp, python-shell-enable-font-lock)
10793 (inferior-python-mode, python-shell-make-comint, run-python-internal)
10794 (python-shell-buffer-substring, python-shell-send-buffer)
10795 (python-pdbtrack-activate, python-pdbtrack-stacktrace-info-regexp)
10796 (python-completion-complete-at-point, python-fill-docstring-style)
10797 (python-eldoc-function, python-imenu-format-item-label)
10798 (python-imenu-format-parent-item-label)
10799 (python-imenu-format-parent-item-jump-label)
10800 (python-imenu--build-tree, python-imenu-create-index)
10801 (python-imenu-create-flat-index): Fix docstring typos.
10802 (python-indent-context, python-shell-prompt-regexp, run-python):
10803 Remove superfluous backslashes.
10804 (python-indent-line, python-nav-beginning-of-defun)
10805 (python-shell-get-buffer, python-shell-get-process)
10806 (python-info-current-defun, python-info-current-line-comment-p)
10807 (python-info-current-line-empty-p, python-util-popn): Doc fixes.
10808 (python-indent-post-self-insert-function, python-shell-send-file)
10809 (python-shell-completion-get-completions)
10810 (python-shell-completion-complete-or-indent)
10811 (python-eldoc--get-doc-at-point): Reflow docstrings.
10813 2014-03-14 Glenn Morris <rgm@gnu.org>
10815 * emacs-lisp/package.el (package-menu-mode-map):
10816 Replace use of obsolete function alias. Tweak menu item text.
10818 * info.el (Info-finder-find-node):
10819 Ignore the `emacs' metapackage. (Bug#10813)
10821 * finder.el (finder-list-matches): Include unversioned packages
10822 in the result of a keyword search.
10824 * finder.el (finder--builtins-descriptions): New constant.
10825 (finder-compile-keywords): Use finder--builtins-descriptions.
10827 2014-03-14 Dmitry Gutov <dgutov@yandex.ru>
10829 * simple.el (blink-matching-paren): Describe the new value,
10830 `jump', enabling the old behavior.
10831 (blink-matching-open): Use that value. (Bug#17008)
10833 2014-03-14 Glenn Morris <rgm@gnu.org>
10835 * finder.el (finder-no-scan-regexp): Add leim-list.
10836 (finder-compile-keywords):
10837 Don't skip files with same basename. (Bug#14010)
10838 * Makefile.in (setwins_finder): New, excluding leim.
10839 (finder-data): Use setwins_finder.
10841 * help-fns.el (help-split-fundoc, help-add-fundoc-usage)
10842 (help-function-arglist, help-make-usage): Move from here...
10843 * help.el (help-split-fundoc, help-add-fundoc-usage)
10844 (help-function-arglist, help-make-usage): ... to here. (Bug#17001)
10845 * emacs-lisp/bytecomp.el (byte-compile-lambda): Do not load help-fns.
10847 2014-03-14 Juanma Barranquero <lekktu@gmail.com>
10849 * net/socks.el (socks, socks-override-functions)
10850 (socks-find-services-entry):
10851 * progmodes/hideif.el (hif-set-var, hif-nexttoken, hif-comma)
10852 (hif-find-ifdef-block):
10853 * progmodes/modula2.el (m2-indent): Fix docstring typos.
10855 * net/tls.el (tls-program): Reflow docstring.
10857 * progmodes/pascal.el (pascal-mode-abbrev-table)
10858 (pascal-imenu-generic-expression, pascal-auto-endcomments)
10859 (pascal-mark-defun, pascal-comment-area, pascal-indent-level)
10860 (pascal-outline-mode): Fix docstring typos.
10861 (pascal-mode): Let define-derived-mode document mode hook.
10862 (pascal-uncomment-area): Reflow.
10863 (pascal-exclude-str-start, pascal-exclude-str-end): Add docstring.
10865 * progmodes/opascal.el (opascal-compound-block-indent)
10866 (opascal-case-label-indent): Fix docstring typos.
10867 (opascal-mode): Fix typos; let defined-derived-mode document mode hook.
10869 2014-03-13 Dmitry Gutov <dgutov@yandex.ru>
10871 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
10872 Fontify multiple adjacent negation chars. (Bug#17004)
10874 2014-03-13 Tom Willemse <tom@ryuslash.org> (tiny change)
10876 * emacs-lisp/package.el (package--prepare-dependencies):
10877 Accept requirements without explicit version (bug#14941).
10879 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
10881 * register.el (register-separator, copy-to-register): Doc fixes.
10882 (register-preview-default): Remove unnecessary call to concat.
10884 * frameset.el (frameset-restore): When checking for a visible frame,
10885 use the action map instead of calling visible-frame-list.
10887 2014-03-12 Jonas Bernoulli <jonas@bernoul.li>
10889 * emacs-lisp/eieio.el (with-slots): Use cl-symbol-macrolet (bug#16998).
10891 2014-03-12 Martin Rudalics <rudalics@gmx.at>
10893 * window.el (fit-frame-to-buffer): Get maximum width from
10894 display's width instead of height.
10896 2014-03-12 Glenn Morris <rgm@gnu.org>
10898 * desktop.el (desktop-restore-frames)
10899 (desktop-restore-in-current-display, desktop-restore-forces-onscreen)
10900 (desktop-restore-reuses-frames): Doc tweaks.
10902 * electric.el (electric-indent-mode): Doc fix.
10904 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
10906 * vc/pcvs.el (cvs-temp-buffer, defun-cvs-mode, cvs-get-cvsroot)
10907 (cvs-checkout, cvs-mode-checkout, cvs-update-filter, cvs-mode-mark)
10908 (cvs-mode-diff-head, cvs-mode-diff-repository, cvs-mode-diff-yesterday)
10909 (cvs-mode-diff-vendor, cvs-mode-do, cvs-change-cvsroot)
10910 (cvs-dired-use-hook): Fix docstring typos.
10911 (cvs-mode-view-file-other-window, cvs-mode-byte-compile-files):
10914 * vc/pcvs-defs.el (cvs-auto-remove-handled)
10915 (cvs-auto-remove-directories, cvs-default-ignore-marks)
10916 (cvs-idiff-imerge-handlers, cvs-reuse-cvs-buffer)
10917 (cvs-execute-single-dir): Fix docstring typos.
10919 * vc/pcvs-info.el (cvs-status-map, cvs-states): Fix docstring typos.
10920 (cvs-fileinfo-pp, cvs-fileinfo-from-entries): Doc fixes.
10922 * vc/pcvs-parse.el (cvs-parsed-fileinfo): Reflow docstring.
10924 * vc/pcvs-util.el (cvs-flags-query, cvs-flags-set, cvs-prefix-set):
10925 Fix docstring typos.
10927 2014-03-12 Juanma Barranquero <lekktu@gmail.com>
10929 * frameset.el (frameset--jump-to-register): Add autoload; it could be
10930 called from jump-to-register after unloading the frameset package.
10932 2014-03-11 Stefan Monnier <monnier@iro.umontreal.ca>
10934 * simple.el (set-mark): Ensure mark-active is nil if the mark is nil
10935 (bug#16975). Deactivate the mark before setting it to nil.
10936 (activate-mark): Do nothing if region is already active.
10938 2014-03-11 Juanma Barranquero <lekktu@gmail.com>
10940 * frameset.el (frameset--target-display): Remove definition; declare.
10941 (frameset-save, frameset-restore): Let-bind frameset--target-display.
10943 2014-03-11 Stefan Monnier <monnier@iro.umontreal.ca>
10945 * emacs-lisp/nadvice.el (advice--make-1): Fix autoloading avoidance.
10946 (advice-add): Add a :advice--pending marker, so advice--make-1 knows
10947 when the advice is pending.
10948 (advice-remove): Remove this marker when not needed any more.
10950 2014-03-11 Juanma Barranquero <lekktu@gmail.com>
10952 * frameset.el: Separate options for reusing frames and cleaning up.
10953 (frameset--reuse-list): Remove definition; declare.
10954 (frameset--action-map): Declare.
10955 (frameset--find-frame-if): Doc fix.
10956 (frameset--restore-frame): Cache frame action.
10957 (frameset-restore): New keyword arg CLEANUP-FRAMES, allows to select
10958 how to clean up the frame list after restoring. Remove cleaning
10959 options from REUSE-FRAMES. Change all keyword values to symbols.
10960 (frameset--jump-to-register): Simplify by using CLEANUP-FRAMES.
10962 * desktop.el (desktop-restore-forces-onscreen)
10963 (desktop-restore-reuses-frames): Use non-keyword values.
10964 (desktop-restore-frameset): Use CLEANUP-FRAMES arg of frameset-restore.
10966 2014-03-10 Glenn Morris <rgm@gnu.org>
10968 * files.el (find-file): Doc fix: update info node name.
10970 * emacs-lisp/advice.el (ad-add-advice, defadvice):
10971 Doc fix: remove references to deleted info nodes.
10973 2014-03-10 Michael Albinus <michael.albinus@gmx.de>
10975 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell):
10976 Do not add nil to the environment, when there's no remote `locale'.
10977 (tramp-find-inline-encoding): Check, that the remote host has
10978 installed perl, before sending scripts.
10980 2014-03-10 Leo Liu <sdl.web@gmail.com>
10982 * emacs-lisp/eldoc.el (eldoc-minibuffer-message):
10983 Clear eldoc-last-message. (Bug#16920)
10985 2014-03-10 Stefan Monnier <monnier@iro.umontreal.ca>
10987 * desktop.el (desktop-create-buffer): Don't run activate-mark-hook
10990 2014-03-09 Juri Linkov <juri@jurta.org>
10992 * ansi-color.el (ansi-color-names-vector): Copy default colors
10993 from `xterm-standard-colors' that look well on the default white
10994 background (and also on the black background) to avoid illegible
10995 color combinations like yellow-on-white and white-on-white.
10996 http://lists.gnu.org/archive/html/emacs-devel/2014-02/msg00157.html
10998 2014-03-08 Juanma Barranquero <lekktu@gmail.com>
11000 * frameset.el (frameset-restore): When no frame is visible, do not
11001 generate a list of frames, just make visible the selected one.
11003 2014-03-08 Dmitry Gutov <dgutov@yandex.ru>
11005 * vc/vc-git.el (vc-git-command): Turn FILE-OR-LIST into nil when
11006 it only contains the repository root. (Bug#16897)
11008 2014-03-07 Michael Albinus <michael.albinus@gmx.de>
11010 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Run first pass
11011 only when `remote-file-name-inhibit-cache' is nil.
11012 (tramp-sh-file-name-handler): Use `tramp-error'. Simplify code.
11014 2014-03-06 Martin Rudalics <rudalics@gmx.at>
11016 * window.el (fit-frame-to-buffer, fit-frame-to-buffer-margins):
11018 (fit-frame-to-buffer): New argument ONLY. Remove dependency on
11019 fit-frame-to-buffer variable. Fix doc-string.
11020 (fit-window-to-buffer): Set ONLY argument in call of
11021 fit-frame-to-buffer. Fix doc-string.
11023 2014-03-06 Michael Albinus <michael.albinus@gmx.de>
11025 * net/tramp.el (tramp-error): VEC-OR-PROC can be nil.
11026 (tramp-action-password): Clear password cache if needed.
11027 (tramp-read-passwd): Do not clear password cache.
11029 * net/tramp-gvfs.el (tramp-gvfs-handler-askpassword): Clear password
11030 cache unless it is the first password request.
11032 2014-03-06 Glenn Morris <rgm@gnu.org>
11034 * simple.el (newline): Doc tweak.
11036 * emacs-lisp/shadow.el (load-path-shadows-find):
11037 Ignore dir-locals. (Bug#12357)
11039 2014-03-05 Glenn Morris <rgm@gnu.org>
11041 * files.el (interpreter-mode-alist):
11042 * progmodes/sh-script.el (sh-ancestor-alist): Add dash. (Bug#16938)
11044 2014-03-05 Juanma Barranquero <lekktu@gmail.com>
11046 * frameset.el (frameset--initial-params): Filter out null entries.
11048 2014-03-05 Martin Rudalics <rudalics@gmx.at>
11050 * window.el (window-min-height, window-min-width):
11051 Rewrite doc-strings.
11052 (window-body-size): Add PIXELWISE argument to make it consistent
11055 2014-03-05 Juanma Barranquero <lekktu@gmail.com>
11057 * finder.el (finder-mode-map, finder-mode-syntax-table):
11058 Revert part of 2014-02-28 change.
11060 2014-03-05 Lars Ingebrigtsen <larsi@gnus.org>
11062 * net/eww.el (eww-mode-map): [tab] doesn't work on tty.
11063 (eww-setup-buffer): Clear next/prev/etc more reliably.
11064 (eww-textarea-map): [tab] doesn't work on tty.
11065 Reported by Mario Lang.
11067 * net/shr.el (shr-map): Ditto.
11069 2014-03-04 Glenn Morris <rgm@gnu.org>
11071 * minibuffer.el (completion-hilit-commonality):
11072 Revert 2014-03-01 short-cut, which changed the return value. (Bug#16933)
11074 2014-03-04 Juanma Barranquero <lekktu@gmail.com>
11076 * hilit-chg.el (hilit-chg-unload-function): New function.
11077 (highlight-changes-mode, highlight-changes-visible-mode): Fix typos.
11078 (hilit-chg-map-changes): Prefer cardinal number to digit.
11079 (hilit-chg-display-changes): Reflow docstring.
11080 (highlight-changes-rotate-faces): Remove superfluous backslash.
11082 2014-03-04 Michael Albinus <michael.albinus@gmx.de>
11084 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Do not call
11085 `tramp-send-command-and-check'.
11087 2014-03-04 Juanma Barranquero <lekktu@gmail.com>
11089 * hexl.el (hexl-address-region, hexl-ascii-region)
11090 (hexl-goto-hex-address, hexl-backward-char, hexl-forward-char)
11091 (hexl-backward-short, hexl-forward-short, hexl-backward-word)
11092 (hexl-forward-word, hexl-previous-line, hexl-next-line):
11093 Use "Hexl mode" for non-hyperlinked hexl-mode references in docstrings.
11094 (hexl-mode): Doc fix.
11095 (hexl-ascii-start-column, hexl-beginning-of-line, hexl-end-of-line)
11096 (hexl-mode-ruler): Fix typos in docstrings.
11098 * strokes.el (strokes-xpm-header, strokes-rate-stroke): Fix typos.
11099 (strokes-character, strokes-get-grid-position, strokes-list-strokes):
11100 Remove superfluous backslashes.
11101 (strokes-last-stroke, strokes-global-map, strokes-mode):
11103 (strokes-xpm-for-stroke, strokes-xpm-to-compressed-string)
11104 (strokes-xpm-for-compressed-string): Use quotes with buffer name.
11105 (strokes-distance-squared, strokes-global-set-stroke)
11106 (strokes-global-set-stroke-string): Doc fixes.
11107 (strokes-help): Fix typos; reflow docstring.
11109 2014-03-04 Martin Rudalics <rudalics@gmx.at>
11111 * window.el (window-in-direction): Fix doc-string.
11113 2014-03-04 Glenn Morris <rgm@gnu.org>
11115 * emacs-lisp/smie.el (smie-config-guess): Doc fix.
11116 Explicit error if no grammar.
11117 (smie-config-save): Doc fix. Fix quote typo.
11119 2014-03-04 Stefan Monnier <monnier@iro.umontreal.ca>
11121 * progmodes/cc-mode.el (c-initialize-cc-mode): Only hook into
11122 electric-indent-mode-hook if we obey electric-indent-mode.
11123 (c-basic-common-init): Use (fboundp 'electric-indent-local-mode) to
11124 decide whether we obey electric-indent-mode.
11125 (c-change-set-fl-decl-start, c-extend-after-change-region):
11127 (c-electric-indent-mode-hook): Assume we do want to obey
11128 electric-indent-mode.
11130 * electric.el (electric-indent-mode-has-been-called): Remove.
11131 (electric-indent-mode): Fix accordingly.
11133 * files.el (hack-local-variables): Mention file name in warning.
11135 * htmlfontify.el (hfy-fontify-buffer): Drop `invis-range' message.
11137 2014-03-04 Michal Nazarewicz <mina86@mina86.com>
11139 * bindings.el: Add comment describing why C-d binds to `delete-char'.
11140 * simple.el (delete-forward-char): Mark as interactive-only.
11142 2014-03-03 Juanma Barranquero <lekktu@gmail.com>
11144 * icomplete.el (icomplete-completions):
11145 Follow-up to 2014-03-01 change.
11147 * icomplete.el: Miscellaneous doc fixes.
11148 Use Icomplete everywhere instead of icomplete for consistency.
11149 (icomplete-max-delay-chars): Fix typo.
11150 (icomplete-mode): Use \[].
11151 (icomplete-tidy, icomplete-exhibit): Reflow.
11152 (icomplete-minibuffer-setup-hook, icomplete-completions):
11153 Remove superfluous backlashes.
11155 * ido.el: Miscellaneous doc fixes.
11156 Use Ido everywhere instead of ido or `ido' for consistency.
11157 (ido-record-ftp-work-directories, ido-merge-ftp-work-directories)
11158 (ido-cache-ftp-work-directory-time, ido-slow-ftp-hosts)
11159 (ido-slow-ftp-host-regexps, ido-reread-directory): Upcase "ftp".
11160 (ido-separator): Extract obsolescence info from docstring and declare
11161 with make-obsolete-variable.
11162 (ido-minibuffer-setup-hook): Simplify example.
11163 (ido-text, ido-text-init, ido-input-stack, ido-report-no-match)
11164 (ido-wide-find-file, ido-wide-find-dir, ido-wide-find-dir-or-delete-dir)
11165 (ido-completion-help, ido-completing-read): Fix typos in docstrings.
11166 (ido-everywhere): Reflow docstring.
11167 (ido-toggle-vc): Doc fix.
11168 (ido-switch-buffer, ido-find-file): Use tabs to improve legibility
11169 of long list of keybindings.
11171 2014-03-03 Glenn Morris <rgm@gnu.org>
11173 * frame.el (display-pixel-height, display-pixel-width)
11174 (display-mm-dimensions-alist, display-mm-height)
11175 (display-mm-width): Doc tweaks.
11177 2014-03-02 Barry O'Reilly <gundaetiapo@gmail.com>
11179 * simple.el (undo-elt-in-region): Fix buffer corruption for edge
11180 case of undo in region.
11182 2014-03-02 Martin Rudalics <rudalics@gmx.at>
11184 * window.el (fit-window-to-buffer): Fix argument in window-size
11185 call when window is horizontally combined.
11187 2014-03-02 Juanma Barranquero <lekktu@gmail.com>
11189 * icomplete.el (icomplete-completions): Use string-width.
11190 Suggested by Stefan Monnier <monnier@iro.umontreal.ca>.
11192 2014-03-01 Dmitry Gutov <dgutov@yandex.ru>
11194 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
11195 Highlight regexp options. (Bug#16914)
11197 2014-03-01 Martin Rudalics <rudalics@gmx.at>
11199 * window.el (window--max-delta-1): Round down when calculating
11200 how many lines/columns we can get from a window.
11202 2014-03-01 Glenn Morris <rgm@gnu.org>
11204 * isearch.el (search-invisible): Doc fix.
11206 * minibuffer.el (completion-hilit-commonality):
11207 Make `base-size' argument optional. Short-cut if `prefix-len' is 0.
11208 * comint.el (comint-dynamic-list-completions): Doc fix.
11209 * comint.el (comint-dynamic-list-completions):
11210 * filecache.el (file-cache-minibuffer-complete):
11211 * tempo.el (tempo-display-completions):
11212 * eshell/em-hist.el (eshell-list-history):
11213 Replace use of obsolete argument of display-completion-list.
11215 2014-03-01 Juanma Barranquero <lekktu@gmail.com>
11217 * icomplete.el (icomplete-completions):
11218 Revert back to using "..." when ?… cannot be displayed.
11220 2014-02-28 Juanma Barranquero <lekktu@gmail.com>
11222 * finder.el (finder-unload-function): New function.
11224 2014-02-28 Juanma Barranquero <lekktu@gmail.com>
11226 * dframe.el (dframe-detach):
11227 * find-dired.el (find-dired, find-name-dired):
11228 * finder.el (finder-mode-map, finder-mode-syntax-table)
11229 (finder-headmark, finder-select, finder-mouse-select):
11230 Fix docstring typos.
11232 2014-02-28 Martin Rudalics <rudalics@gmx.at>
11234 Revert recent with-temp-buffer-window change (Bug#16816, Bug#16882).
11235 * window.el (with-temp-buffer-window): Revert change from 2014-02-21.
11236 Suggested by Thierry Volpiatto <thierry.volpiatto@gmail.com>.
11237 Fix doc-string based on a suggestion by Nicolas Richard
11238 <theonewiththeevillook@yahoo.fr>.
11239 * help.el (with-help-window): Fix doc-string.
11241 2014-02-28 Ivan Kanis <ivan@kanis.fr>
11243 * net/shr.el (shr-image-animate): New option.
11244 (shr-put-image): Respect shr-image-animate.
11246 2014-02-28 Michael Albinus <michael.albinus@gmx.de>
11248 * net/tramp-adb.el (tramp-adb-parse-device-names):
11249 Use `accept-process-output'.
11250 (tramp-adb-handle-file-truename): Cache the localname only.
11251 (tramp-adb-handle-make-directory)
11252 (tramp-adb-handle-delete-directory): Flush file properties correctly.
11253 (tramp-adb-handle-set-file-modes): Do not raise an error when file
11254 modes cannot be changed.
11256 * net/tramp-cache.el (tramp-flush-directory-property): Remove also
11257 file properties of symlinks.
11259 2014-02-28 Per Starbäck <starback@stp.lingfil.uu.se>
11261 * textmodes/bibtex.el (bibtex-biblatex-entry-alist): Update
11262 required/optional fields to match development biblatex. (Bug#16781)
11264 2014-02-28 Andy Sawyer <andy.sawyer@gmail.com> (tiny change)
11266 * saveplace.el (toggle-save-place):
11267 Fix argument handling. (Bug#16673)
11269 2014-02-28 Glenn Morris <rgm@gnu.org>
11271 * minibuffer.el (completions-first-difference)
11272 (completions-common-part, completion-hilit-commonality): Doc fixes.
11274 2014-02-28 Karl Berry <karl@gnu.org>
11276 * info.el (Info-mode-map): Add H for describe-mode,
11277 to synchronize with standalone Info.
11279 2014-02-28 Emilio C. Lopes <eclig@gmx.net>
11281 * progmodes/sql.el (sql-interactive-mode):
11282 Avoid setting global comint-input-ring-separator. (Bug#16814)
11284 2014-02-27 Michael Albinus <michael.albinus@gmx.de>
11286 * net/dbus.el (dbus--init-bus): Declare function.
11287 (dbus-path-local, dbus-interface-local): New defconst.
11288 (dbus-init-bus): Use them.
11289 (dbus-return-values-table): Extend doc.
11290 (dbus-handle-bus-disconnect): Extend error message.
11292 2014-02-27 Juanma Barranquero <lekktu@gmail.com>
11294 * subr.el (y-or-n-p): Fix double space issue in message.
11296 2014-02-27 Michael Albinus <michael.albinus@gmx.de>
11298 * net/tramp.el (tramp-call-process): Improve trace message.
11299 (tramp-handle-insert-file-contents): Trace error case.
11301 * net/tramp-adb.el (tramp-adb-file-name-handler-alist)
11302 <insert-directory>: Use `tramp-handle-insert-directory'.
11303 (tramp-adb-handle-insert-directory): Remove function.
11304 (tramp-adb-send-command-and-check): New defun, replacing
11305 `tramp-adb-command-exit-status'. Change all callees.
11306 (tramp-adb-handle-file-attributes)
11307 (tramp-adb-handle-directory-files-and-attributes): Use it.
11308 (tramp-adb-ls-output-name-less-p):
11309 Use `directory-listing-before-filename-regexp'.
11310 (tramp-adb-handle-delete-directory): Flush also file properties of
11311 the truename of directory.
11312 (tramp-adb-handle-file-name-all-completions): Add "./" and "../".
11313 (tramp-adb-handle-file-local-copy): Make the local copy readable.
11314 (tramp-adb-handle-write-region): Implement APPEND.
11315 (tramp-adb-handle-rename-file): Make it more robust. Flush file
11316 properties correctly.
11317 (tramp-adb-maybe-open-connection): Set `tramp-current-*'
11318 variables. Check for connected devices only when needed.
11320 2014-02-27 Glenn Morris <rgm@gnu.org>
11322 * minibuffer.el (completion-table-dynamic)
11323 (completion-table-with-cache): Doc fixes.
11325 * emacs-lisp/crm.el (crm-default-separator, crm-separator)
11326 (completing-read-multiple): Doc fixes.
11328 2014-02-27 Daniel Colascione <dancol@dancol.org>
11330 * minibuffer.el (completion--nth-completion): Fix indentation.
11332 * net/tramp-sh.el (tramp-get-remote-path): Don't signal error when
11333 explicit tramp path is empty.
11335 2014-02-27 Glenn Morris <rgm@gnu.org>
11337 * emacs-lisp/crm.el (completing-read-multiple):
11338 Empower help-enable-auto-load.
11340 2014-02-26 Glenn Morris <rgm@gnu.org>
11342 * startup.el (command-line): Don't init the tty in daemon mode.
11344 Avoid calling tty-setup-hook twice, eg if a term file
11345 explicitly calls tty-run-terminal-initialization. (Bug#16859)
11346 * faces.el (tty-run-terminal-initialization): Add run-hook argument.
11347 (tty-create-frame-with-faces): Use it.
11348 * startup.el (command-line): Pass run-hook argument
11349 to tty-run-terminal-initialization.
11351 * dired.el (dired-restore-desktop-buffer): Demote errors;
11352 eg in case a glob match fails. (Bug#16884)
11354 2014-02-26 Dmitry Gutov <dgutov@yandex.ru>
11356 * emacs-lisp/lisp.el (lisp--local-variables): Catch `end-of-file'
11357 error from `read-from-string'. (Bug#16850)
11359 * emacs-lisp/ert.el (ert-run-tests-interactively): `read' the
11360 result of `completing-read' in the interactive form. (Bug#16854)
11362 2014-02-25 Glenn Morris <rgm@gnu.org>
11364 * image.el (image-animate, image-animate-timeout):
11365 Stop animating images in dead buffers. (Bug#16878)
11367 * emacs-lisp/edebug.el (defmacro): Fix debug spec. (Bug#16868)
11369 * faces.el (tty-setup-hook, tty-run-terminal-initialization):
11371 * startup.el (term-setup-hook): Doc fix. Make obsolete.
11372 * term/sun.el (sun-raw-prefix-hooks):
11373 Use tty-setup-hook instead of term-setup-hook.
11374 (terminal-init-sun): Construct message from bytecomp plist.
11375 * term/wyse50.el (enable-arrow-keys): Doc fix.
11377 2014-02-24 Juanma Barranquero <lekktu@gmail.com>
11379 * term/sun.el (kill-region-and-unmark, sun-raw-prefix-hooks):
11380 Fix docstring typos.
11382 2014-02-24 Michael Albinus <michael.albinus@gmx.de>
11384 * net/tramp-sh.el (tramp-sh-handle-file-truename): Improve last fix.
11386 2014-02-24 Nicolas Richard <theonewiththeevillook@yahoo.fr>
11388 * minibuffer.el (completion--try-word-completion):
11389 Fix error when completing M-x commands (bug#16808).
11391 2014-02-24 Leo Liu <sdl.web@gmail.com>
11393 * emacs-lisp/easy-mmode.el (define-minor-mode): Fix debug spec.
11395 2014-02-24 Juanma Barranquero <lekktu@gmail.com>
11397 * apropos.el (apropos-print): Avoid formatting error when
11398 apropos-do-all and apropos-compact-layout are both t.
11400 2014-02-23 Juanma Barranquero <lekktu@gmail.com>
11402 * apropos.el (apropos-property, apropos-all-words-regexp)
11403 (apropos-true-hit, apropos-variable, apropos-print):
11404 Fix docstring typos, and remove obsolete comment.
11406 2014-02-23 Michael Albinus <michael.albinus@gmx.de>
11408 * net/tramp-sh.el (tramp-sh-handle-file-truename):
11409 Preserve trailing "/". (Bug#16851)
11411 2014-02-23 Dmitry Gutov <dgutov@yandex.ru>
11413 * progmodes/ruby-mode.el (ruby-smie-rules): Don't indent specially
11414 after `=>' (bug#16811).
11415 (ruby-smie-rules): Handle the inconsistent second element of the
11416 list returned by `smie-indent--parent'.
11417 (ruby-font-lock-keywords): Disqualify any identifier before `=' as
11420 2014-02-23 Juanma Barranquero <lekktu@gmail.com>
11422 * elec-pair.el (electric-pair-text-syntax-table)
11423 (electric-pair-syntax-info, electric-pair--syntax-ppss)
11424 (electric-pair--balance-info, electric-pair-mode): Fix docstring typos.
11425 (electric-pair--looking-at-unterminated-string-p): Doc fix.
11426 (electric-pair--inside-string-p): Doc fix. Use `let', not `let*'.
11428 2014-02-22 Glenn Morris <rgm@gnu.org>
11430 * imenu.el (imenu--generic-function): Doc fix.
11432 * register.el (frame-configuration-to-register): Make obsolete.
11434 2014-02-22 Juanma Barranquero <lekktu@gmail.com>
11436 * desktop.el (desktop-save-buffer-p): Do not fail when
11437 desktop-files-not-to-save is nil. Return t for true result
11440 2014-02-22 Daniel Colascione <dancol@dancol.org>
11442 * net/secrets.el (secrets-create-item, secrets-search-items):
11443 Check that attribute values are strings, avoiding the construction
11444 of invalid dbus messages.
11446 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
11448 * emacs-lisp/gv.el: Avoid duplicating gv-expander and gv-setter in
11449 defun-declarations-alist.
11451 2014-02-21 Stefan Monnier <monnier@iro.umontreal.ca>
11453 * emacs-lisp/cl-macs.el (cl-define-compiler-macro): Add indent rule
11456 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
11458 * whitespace.el (whitespace-space, whitespace-hspace, whitespace-tab)
11459 (whitespace-newline, whitespace-trailing, whitespace-line)
11460 (whitespace-space-before-tab, whitespace-indentation, whitespace-empty)
11461 (whitespace-space-after-tab): Fix typo in docstrings.
11463 2014-02-21 Dmitry Gutov <dgutov@yandex.ru>
11465 * progmodes/ruby-mode.el (auto-mode-alist): Add missing "or".
11467 * electric.el (electric-indent-functions-without-reindent):
11468 Add `yaml-indent-line'.
11470 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
11472 * w32-vars.el (w32-enable-synthesized-fonts): Mark as obsolete.
11473 It has done nothing for years; should be removed after the release.
11475 * simple.el (choose-completion): Fix docstring typo.
11476 (read-quoted-char-radix): Remove unneeded * in docstring.
11477 (process-file, kill-whole-line, pop-to-mark-command, set-mark-command):
11478 Don't escape parentheses unnecessarily in docstrings.
11480 2014-02-21 Martin Rudalics <rudalics@gmx.at>
11482 Fix handling of window-min-height/-width (Bug#16738).
11483 * window.el (window--dump-window, window--dump-frame):
11485 (window--min-size-1): Account for window dividers.
11486 When window-resize-pixelwise is nil, delay rounding till after the
11487 sum of the window components has been calculated.
11488 (window--min-delta-1, window--max-delta-1): When PIXELWISE is
11489 nil make sure at least one text line and two text columns remain
11491 (window-resize): Signal an error when window-resize-apply fails.
11492 (window--resize-child-windows): Fix calculation of by how many
11493 pixels a window can still be shrunk via window-new-normal.
11494 (adjust-window-trailing-edge): Call window--resizable with
11495 correct TRAIL argument.
11497 (with-temp-buffer-window): Don't evaluate BODY within
11498 with-current-buffer (Bug#16816).
11500 2014-02-21 Michael Albinus <michael.albinus@gmx.de>
11502 * net/tramp.el (tramp-check-cached-permissions):
11503 Call `file-attributes' with `suffix' being a symbol but a string.
11505 2014-02-21 Daniel Colascione <dancol@dancol.org>
11507 * net/dbus.el (dbus-init-bus-1): Declare new subr.
11508 (dbus-init-bus): New function: call into dbus-init-bus-1
11509 and installs a handler for the disconnect signal.
11510 (dbus-call-method): Rewrite to look for result in cons.
11511 (dbus-call-method-handler): Store result in cons.
11512 (dbus-check-event): Recognize events with nil sender as valid.
11513 (dbus-handle-bus-disconnect): New function. React to bus
11514 disconnection signal by synthesizing dbus error for each
11515 pending synchronous or asynchronous call.
11516 (dbus-notice-synchronous-call-errors): New function.
11517 (dbus-handle-event): Raise errors directly only when `dbus-debug'
11518 is true, not all the time.
11520 2014-02-21 Juanma Barranquero <lekktu@gmail.com>
11522 * w32-fns.el (w32-enable-italics, w32-charset-to-codepage-alist):
11523 Remove obsolescence declarations, these variables do not exist anymore.
11525 * savehist.el (savehist-save-minibuffer-history)
11526 (savehist-additional-variables, savehist-file, savehist-mode-hook)
11527 (savehist-save-hook, savehist-coding-system, savehist-loaded)
11528 (savehist-load, savehist-install, savehist-autosave): Fix typos;
11529 mostly, refer to "Savehist mode" when talking about the mode,
11530 and not the function.
11532 * saveplace.el (save-place): Remove redundant info in docstring.
11533 (save-place-forget-unreadable-files, toggle-save-place)
11534 (save-place-forget-unreadable-files, save-place-dired-hook):
11535 Fix typos and remove unneeded backslashes.
11537 2014-02-20 Michael Albinus <michael.albinus@gmx.de>
11539 * net/tramp.el (ls-lisp-use-insert-directory-program): Declare.
11540 (tramp-handle-insert-directory): New defun, taken from tramp-gvfs.el.
11542 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
11543 <insert-directory>: Use `tramp-handle-insert-directory'.
11544 (tramp-gvfs-handle-insert-directory): Remove function.
11546 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
11547 Call `tramp-handle-insert-directory'.
11549 2014-02-20 Juanma Barranquero <lekktu@gmail.com>
11551 * elec-pair.el (electric-pair-syntax-info): Do not check syntax
11552 before the start of buffer/region (bug#16799).
11554 2014-02-20 Glenn Morris <rgm@gnu.org>
11556 * isearch.el (search-invisible): Doc fix.
11558 2014-02-20 W. Trevor King <wking@tremily.us> (tiny change)
11560 * term/xterm.el (xterm--version-handler): Adapt to xterm-280's output
11563 2014-02-19 Juanma Barranquero <lekktu@gmail.com>
11565 * frameset.el (frameset-restore): Delay removing an old frame's
11566 duplicate id until the new frame has been correctly created.
11568 2014-02-19 Michael Albinus <michael.albinus@gmx.de>
11570 * net/tramp.el (tramp-handle-make-symbolic-link): New defun.
11571 (tramp-check-cached-permissions): Call `file-attributes' if the
11574 * net/tramp-adb.el (tramp-adb-file-name-handler-alist)
11575 <make-symbolic-link>: Use `tramp-handle-make-symbolic-link'.
11577 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
11578 <make-symbolic-link>: Use `tramp-handle-make-symbolic-link'.
11579 (tramp-gvfs-maybe-open-connection): Set always connection
11580 properties, even if target is mounted already.
11582 * net/tramp-sh.el (tramp-color-escape-sequence-regexp):
11583 Set tramp-autoload cookie.
11584 (tramp-get-remote-touch): New defun.
11585 (tramp-sh-handle-set-file-times): Use it.
11586 (tramp-sh-handle-directory-files-and-attributes):
11587 Use `tramp-handle-directory-files-and-attributes' if neither stat
11588 nor perl are available on the remote host.
11590 * net/tramp-smb.el (tramp-smb-handle-insert-directory): Mark trailing
11591 "/". Write long listing only when "l" belongs to the switches.
11593 * net/trampver.el: Update release number.
11595 2014-02-19 Juanma Barranquero <lekktu@gmail.com>
11597 * frameset.el (frameset--reuse-frame): Remove workaround for bug#16793.
11599 2014-02-19 Martin Rudalics <rudalics@gmx.at>
11601 * window.el (window-state-put): Allow WINDOW to refer to an
11602 internal window (Bug#16793).
11604 2014-02-19 Glenn Morris <rgm@gnu.org>
11606 * textmodes/remember.el: Move provide statement to end.
11607 (remember-mode-map, remember-notes-mode-map, remember-notes-mode)
11608 (remember-notes): Doc fixes.
11610 2014-02-18 Stefan Monnier <monnier@iro.umontreal.ca>
11612 * delsel.el (delete-char): Restore incorrectly erased property
11615 2014-02-18 Juanma Barranquero <lekktu@gmail.com>
11617 * frameset.el (frameset--restore-frame): When a frame is being reused
11618 and its root window is not alive, delete all the frame's windows before
11619 restoring the window state. This works around the issue in bug#16793.
11621 2014-02-18 Glenn Morris <rgm@gnu.org>
11623 * textmodes/remember.el (remember-data-directory)
11624 (remember-directory-file-name-format, remember-store-in-files)
11625 (remember-notes-initial-major-mode, remember-notes-bury-on-kill)
11626 (remember-notes-save-and-bury-buffer)
11627 (remember-notes--kill-buffer-query): Doc fixes.
11629 * desktop.el (desktop-save-mode, desktop-auto-save-timeout): Doc fixes.
11631 2014-02-17 Alan Mackenzie <acm@muc.de>
11633 Connect electric-indent-mode up with CC Mode. Bug #15478.
11634 * progmodes/cc-mode.el (c-initialize-cc-mode): Add CC Mode hooks
11635 to electric-indent-{,local-}-mode.
11636 (c-basic-common-init): Set electric-indent-inhibit.
11637 Initialise c-electric-flag from electric-indent-mode.
11638 (c-electric-indent-mode-hook, c-electric-indent-local-mode-hook):
11639 New hook functions which propagate electric-indent-mode to CC mode.
11641 * progmodes/cc-cmds.el (c-toggle-electric-state): When C-c C-l is
11642 hit, toggle electric-indent-local-mode.
11644 * electric.el (electric-indent-mode-has-been-called):
11647 2014-02-17 Juanma Barranquero <lekktu@gmail.com>
11649 * frameset.el (frameset-cfg-id): New function.
11650 (frameset--reuse-frame, frameset-restore): Use it.
11651 (frameset--jump-to-register): Try harder to reuse frames (bug#16748).
11653 2014-02-17 Stefan Monnier <monnier@iro.umontreal.ca>
11655 * ido.el (ido-file-internal): Remove unused var `d'.
11656 Use \` for to match BoS. Fit within 80n columns.
11658 2014-02-17 Daniel Colascione <dancol@dancol.org>
11660 * net/dbus.el (dbus-call-method): Work around bug#16775 by having
11661 dbus-call-method check for completion using a busy-wait loop with
11664 2014-02-16 Michael Albinus <michael.albinus@gmx.de>
11666 Sync with Tramp 2.2.9.
11668 * net/trampver.el: Update release number.
11670 2014-02-16 Dmitry Gutov <dgutov@yandex.ru>
11672 * ido.el (ido-file-internal): Don't add the name of an existing
11673 directory twice. (Bug#16747)
11675 2014-02-16 Glenn Morris <rgm@gnu.org>
11677 * vc/ediff-init.el (ediff-use-faces, ediff-highlight-all-diffs):
11678 Do not use ediff-defvar-local on pre-defined variables. (Bug#16744)
11680 2014-02-15 Michael R. Mauger <michael@mauger.com>
11682 * progmodes/sql.el: Version 3.4
11683 (sql-oracle-options): New default value ("-L").
11684 (sql-mode-oracle-font-lock-keywords): Add placeholder highlighting.
11685 (sql-placeholders-filter): Correct placeholder pattern.
11686 (sql-read-table-name): Bug fix. Detect absence of SQLi process.
11687 (sql-login-delay): New variable.
11688 (sql-product-interactive): Use it.
11690 2014-02-15 Juanma Barranquero <lekktu@gmail.com>
11692 * frameset.el (frameset--jump-to-register): Check that buffer is live
11695 2014-02-15 Glenn Morris <rgm@gnu.org>
11697 * info.el (info-initialize): Revert 2014-01-10 change.
11699 2014-02-14 Glenn Morris <rgm@gnu.org>
11701 * replace.el (map-query-replace-regexp)
11702 (read-regexp-defaults-function, read-regexp): Doc fixes.
11704 * dired.el (dired-read-regexp):
11705 * faces.el (list-faces-display):
11706 * misearch.el (multi-isearch-read-matching-buffers)
11707 (multi-isearch-read-matching-files):
11708 * play/cookie1.el (cookie-apropos):
11709 * progmodes/grep.el (grep-read-regexp): Doc fixes.
11711 * textmodes/remember.el (remember): Use frameset-to-register
11712 rather than frame-configuration-to-register.
11714 2014-02-14 Jay Belanger <jay.p.belanger@gmail.com>
11716 * calc/calc-menu.el (calc-vectors-menu): Remove menu item for
11717 incorrect keybinding.
11719 2014-02-13 Daniel Colascione <dancol@dancol.org>
11721 * progmodes/flymake.el (flymake-post-syntax-check): Widen buffer
11722 when adding overlays so that line numbers from compiler match line
11725 2014-02-13 Glenn Morris <rgm@gnu.org>
11727 * mail/rmail.el (rmail-probe): Be less strict. (Bug#16743)
11729 * jit-lock.el (jit-lock-mode): Doc fix.
11731 2014-02-13 Juanma Barranquero <lekktu@gmail.com>
11733 * apropos.el (apropos-read-pattern): When the user passes an empty
11734 string, give a more helpful error message than "Wrong type
11735 argument: stringp, nil".
11737 2014-02-13 Stefan Monnier <monnier@iro.umontreal.ca>
11739 * jit-lock.el (jit-lock-mode): Keep it disabled in indirect buffers.
11741 2014-02-13 Glenn Morris <rgm@gnu.org>
11743 * finder.el (finder-known-keywords, finder-mode-map): Doc fixes.
11745 2014-02-12 Stefan Monnier <monnier@iro.umontreal.ca>
11747 * emulation/cua-base.el (cua-scroll-up, cua-scroll-down): Mark them as
11748 shift-select commands.
11750 2014-02-12 Dmitry Gutov <dgutov@yandex.ru>
11752 * progmodes/js.el (js-indent-line): Don't widen.
11753 http://lists.gnu.org/archive/html/emacs-devel/2012-06/msg00276.html
11755 2014-02-12 Glenn Morris <rgm@gnu.org>
11757 * icomplete.el (icomplete): Add info-link to defgroup.
11758 (icomplete-with-completion-tables, icomplete-minibuffer-setup-hook)
11759 (icomplete-minibuffer-map, icomplete-mode)
11760 (icomplete-simple-completing-p, icomplete-completions): Doc fixes.
11762 * emacs-lisp/package.el (package-menu-mode-map): Tweak menu.
11763 (package-menu-filter): Rename from package-menu-filter-interactive.
11766 2014-02-11 Juanma Barranquero <lekktu@gmail.com>
11768 * frameset.el (frameset--jump-to-register): Select the required
11769 window and buffer before restoring position (bug#16696).
11771 2014-02-11 Lars Ingebrigtsen <larsi@gnus.org>
11773 * dired.el (dired-get-marked-files): Clarify doc (bug#11534).
11775 2014-02-10 Glenn Morris <rgm@gnu.org>
11777 * jit-lock.el (jit-lock-force-redisplay): Doc fix. (Bug#14394)
11779 2014-02-10 Eli Zaretskii <eliz@gnu.org>
11781 * w32-common-fns.el (x-get-selection): Doc fix.
11782 * select.el (x-get-selection): Doc fix. (Bug#15109)
11784 * face-remap.el (face-remap-add-relative)
11785 (face-remap-remove-relative, face-remap-reset-base)
11786 (face-remap-set-base): Call force-mode-line-update to redisplay
11787 the current buffer due to potential change in faces. (Bug#16709)
11789 2014-02-10 Michael Albinus <michael.albinus@gmx.de>
11791 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Apply heredoc
11792 script more robustly.
11794 2014-02-10 Lars Ingebrigtsen <larsi@gnus.org>
11796 * dired.el (dired-get-marked-files): Doc fix (bug#11534).
11798 * simple.el (choose-completion): Doc fix (bug#14160).
11800 * subr.el (event-start): Say what a nil EVENT value means.
11802 * kmacro.el (kmacro-bind-to-key): Say that the parameter is unused
11805 * progmodes/grep.el (find-program): Doc fix (bug#14289).
11807 * files.el (confirm-kill-emacs): Clarify doc (bug#15455).
11809 * emacs-lisp/lisp.el (up-list): Doc fix (bug#15832).
11811 * files.el (confirm-kill-emacs): Allow specifying an arbitrary
11812 predicate function (bug#15455).
11814 2014-02-10 Dmitry Gutov <dgutov@yandex.ru>
11816 * ielm.el (inferior-emacs-lisp-mode): Instead of
11817 `comment-use-global-state', set `comment-use-syntax'.
11819 2014-02-10 Glenn Morris <rgm@gnu.org>
11821 * emacs-lisp/gulp.el (gulp-discard): Add emacs-devel.
11823 2014-02-09 Alan Mackenzie <acm@muc.de>
11825 Fix c-invalidate-state-cache on narrowed buffers.
11826 * progmodes/cc-defs.el (c-with-all-but-one-cpps-commented-out):
11827 Widen when setting and clearing the CPP delimiter properties.
11829 2014-02-09 Lars Ingebrigtsen <larsi@gnus.org>
11831 * help.el (describe-bindings): Doc fix (bug#9888).
11833 * files.el (save-buffer): Use ARG as the parameter name for
11834 consistency (bug#10346).
11835 (save-buffer): Clarify the 0 argument (bug#10346).
11837 * cus-edit.el (customize-apropos): Fix error string.
11838 (custom-buffer-create): Doc fix (bug#11122).
11839 (custom-sort-items): Doc fix (bug#11121).
11841 * repeat.el (repeat-message-function): Reword doc slightly (bug#11619).
11843 * icomplete.el (icomplete-with-completion-tables): Doc fix (bug#11654).
11844 (icomplete-simple-completing-p): Mention the previous variable.
11846 * font-lock.el (font-lock-value-in-major-mode): Clarify the
11847 meaning of the parameter (bug#12282).
11849 * files.el (find-file-noselect): Clarify prompt when changing
11850 readedness (bug#13261).
11851 (locate-file): Suffixes aren't returned, so don't say that they
11853 (backup-inhibited): Doc clarification (bug#12525).
11855 * dired.el (dired-internal-do-deletions): Don't say "Deleting..."
11856 before we actually start to delete things (bug#16331).
11858 * subr.el (event-start): Doc fix (bug#14228).
11859 (event-end): Ditto.
11861 2014-02-09 Glenn Morris <rgm@gnu.org>
11863 * emacs-lisp/warnings.el (lwarn):
11864 Empower help-enable-auto-load. (Bug#15940)
11866 2014-02-08 Andreas Schwab <schwab@linux-m68k.org>
11868 * vc/log-edit.el (log-edit-comment-to-change-log): Doc fix.
11871 2014-02-08 Michael Albinus <michael.albinus@gmx.de>
11873 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
11874 Insert output at end of buffer. (Bug#16120)
11876 2014-02-08 Lars Ingebrigtsen <larsi@gnus.org>
11878 * simple.el (choose-completion-string-functions): Document new
11879 calling convention (bug#14153).
11880 (execute-extended-command): Clarify doc string (bug#13373).
11882 * kmacro.el (kmacro-exec-ring-item): Doc fix (bug#14198).
11884 * find-dired.el (find-name-dired): Doc fix (bug#14290).
11885 (find-grep-dired): Doc fix (bug#14288).
11887 2014-02-08 Juri Linkov <juri@jurta.org>
11889 * isearch.el (isearch-quote-char): Check character validity
11890 like in `quoted-insert' (bug#16677).
11892 2014-02-08 Lars Ingebrigtsen <larsi@gnus.org>
11894 * files.el (find-file-visit-truename): Doc clarification (bug#14697).
11896 * isearch.el (isearch-hide-immediately): Doc clarification
11899 * simple.el (line-move): Document utility function used many
11900 places in the Emacs sources (bug#14843).
11902 * dired.el (dired-mode-map): Make :help text more accurate (bug#14893).
11903 (dired-prev-marked-file): Doc fix (bug#14855).
11904 (dired-up-directory): Doc fix (bug#14848).
11906 * minibuffer.el (read-file-name): Doc clarification (bug#15096).
11908 * files.el (file-relative-name): Doc fix (bug#15159).
11910 * fringe.el (fringe-styles): Doc fix (bug#15239).
11912 * isearch.el (isearch-filter-predicate): Documentation typo fix
11915 * info-look.el (info-lookup-symbol): Document MODE (bug#15498).
11917 * isearch.el (isearch-cmds): Doc clarification (bug#15547).
11919 * replace.el (replace-match-maybe-edit): Doc clarification
11922 * subr.el (add-to-list): Refill the paragraphs (bug#15791).
11924 * macros.el (insert-kbd-macro): Doc fix (bug#16025).
11926 2014-02-08 Glenn Morris <rgm@gnu.org>
11928 * help-fns.el (describe-variable):
11929 Check {file,dir}-local-variables-alist, and buffer-file-name,
11930 in the correct buffer.
11932 2014-02-08 Ingo Lohmar <i.lohmar@gmail.com>
11934 * help-fns.el (describe-variable): Fix the case where
11935 a value is directory-local with no dir-locals file. (Bug#16635)
11937 2014-02-08 Glenn Morris <rgm@gnu.org>
11939 * abbrev.el (edit-abbrevs-mode):
11940 Derive from fundamental-mode. (Bug#16682)
11942 2014-02-07 Juanma Barranquero <lekktu@gmail.com>
11944 * simple.el (quoted-insert): Check character validity (bug#16677).
11946 2014-02-07 Juri Linkov <juri@jurta.org>
11948 * desktop.el (desktop-read): Claim the lock when the owner is not
11949 the current process. (Bug#16157)
11951 2014-02-07 Juri Linkov <juri@jurta.org>
11953 * desktop.el (desktop-buffers-not-to-save): Change default from nil
11954 to "\\` ". (Bug#16651)
11956 2014-02-07 Juri Linkov <juri@jurta.org>
11958 * desktop.el (desktop-save-mode): Call `desktop-auto-save-set-timer'
11959 when enabling, and `desktop-auto-save-cancel-timer' when disabling.
11960 (desktop-auto-save-cancel-timer): New function with some code from
11961 `desktop-auto-save-set-timer'.
11962 (after-init-hook): Don't call `desktop-auto-save-set-timer'.
11963 Instead of setting `desktop-save-mode' to nil, call
11964 `desktop-save-mode' with arg 0. (Bug#16630)
11966 2014-02-07 Glenn Morris <rgm@gnu.org>
11968 * hi-lock.el (hi-lock-auto-select-face, hi-lock-line-face-buffer)
11969 (hi-lock-face-buffer, hi-lock-face-phrase-buffer)
11970 (hi-lock-face-symbol-at-point, hi-lock-read-face-name): Doc tweaks.
11972 * obsolete/iswitchb.el: Move to obsolete/.
11973 * simple.el (iswitchb-mode): Add manual autoloads to ease transition,
11974 since obsolete/ is not scanned for autoloads.
11975 * emacs-lisp/authors.el (authors-valid-file-names):
11978 * obsolete/meese.el: Restore as obsolete (deleted 2014-01-11).
11979 Disable now non-functional find-file-hook.
11981 2014-02-06 Michael Albinus <michael.albinus@gmx.de>
11983 * net/tramp-sh.el (tramp-sh-handle-start-file-process): Use "&&"
11984 instead of ";" in order to avoid additional prompts. Let heredoc
11985 scripts read from tty. (Bug#16582)
11986 (tramp-send-command): No special handling of heredocs, it isn't
11989 2014-02-06 Stefan Monnier <monnier@iro.umontreal.ca>
11991 * emacs-lisp/lisp.el (lisp-completion-at-point): Symbols don't start
11992 with a space (bug#16664). Limit the symbols considered to the ones
11993 that are bound or fbound (bug#16646).
11995 2014-02-06 Glenn Morris <rgm@gnu.org>
11997 * epa.el (epa-mail-aliases): Doc fix.
11999 2014-02-06 Dmitry Gutov <dgutov@yandex.ru>
12001 * emacs-lisp/lisp.el (lisp-completion-at-point):
12002 Use `completion-table-merge' instead of `completion-table-in-turn'
12005 * minibuffer.el (completion-table-merge): New function.
12007 2014-02-05 Michael Albinus <michael.albinus@gmx.de>
12009 * net/tramp-sh.el (tramp-end-of-heredoc): New defconst.
12010 (tramp-sh-handle-set-file-acl)
12011 (tramp-sh-handle-start-file-process)
12012 (tramp-sh-handle-write-region, tramp-sh-handle-vc-registered)
12013 (tramp-find-executable, tramp-send-command): Use it.
12015 2014-02-05 Glenn Morris <rgm@gnu.org>
12017 * epa.el (epa-mail-aliases): Fix custom type. Doc tweak.
12019 2014-02-04 Fabián Ezequiel Gallina <fgallina@gnu.org>
12021 * progmodes/python.el (python-shell-send-string)
12022 (python-shell-send-string-no-output): Fix docstring (Bug#16547).
12024 2014-02-04 Anders Lindgren <andlind@gmail.com>
12026 * emacs-lisp/ert.el (ert--activate-font-lock-keywords): Allow dashes in
12027 the names (bug#16620).
12029 2014-02-03 Martin Rudalics <rudalics@gmx.at>
12031 * faces.el (window-divider): New default value. Rewrite doc-string.
12032 (window-divider-first-pixel, window-divider-last-pixel): New faces.
12034 2014-02-03 Dmitry Gutov <dgutov@yandex.ru>
12036 * progmodes/ruby-mode.el (ruby-font-lock-keywords): `private',
12037 `protected' and `public' can also be called without arguments.
12039 2014-02-03 Glenn Morris <rgm@gnu.org>
12041 * register.el (window-configuration-to-register)
12042 (frame-configuration-to-register): Unadvertise unused argument.
12043 * frameset.el (frameset-to-register): Remove unused argument.
12045 * frameset.el (frameset-to-register):
12046 * kmacro.el (kmacro-to-register):
12047 * register.el (increment-register):
12048 * calc/calc-yank.el (calc-copy-to-register, calc-insert-register)
12049 (calc-append-to-register, calc-prepend-to-register):
12050 * play/gametree.el (gametree-layout-to-register)
12051 (gametree-apply-register-layout):
12052 * textmodes/picture.el (picture-clear-rectangle-to-register)
12053 (picture-yank-rectangle-from-register):
12054 * vc/emerge.el (emerge-combine-versions-register):
12055 Use register-read-with-preview to read registers.
12057 2014-02-03 João Távora <joaotavora@gmail.com>
12059 * elec-pair.el (electric-pair-backward-delete-char): Don't error
12060 when at beginning of (possibly narrowed) buffer.
12062 2014-02-02 Daniel Colascione <dancol@dancol.org>
12064 * help-at-pt.el (help-at-pt-string, help-at-pt-maybe-display):
12065 Also try to display local help from just before point.
12067 2014-02-02 Alan Mackenzie <acm@muc.de>
12069 c-parse-state. Don't "append-lower-brace-pair" in certain
12070 circumstances. Also fix an obscure bug where "\\s!" shouldn't be
12071 recognised as a comment.
12073 * progmodes/cc-engine.el (c-state-pp-to-literal): Check for "\\s!"
12074 as well as normal comment starter.
12075 (c-parse-state-get-strategy): Extra return possibility
12077 (c-remove-stale-state-cache): Extra element CONS-SEPARATED in
12078 return value list to indicate replacement of a brace-pair cons
12080 (c-parse-state-1): With 'back-and-forward, only call
12081 c-append-lower-brace-pair-to state-cache when cons-separated.
12083 2014-02-02 Jan Djärv <jan.h.d@swipnet.se>
12085 * term/ns-win.el (ns-suspend-error): New function.
12086 (ns-initialize-window-system): Add ns-suspend-error to
12087 suspend-hook (Bug#16612).
12089 2014-02-02 Daniel Colascione <dancol@dancol.org>
12091 * progmodes/cc-defs.el (c-find-assignment-for-mode):
12092 Make loading cc-mode silent.
12094 2014-02-02 Daniel Colascione <dancol@dancol.org>
12096 * comint.el (comint-prompt-read-only): Change doc to suggest
12099 2014-02-02 Glenn Morris <rgm@gnu.org>
12101 * register.el (register-read-with-preview, point-to-register)
12102 (window-configuration-to-register, frame-configuration-to-register)
12103 (jump-to-register, number-to-register, view-register, insert-register)
12104 (copy-to-register, append-to-register, prepend-to-register)
12105 (copy-rectangle-to-register): Doc fixes.
12107 2014-02-02 Stefan Monnier <monnier@iro.umontreal.ca>
12109 * help-fns.el (help-C-file-name): Handle advised functions (bug#16478).
12110 * emacs-lisp/find-func.el (find-function-C-source): Idem.
12111 * emacs-lisp/nadvice.el (advice--cd*r): New function.
12112 * help-fns.el (describe-function-1): Use it.
12114 2014-02-02 Glenn Morris <rgm@gnu.org>
12116 * register.el (register-preview-default): New function,
12117 split from register-preview.
12118 (register-preview-function): Rename from register-preview-functions,
12119 make it not a hook.
12120 (register-preview): Use register-preview-function.
12121 (register-read-with-preview): Error on non-character event. (Bug#16595)
12123 2014-02-01 Dmitry Gutov <dgutov@yandex.ru>
12125 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Check for
12126 `:' before binary operators (bug#16609). Don't check for `:'
12127 before `[' and `(', or their syntax status. A percent literal
12128 can't end with either.
12129 (ruby-font-lock-keywords): For built-ins that require arguments,
12130 check that they're followed by something that looks like argument
12133 2014-02-01 Lars Ingebrigtsen <larsi@gnus.org>
12135 * subr.el (butlast): Document what an omitted N means (bug#13437).
12138 2014-01-31 Lars Ingebrigtsen <larsi@gnus.org>
12140 * net/shr.el (shr-generic): Make into a defsubst to make the stack
12141 depth shallower (bug#16587).
12142 (shr-tag-svg): Respect `shr-inhibit-images'.
12143 (shr-dom-to-xml): Respect `shr-blocked-images' (bug#15882).
12145 2014-01-31 Dmitry Gutov <dgutov@yandex.ru>
12147 * progmodes/ruby-mode.el (ruby-align-chained-calls): New option.
12148 (ruby-smie-grammar): Make "." right-associative. Make its priority
12149 lower than the ternary and all binary operators.
12150 (ruby-smie-rules): Indent "(" relative to the first non-"."
12151 parent, or the first "." parent at indentation.
12152 Use `ruby-align-chained-calls' for indentation of "." tokens.
12155 2014-01-31 Juri Linkov <juri@jurta.org>
12157 * sort.el (delete-duplicate-lines): Remove `:weakness 'key'
12158 from `make-hash-table'.
12160 * textmodes/ispell.el (ispell-init-process): Change message format
12161 to be consistent with other messages.
12163 2014-01-31 Glenn Morris <rgm@gnu.org>
12165 * delsel.el (delete-selection-mode): Doc fix.
12167 * emacs-lisp/trace.el (trace--read-args, trace-function-foreground)
12168 (trace-function-background): Doc fixes.
12170 * ido.el (ido-use-virtual-buffers): Doc fix.
12171 Reset :version, since the default value has not changed.
12173 * register.el (register-preview-delay, register-read-with-preview):
12176 * mail/reporter.el (reporter-dump-variable): In case of void-variable,
12177 do not mess with mail-buffer position (fixes 2009-11-03 change).
12178 * progmodes/cc-mode.el (c-submit-bug-report):
12179 Check auto-fill-mode is bound. (Bug#16592)
12181 2014-01-31 Darren Hoo <darren.hoo@gmail.com>
12183 * startup.el (fancy-splash-image-file): New function,
12184 split from fancy-splash-head.
12185 (fancy-splash-head, use-fancy-splash-screens-p): Use it,
12186 so that we are both using the same image. (Bug#16574)
12188 2014-01-30 Glenn Morris <rgm@gnu.org>
12190 * simple.el (eval-expression): Doc fix.
12192 * hexl.el (hexl-mode-hook):
12193 * ielm.el (ielm-mode-hook):
12194 * emacs-lisp/lisp-mode.el (emacs-lisp-mode-hook)
12195 (lisp-interaction-mode-hook):
12196 * progmodes/cfengine.el (cfengine3-documentation-function):
12197 Replace obsolete alias `turn-on-eldoc-mode' with `eldoc-mode'.
12199 2014-01-30 Stefan Monnier <monnier@iro.umontreal.ca>
12201 * emacs-lisp/eieio-opt.el (eieio-help-generic): Don't assume `generic'
12202 is a symbol (bug#16584).
12204 2014-01-30 Glenn Morris <rgm@gnu.org>
12206 * help.el (help-for-help-internal): Add "P" to text.
12208 2014-01-29 Glenn Morris <rgm@gnu.org>
12210 * simple.el (just-one-space, cycle-spacing): Doc fixes.
12212 2014-01-28 Martin Rudalics <rudalics@gmx.at>
12214 * window.el (fit-frame-to-buffer): Fix calculations for margins and
12215 height constraints.
12217 2014-01-28 Luke Lee <luke.yx.lee@gmail.com>
12219 * progmodes/hideif.el: Extend to full CPP expression syntax.
12220 (hif-token-alist): Add missing tokens.
12221 (hif-token-regexp): Add support for float/octal/hex immediates.
12222 (hif-string-literal-regexp): New const.
12223 (hif-tokenize): Recognize strings and float/octal/hex immediates.
12224 (hif-exprlist): New function.
12225 (hif-parse-if-exp): Use it.
12226 (hif-logior-expr, hif-logxor-expr, hif-logand-expr, hif-comp-expr)
12227 (hif-logshift-expr, hif-muldiv-expr, hif-lognot, hif-shiftleft)
12228 (hif-shiftright, hif-multiply, hif-divide, hif-modulo, hif-equal)
12229 (hif-logxor, hif-comma): New functions.
12231 2014-01-28 Glenn Morris <rgm@gnu.org>
12233 * textmodes/fill.el (fill-single-char-nobreak-p): Doc tweak.
12235 * indent.el (tab-stop-list): Doc fix. Add :version.
12237 * vc/pcvs.el (vc-editable-p, vc-checkout): Remove unused declarations.
12238 (cvs-append-to-ignore): Add compatibility alias.
12240 2014-01-27 Glenn Morris <rgm@gnu.org>
12242 * dired.el (dired-hide-details-mode): Don't autoload it,
12243 since it cannot be used outside Dired buffers anyway.
12245 * emulation/cua-base.el (cua-mode): Doc fix.
12247 * dired.el (dired-hide-details-hide-symlink-targets)
12248 (dired-hide-details-hide-information-lines)
12249 (dired-hide-details-mode): Doc fixes.
12251 * shadowfile.el (shadow-info-file, shadow-todo-file): Doc fix.
12252 * strokes.el (strokes-file): Doc fix. Bump :version.
12253 (strokes-help): Doc fix.
12254 * emulation/viper-init.el (viper-vi-style-in-minibuffer): Doc fix.
12255 * emulation/viper.el (viper): Doc fix for custom group.
12256 (top-level): Remove oh-so-no-longer-relevant text about vip.
12257 * obsolete/otodo-mode.el (todo-prefix): Doc fix.
12259 * ido.el (ido-save-directory-list-file):
12260 * saveplace.el (save-place-file):
12261 * calendar/timeclock.el (timeclock-file):
12262 * net/quickurl.el (quickurl-url-file):
12263 * obsolete/otodo-mode.el (todo-file-do, todo-file-done, todo-file-top):
12264 * progmodes/idlwave.el (idlwave-config-directory):
12265 * textmodes/remember.el (remember-data-file):
12268 2014-01-26 Glenn Morris <rgm@gnu.org>
12270 * progmodes/opascal.el (opascal-tab-always-indents, opascal-tab):
12271 Doc fix. Make obsolete.
12272 (opascal-mode): No longer mention opascal-tab-always-indents in doc.
12274 * sort.el (delete-duplicate-lines): Doc fix.
12276 2014-01-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
12278 * progmodes/ada-mode.el (ada):
12279 * woman.el (woman): Link to info manual and Commentary section.
12281 * progmodes/flymake.el (flymake):
12282 * nxml/nxml-mode.el (nxml):
12283 * net/eww.el (eww):
12284 * speedbar.el (speedbar, speedbar-faces, speedbar-vc):
12285 * htmlfontify.el (htmlfontify):
12288 * ido.el (ido): Link to info manual.
12290 2014-01-25 Leo Liu <sdl.web@gmail.com>
12292 * progmodes/flymake.el (flymake-make-overlay): No rear advance.
12294 2014-01-25 Adam Sjøgren <asjo@koldfront.dk>
12296 * net/shr.el (shr-tag-img): Prefer the title over the alt text
12299 2014-01-24 Juanma Barranquero <lekktu@gmail.com>
12301 * net/eww.el (eww-download-callback):
12302 Fix reference to eww-download-directory.
12304 * emacs-lisp/bytecomp.el (byte-compile-file):
12305 Remove unused local variable `file-name'.
12307 2014-01-24 Glenn Morris <rgm@gnu.org>
12309 * woman.el (woman-default-faces, woman-monochrome-faces):
12310 Fix obsolescence specification.
12312 * subr.el (with-demoted-errors): Doc fix.
12314 2014-01-23 Stefan Monnier <monnier@iro.umontreal.ca>
12316 * emacs-lisp/cl-macs.el: Improve type->predicate mapping (bug#16520).
12317 (cl--macroexp-fboundp): New function.
12318 (cl--make-type-test): Use it.
12320 2014-01-23 Glenn Morris <rgm@gnu.org>
12322 * emacs-lisp/lisp-mode.el (eval-print-last-sexp, eval-last-sexp):
12323 * simple.el (eval-expression): Doc fixes.
12325 2014-01-22 Glenn Morris <rgm@gnu.org>
12327 * emacs-lisp/authors.el (authors-fixed-entries): Addition.
12329 2014-01-22 Stefan Monnier <monnier@iro.umontreal.ca>
12331 * emacs-lisp/package.el: Write files silently.
12332 (package-autoload-ensure-default-file, package--write-file-no-coding)
12333 (package-generate-description-file, package--download-one-archive)
12334 (package-install-from-archive): Tell `write-region' to stay quiet.
12335 (package-menu-mode, package-menu--print-info): Omit the Archive column
12336 if there's only one archive.
12337 (package-all-keywords, package--has-keyword-p): Remove dead code.
12339 2014-01-22 Glenn Morris <rgm@gnu.org>
12341 * version.el (emacs-bzr-version-bzr): Fix typo.
12343 * version.el (emacs-repository-get-version):
12344 Check either .bzr or .git, but not both.
12345 Make the git case actually use the DIR argument, and return nil
12346 rather than the empty string.
12347 Avoid error if .git exists but the git executable is not found.
12349 2014-01-22 Martin Rudalics <rudalics@gmx.at>
12351 Fixes in window size functions around Bug#16430 and Bug#16470.
12352 * window.el (window-total-size, window-size): New argument ROUND.
12353 (window--min-delta-1, window-min-delta, window--max-delta-1):
12354 Be more conservative when calculating the numbers of lines or
12355 columns a window can shrink (Bug#16430).
12356 (fit-window-to-buffer): Simplify code.
12357 * term.el (term-window-width): Call window-body-width again.
12359 2014-01-22 Glenn Morris <rgm@gnu.org>
12361 * image.el (image-format-suffixes): Doc fix.
12363 * international/quail.el (quail-define-package): Doc fix.
12365 * emacs-lisp/authors.el (authors-valid-file-names)
12366 (authors-renamed-files-alist): Additions.
12368 * vc/vc-git.el (vc-git-print-log): Remove --follow;
12369 reverts 2014-01-09 change. (Bug#16422)
12371 * calc/calc-embed.el (thing-at-point-looking-at):
12372 * emacs-lisp/map-ynp.el (x-popup-dialog):
12373 * obsolete/lmenu.el (x-popup-dialog):
12374 * emacs-lisp/package.el (url-recreate-url):
12375 * mail/mailclient.el (clipboard-kill-ring-save):
12376 * subr.el (x-popup-dialog): Update declaration.
12377 * mail/rmail.el (rmail-mime-message-p):
12378 * window.el (tool-bar-lines-needed): Remove unnecessary declaration.
12380 2014-01-21 Daniel Colascione <dancol@dancol.org>
12382 * progmodes/sh-script.el (sh--inside-noncommand-expression):
12383 Correctly detect when we're inside an arithmetic expansion form
12384 containing nested parenthesis.
12385 (sh--maybe-here-document): Use `sh--inside-noncommand-expression'
12386 to detect cases where we shouldn't expand "<<" to a heredoc
12389 2014-01-21 Stefan Monnier <monnier@iro.umontreal.ca>
12391 * emacs-lisp/eldoc.el: Properly remove message in minibuffer case.
12392 (eldoc--message-command-p): New function.
12393 (eldoc-display-message-p): Use it.
12394 (eldoc-pre-command-refresh-echo-area): In the minibuffer case, the
12395 message is not automatically erased for us.
12396 (eldoc-print-current-symbol-info): Erase previous message, if any.
12398 2014-01-21 Tassilo Horn <tsdh@gnu.org>
12400 * textmodes/reftex.el (reftex-create-bibtex-file): Fix autoload to
12401 specify it's an interactive function.
12403 * textmodes/reftex-cite.el (reftex-all-used-citation-keys):
12404 Fix regex used for scanning for citation keys which failed for
12405 citations with optional arguments.
12407 2014-01-21 Leo Liu <sdl.web@gmail.com>
12409 * simple.el (read--expression): Don't enable eldoc-mode.
12411 2014-01-20 Stefan Monnier <monnier@iro.umontreal.ca>
12413 * simple.el (move-beginning-of-line): Make sure we don't move forward
12416 2014-01-20 Juri Linkov <juri@jurta.org>
12418 * saveplace.el (toggle-save-place, save-place-to-alist)
12419 (save-places-to-alist, save-place-dired-hook): Add (derived-mode-p
12420 'dired-mode) before checking for dired-directory. (Bug#16477)
12422 2014-01-20 Juri Linkov <juri@jurta.org>
12424 * indent.el (indent-line-to): Use backward-to-indentation
12425 instead of back-to-indentation. (Bug#16461)
12427 2014-01-20 Paul Eggert <eggert@cs.ucla.edu>
12429 Revert some of the CANNOT_DUMP fix (Bug#16494).
12430 Because of this, "make bootstrap" won't work if CANNOT_DUMP=yes,
12431 but fixing this can wait until after the next release.
12432 * Makefile.in (emacs): Keep EMACSLOADPATH empty.
12434 2014-01-19 Michael Albinus <michael.albinus@gmx.de>
12436 * eshell/esh-mode.el (eshell-password-prompt-regexp):
12437 Use `password-word-equivalents'.
12438 (eshell-watch-for-password-prompt): Let-bind `case-fold-search'
12439 to t. (Bug#5664, Bug#13124)
12441 2014-01-19 Alan Mackenzie <acm@muc.de>
12443 Bind open-paren-in-column-0-is-defun-start to nil at some entry
12445 * progmodes/cc-engine.el (c-invalidate-state-cache-1)
12446 (c-parse-state-1, c-guess-basic-syntax): Bind it here.
12447 * progmodes/cc-mode.el (c-before-change, c-after-change)
12448 (c-font-lock-fontify-region): Bind it here.
12450 2014-01-19 Martin Rudalics <rudalics@gmx.at>
12452 * term.el (term-window-width): Call window-text-width instead of
12453 window-width (Bug#16470).
12455 2014-01-18 Paul Eggert <eggert@cs.ucla.edu>
12457 * simple.el (password-word-equivalents): Remove duplicates.
12458 Sort, to make this easier next time.
12459 Downcase. Omit ": " after "jelszó".
12461 2014-01-18 Jan Djärv <jan.h.d@swipnet.se>
12463 * term/common-win.el (saved-region-selection): Defvar it.
12464 (x-select-text): Set saved-region-selection (Bug#16382).
12466 2014-01-18 Glenn Morris <rgm@gnu.org>
12468 * emacs-lisp/authors.el (authors-aliases)
12469 (authors-renamed-files-alist): Add some entries.
12471 2014-01-17 Michael Albinus <michael.albinus@gmx.de>
12473 * net/tramp.el (tramp-password-prompt-regexp):
12474 Use `password-word-equivalents' if available.
12475 (tramp-action-password, tramp-process-one-action)
12476 (tramp-read-passwd): Let-bind `case-fold-search' to t. (Bug#13124)
12478 2014-01-17 Chong Yidong <cyd@gnu.org>
12480 * simple.el (password-word-equivalents): New defcustom.
12481 * comint.el (comint-password-prompt-regexp): Use it. Bump version
12483 (comint-watch-for-password-prompt): Let-bind `case-fold-search'
12486 2014-01-17 Dmitry Gutov <dgutov@yandex.ru>
12488 * progmodes/ruby-mode.el (ruby-alignable-keywords): New constant.
12489 (ruby-align-to-stmt-keywords): Change the default value.
12490 Use `ruby-alignable-keywords' to generate the possible customization
12492 (ruby-smie-rules): Instead of using a hardcoded list of alignable
12493 keywords, check against the value of `ruby-alignable-keywords'
12494 (http://lists.gnu.org/archive/html/emacs-devel/2014-01/msg01439.html).
12496 2014-01-17 Glenn Morris <rgm@gnu.org>
12498 * emacs-lisp/authors.el (authors-aliases): Remove unnecessary entries.
12500 Make M-x authors return zero *Authors Errors* from current logs.
12501 * emacs-lisp/authors.el (authors-obsolete-files-regexps)
12502 (authors-ignored-files): Add some entries, remove others.
12503 (authors-ambiguous-files, authors-valid-file-names):
12505 (authors-renamed-files-alist): Add, remove, and adjust entries.
12506 (authors-renamed-files-regexps): Add some entries.
12507 Remove some very broad ones. Make some entries `lax'.
12508 (authors-lax-changelogs): New constant.
12509 (authors-disambiguate-file-name): Treat top-level specially.
12510 (authors-lax-changelog-p): New function.
12511 (authors-canonical-file-name): Check file as written against
12512 authors-valid-file-names. Do not special-case etc/.
12513 Handle `lax' logs and authors-renamed-files-regexps elements.
12515 2014-01-16 Dmitry Gutov <dgutov@yandex.ru>
12517 * emacs-lisp/package.el (package-desc--keywords): Use `cdr' with
12518 `assoc'. Use `nth' instead of `cdr'. Make private. Update all
12521 2014-01-16 Stefan Monnier <monnier@iro.umontreal.ca>
12523 * follow.el (follow-adjust-window): Remove `dest' argument (bug#16426).
12524 Assume we're already in the proper buffer.
12525 Inspired by Anders Lindgren <andlind@gmail.com>.
12526 (follow-post-command-hook): Call it from the right buffer.
12527 (follow-comint-scroll-to-bottom): Adjust call.
12528 (follow-all-followers): Use get-buffer-window-list.
12530 2014-01-15 Daniel Colascione <dancol@dancol.org>
12532 * emacs-lisp/bytecomp.el (byte-compile-file): Use whole
12533 `buffer-file-name' in interactive-form so that we don't leave
12534 pathless file names in `file-name-history'.
12536 2014-01-15 Juri Linkov <juri@jurta.org>
12538 * indent.el (indent-rigidly): Set deactivate-mark to nil
12539 in transient indentation mode. (Bug#16438)
12541 2014-01-15 Dmitry Gutov <dgutov@yandex.ru>
12543 * emacs-lisp/package.el (package-desc-keywords): New function
12545 (describe-package-1, package-all-keywords)
12546 (package--has-keyword-p): Use it.
12548 2014-01-14 Nicolas Richard <theonewiththeevillook@yahoo.fr>
12550 * simple.el (define-alternatives): When creating the
12551 COMMAND-alternatives variable, assign COMMAND as its definition
12552 name so that `describe-variable' can relocate it.
12554 2014-01-14 Matthew Leach <matthew@mattleach.net>
12556 * font-lock.el (font-lock-keywords): Fix typo in docstring
12559 2014-01-14 Agustín Martín Domingo <agustin.martin@hispalinux.es>
12561 * textmodes/ispell.el (ispell-region): Reset `in-comment' for new
12562 line instead of wrongly reset `add-coment' (bug#13577).
12564 2014-01-14 Daiki Ueno <ueno@gnu.org>
12566 * epa-file.el (epa-file-write-region): Encode the region according
12567 to `buffer-file-format'. Problem reported at:
12568 <http://sourceforge.jp/ticket/browse.php?group_id=2267&tid=32917>.
12570 2014-01-14 Stefan Monnier <monnier@iro.umontreal.ca>
12572 * emacs-lisp/edebug.el (edebug--display): Move protective let-binding
12573 so it applies in the right buffer (bug#16410).
12575 2014-01-13 Daniel Colascione <dancol@dancol.org>
12577 * textmodes/rst.el (rst-define-key): Provide deprecated
12578 keybindings through named functions instead of anonymous ones so
12579 that "??" doesn't appear in describe-mode output.
12581 2014-01-13 Bastien Guerry <bzg@gnu.org>
12583 * simple.el (define-alternatives): Call the selected command
12584 interactively. When setting `COMMAND--implementation' for the
12585 first time, tell the user how to chose another implementation.
12586 Enhance the docstring.
12588 2014-01-13 Stefan Monnier <monnier@iro.umontreal.ca>
12590 * vc/log-edit.el: Fix highlighting of summary when it's the first line.
12591 (log-edit--match-first-line): New function.
12592 (log-edit-font-lock-keywords): Use it.
12593 (log-edit-mode): Make jit-lock-defer-multiline work.
12595 2014-01-13 Bastien Guerry <bzg@gnu.org>
12597 * rect.el (rectangle-mark-mode): When the region is not active,
12598 display a message saying that the mark as been set and that
12599 rectangle mode is in use.
12600 (rectangle--highlight-for-redisplay): Only put an overlay with a
12601 visible vertical bar when (display-graphic-p) is non-nil.
12602 This partially fixes Bug#16403.
12604 2014-01-13 Juri Linkov <juri@jurta.org>
12606 * info.el (Info-find-file): Go to DIR before displaying the error
12607 about a nonexistent file if no previous Info file is visited.
12608 Use `user-error' instead of `error' for "Info file %s does not exist".
12609 (Info-find-node-2): In case of a nonexistent node in unwind forms
12610 go to the Top node if there is no previous node to revert to.
12613 2014-01-13 Martin Rudalics <rudalics@gmx.at>
12615 fit-frame/window-to-buffer code fixes including one for Bug#14096.
12616 * window.el (fit-frame-to-buffer): Fix doc-string.
12617 Respect window-min-height/-width. Fit pixelwise when
12618 frame-resize-pixelwise is non-nil. Adjust right/bottom edge
12619 when avoiding that frame goes partially off-screen.
12620 (fit-window-to-buffer): Respect window-min-height/-width
12623 2014-01-13 Stefan Monnier <monnier@iro.umontreal.ca>
12625 * indent.el (indent-according-to-mode): Flush to column 0 in text-mode
12626 after an empty line.
12628 2014-01-12 Stefan Monnier <monnier@iro.umontreal.ca>
12630 * net/shr.el (shr-render-region): Autoload.
12632 2014-01-12 Xue Fuqiao <xfq.free@gmail.com>
12634 * net/eww.el (eww-download-directory): Rename from
12635 `eww-download-path' (Bug#16419).
12637 2014-01-12 Leo Liu <sdl.web@gmail.com>
12639 * dired-x.el (dired-mode-map): Fix last change.
12641 * emacs-lisp/eldoc.el (eldoc-mode): Add hook locally.
12643 2014-01-12 Paul Eggert <eggert@cs.ucla.edu>
12646 * emacs-lisp/generic.el (generic--normalize-comments):
12647 Rename from generic--normalise-comments. All uses changed.
12648 * play/bubbles.el (bubbles--neighborhood-score)
12649 (bubbles--mark-direct-neighbors, bubbles--mark-neighborhood)
12650 (bubbles--neighborhood-available)
12651 (bubbles--update-neighborhood-score):
12652 Rename from names with 'neighbourhood'. All uses changed.
12654 2014-01-12 Leo Liu <sdl.web@gmail.com>
12656 Re-implement the feature of showing eldoc info after editing.
12657 * emacs-lisp/eldoc.el (eldoc-post-insert-mode): Remove.
12658 (eldoc-edit-message-commands): New function.
12659 (eldoc-print-after-edit): New variable.
12660 (eldoc-pre-command-refresh-echo-area): Emit message only by
12661 eldoc-message-commands.
12662 (eldoc-mode): Restrict eldoc-message-commands to editing commands
12663 if eldoc-print-after-edit is set. (Bug#16346)
12664 * simple.el (read--expression): Enable eldoc-mode.
12665 * progmodes/octave.el (octave-mode-menu): Adapt to change in eldoc.
12667 2014-01-11 Dani Moncayo <dmoncayo@gmail.com>
12668 Eric S. Raymond <esr@thyrsus.com>
12670 * version.el (emacs-repository-get-version): Enhance so the
12671 function works correctly in either a Bazaar or Git repo.
12673 2014-01-11 Eric S. Raymond <esr@thyrsus.com>
12675 * play/meese.el: It's 2014 and Ed Meese is justly forgotten.
12676 Goes with removal of the joke manpages from /etc.
12678 2014-01-10 Kenichi Handa <handa@gnu.org>
12680 * mail/rmail.el (rmail-get-coding-system):
12681 Check rmail-get-coding-function before "funcall"ing it.
12683 2014-01-10 Glenn Morris <rgm@gnu.org>
12685 * emacs-lisp/authors.el (authors-fixed-entries):
12686 Update for files that no longer exist.
12688 2014-01-10 Eric S. Raymond <esr@thyrsus.com>
12690 * version.el (emacs-bzr-get-version): Restore compatibilty with
12693 2014-01-10 Bozhidar Batsov <bozhidar@batsov.com>
12695 * progmodes/ruby-mode.el (auto-mode-alist): Add .podspec
12698 2014-01-10 Eli Zaretskii <eliz@gnu.org>
12700 * emacs-lisp/authors.el (authors-fixed-entries): Update my entry.
12702 2014-01-10 Chong Yidong <cyd@gnu.org>
12704 * progmodes/octave.el (octave-mode-menu): Don't assume eldoc is loaded.
12706 2014-01-10 Anders Lindgren <andlind@gmail.com>
12708 * follow.el (follow-cache-command-list): Include right-char and
12711 2014-01-10 Paul Eggert <eggert@cs.ucla.edu>
12714 * mail/unrmail.el (unrmail-mbox-format): Choice is mboxo, not mboxro.
12715 * woman.el (woman-mark-horizontal-position):
12716 Rename from woman-mark-horizonal-position. Use changed.
12718 2014-01-10 Glenn Morris <rgm@gnu.org>
12720 * info.el (info-initialize): If running uninstalled, ensure our
12721 own info files are always found first, even if INFOPATH is set.
12723 * help.el (view-order-manuals): Open emacs.info rather than ORDERS.
12725 2014-01-09 David Engster <deng@randomsample.de>
12727 * emacs-lisp/eieio-custom.el:
12728 * emacs-lisp/eieio-opt.el: Set generated autoload file to
12729 'eieio.el'. This was accidentally removed in 2012-10-01T18:10:29Z!cyd@gnu.org.
12730 * emacs-lisp/eieio.el: Regenerate autoloads.
12732 2014-01-09 Eric S. Raymond <esr@thyrsus.com>
12734 * vc/vc-git.el (vc-git-print-log): Add --follow option to command,
12735 following renames. (Bug#8756)
12737 2014-01-09 Stefan Monnier <monnier@iro.umontreal.ca>
12739 * simple.el (deactivate-mark, activate-mark): Force-mode-line-update
12741 (activate-mark): Add `no-tmm' argument.
12742 (set-mark, push-mark-command): Use it instead of running
12743 activate-mark-hook by hand.
12745 2014-01-08 Eric S. Raymond <esr@thyrsus.com>
12747 In preparation for the move to git, sanitize out some
12748 Bazaar-specific names.
12750 * emacs-lisp/authors.el: INSTALL.BZR renamed to INSTALL.REPO.
12752 * version.el (emacs-bzr-version): Name changed to
12753 emacs-repository-version. Obsolete-variable alias made.
12754 * loadup.el: Follow through on this name change.
12755 * mail/emacsbug.el (report-emacs-bug): Factor out any
12756 assumption about the version control system in use.
12758 2014-01-08 David Engster <deng@randomsample.de>
12760 * help-fns.el (help-fns-describe-function-functions):
12761 New variable to call functions for augmenting help buffers.
12762 (describe-function-1): Remove explicit calls to
12763 `help-fns--compiler-macro', `help-fns--parent-mode' and
12764 `help-fns--obsolete'. Put them in above new variable instead, and
12765 call them through `run-hook-with-args'.
12766 * emacs-lisp/eieio-opt.el (eieio-help-class): Rename from
12767 `eieio-describe-class'. Not meant for interactive use anymore,
12768 but to augment existing help buffers. Remove optional second
12769 argument. Create proper button for file location.
12770 Rewrite function to use `insert' instead of `princ' and `prin1' where
12772 (eieio-help-class-slots): Rename from `eieio-describe-class-slots'.
12773 (eieio-method-def, eieio-class-def): Move further up.
12774 (describe-method, describe-generic, eieio-describe-method):
12776 (eieio-help-constructor, eieio-help-generic): Rename from
12777 `eieio-describe-constructor' and `eieio-describe-generic', resp.
12778 Rewrite to use `insert' in the current buffer and use proper help
12780 (eieio-help-find-method-definition)
12781 (eieio-help-find-class-definition): Also accept symbols as
12783 (eieio-help-mode-augmentation-maybee): Remove.
12784 (eieio-describe-class-sb): Use `describe-function'.
12785 * emacs-lisp/eieio.el (help-fns-describe-function-functions):
12786 Add `eieio-help-generic' and `eieio-help-constructor'.
12788 2014-01-08 Paul Eggert <eggert@cs.ucla.edu>
12791 * language/china-util.el (hz-ascii-designation):
12792 Rename from hz-ascii-designnation.
12793 (hz-ascii-designation): Rename from hz-ascii-designnation.
12796 2014-01-08 Stefan Monnier <monnier@iro.umontreal.ca>
12798 * emacs-lisp/package.el (package-delete): Only remove pkg-desc from
12801 2014-01-08 Bastien Guerry <bzg@gnu.org>
12803 * emacs-lisp/package.el (package-delete):
12804 Correctly delete the package from package-alist.
12806 2014-01-08 Daiki Ueno <ueno@gnu.org>
12808 * emacs-lisp/package.el (url-recreate-url): Declare.
12809 (url-http-target-url): Declare.
12810 (package-handle-response): Include requested URL in the error message.
12811 (package--check-signature): Don't re-signal errors from
12812 package--with-work-buffer. Suggested by Stefan Monnier.
12814 2014-01-07 Bastien Guerry <bzg@gnu.org>
12816 * minibuffer.el (completion--try-word-completion): When both a
12817 hyphen and a space are possible candidates for the character
12818 following a word, display both candidates. (Bug#15980)
12820 2014-01-07 Martin Rudalics <rudalics@gmx.at>
12822 * window.el (balance-windows-2): While rounding don't give a
12823 window more than the remainder. Bug#16351, bug#16383.
12825 2014-01-07 Glenn Morris <rgm@gnu.org>
12827 * menu-bar.el (menu-bar-help-extra-packages): Remove.
12828 (menu-bar-help-menu): Use view-external-packages instead.
12830 2014-01-07 Bastien Guerry <bzg@gnu.org>
12832 * emacs-lisp/package.el (package-delete): Also delete the package
12833 name from `package-alist', not its description only.
12835 2014-01-07 Glenn Morris <rgm@gnu.org>
12837 * help.el (view-external-packages):
12838 * menu-bar.el (menu-bar-help-extra-packages):
12839 Visit efaq.info rather than etc/MORE.STUFF.
12841 2014-01-07 Juri Linkov <juri@jurta.org>
12843 * isearch.el (isearch-mode-map): Bind [return] and [backspace] to
12844 isearch-exit and isearch-delete-char resp. (Bug#16342, bug#16035)
12846 * progmodes/ps-mode.el (ps-mode-map): Remove [return] key binding
12847 that shadows RET. (Bug#16342)
12849 2014-01-07 Chong Yidong <cyd@gnu.org>
12851 * isearch.el (isearch-yank-char, isearch-yank-word)
12852 (isearch-yank-line): Doc fix.
12854 2014-01-06 Stefan Monnier <monnier@iro.umontreal.ca>
12856 * abbrev.el (define-abbrev): Beware new meaning of fboundp.
12857 * emacs-lisp/elint.el (elint-find-builtins):
12858 * emacs-lisp/eldoc.el (eldoc-symbol-function):
12859 * emacs-lisp/bytecomp.el (byte-compile-callargs-warn)
12860 (byte-compile-file-form-defmumble, byte-compile, byte-compile-form):
12861 * emacs-lisp/byte-opt.el (byte-compile-inline-expand):
12862 * apropos.el (apropos-safe-documentation):
12863 * subr.el (symbol-file): Remove redundant fboundp.
12864 * progmodes/idlw-shell.el (idlwave-shell-comint-filter): Use defalias.
12866 2014-01-06 Bastien Guerry <bzg@gnu.org>
12868 * hl-line.el (global-hl-line-overlay): Make a local variable.
12869 (global-hl-line-overlays): New variable to store all overlays.
12870 (global-hl-line-mode): Don't delete overlays from the current
12871 buffer when `global-hl-line-sticky-flag' is non-nil.
12872 (global-hl-line-highlight): Add new overlays to
12873 `global-hl-line-overlays'.
12874 (global-hl-line-unhighlight-all): New function to delete all
12875 overlays when turning off `global-hl-line-mode'.
12876 This fixes Bug#16183.
12878 2014-01-06 Stefan Monnier <monnier@iro.umontreal.ca>
12880 * subr.el (set-transient-map): Fix nested case and docstring.
12882 2014-01-06 Tassilo Horn <tsdh@gnu.org>
12884 * textmodes/reftex-vars.el (reftex-label-alist-builtin): Add a
12887 2014-01-06 Daniel Colascione <dancol@dancol.org>
12889 Fix defun navigation in vc log view.
12891 * vc/log-view.el (log-view-beginning-of-defun): Rewrite to behave
12892 like `beginning-of-defun'.
12893 (log-view-end-of-defun, log-view-end-of-defun-1): Rename old
12894 log-view-end-of-defun to log-view-end-of-defun-1. Replace
12895 log-view-end-of-defun with wrapper that behaves like `end-of-defun'.
12896 (log-view-extract-comment): Call `log-view-current-entry' directly
12897 instead of relying on broken `log-view-beginning-of-defun' behavior.
12899 2014-01-06 Paul Eggert <eggert@cs.ucla.edu>
12902 * calc/calc-yank.el (calc-edit-mode, calc-edit-cancel):
12903 * emacs-lisp/debug.el (cancel-debug-on-entry):
12904 * epg.el (epg-error-to-string):
12905 * files.el (recover-file):
12906 * lpr.el (lpr-buffer, print-buffer, lpr-region, print-region):
12907 * mail/emacsbug.el (report-emacs-bug-hook):
12908 * mail/sendmail.el (mail-recover):
12909 * ses.el (ses-yank-resize):
12910 * term/ns-win.el (ns-print-buffer):
12911 Spelling fixes in diagnostics, mostly for "canceled" with one L.
12912 * epg.el (epg-key-capability-alist): Rename from misspelled version.
12914 * obsolete/xesam.el (xesam-all-fields): Fix misspelled field name.
12916 2014-01-06 Leo Liu <sdl.web@gmail.com>
12918 * dired-x.el (dired-mode-map): Rebind dired-omit-mode to C-x M-o
12919 to avoid shadowing global key. (Bug#16354)
12921 2014-01-06 Daniel Colascione <dancol@dancol.org>
12923 * textmodes/rst.el (rst-mode): Set electric-indent-inhibit for
12926 2014-01-05 Martin Rudalics <rudalics@gmx.at>
12928 * window.el (balance-windows): Add mising t to fix Bug#16351.
12930 2014-01-05 Lars Magne Ingebrigtsen <larsi@gnus.org>
12932 * net/shr.el (shr-descend): Don't bug out if the anchor is empty
12934 (shr-insert): If we have a word that's longer than `shr-width',
12935 break after it anyway. Otherwise we'll do no breaking once we get
12938 2014-01-05 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
12940 * net/eww.el (eww): Support single/double quote for search.
12941 * net/eww.el (eww-list-histories, eww-history-browse): Fixup.
12942 (eww-history-quit): Delete and use quit-window.
12943 (eww-history-kill): Delete, because it doesn't work well and
12945 (eww-history-mode-map): Delete some keys and add easy-menu.
12947 2014-01-05 Paul Eggert <eggert@cs.ucla.edu>
12949 Fix misspelling of 'chinese' in rx (Bug#16237).
12950 * emacs-lisp/rx.el (rx-categories): Correct spelling of
12953 Change subword regexps back to vars (Bug#16296).
12954 * progmodes/subword.el (subword-forward-regexp)
12955 (subword-backward-regexp): Change these back to variables.
12957 2014-01-03 Stefan Monnier <monnier@iro.umontreal.ca>
12959 * emacs-lisp/lisp-mode.el (lisp-mode-variables): Don't bother with
12960 syntax-begin-function (bug#16247).
12962 2014-01-03 Chong Yidong <cyd@gnu.org>
12964 * emacs-lisp/nadvice.el (advice--make-docstring): Change args.
12965 (advice--docstring): Delete variable.
12966 (advice--make-1): Leave the docstring empty.
12967 (advice-add): Use function-documentation for advised docstring.
12969 * emacs-lisp/advice.el (ad--make-advised-docstring): Change args.
12970 Ignore function-documentation property when getting documentation.
12971 (ad-activate-advised-definition): Use function-documentation
12972 generate the docstring.
12973 (ad-make-advised-definition): Don't call
12974 ad-make-advised-definition-docstring.
12975 (ad-make-advised-definition-docstring, ad-advised-definition-p):
12978 * progmodes/sql.el (sql-help): Use function-documentation instead
12979 of dynamic-docstring-function property. No need to autoload now.
12980 (sql--help-docstring): New variable.
12981 (sql--make-help-docstring): Use it.
12983 2014-01-03 Stefan Monnier <monnier@iro.umontreal.ca>
12985 * ielm.el (ielm-tab): Retarget.
12986 (ielm-map): Use ielm-tab for tab.
12987 (ielm-complete-filename): Use comint-filename-completion.
12988 (ielm-complete-symbol): Remove.
12989 (inferior-emacs-lisp-mode): Use lisp-completion-at-point instead and
12990 remove ielm-tab from completion-at-point-functions (bug#16224).
12992 * emacs-lisp/pcase.el (pcase--split-equal, pcase--split-member):
12993 Beware signals raised by predicates (bug#16201).
12995 2014-01-02 Richard Stallman <rms@gnu.org>
12997 * dired-aux.el (dired-do-print): Handle printer-name.
12999 * mail/rmailmm.el (rmail-mime-message-p): Move to rmail.el.
13000 * mail/rmail.el (rmail-mime-message-p): Move from rmailmm.el.
13001 (rmail-epa-decrypt): Turn off mime processing.
13003 * mail/rmail.el (rmail-make-in-reply-to-field):
13004 Add parens in message-id.
13006 * mail/rmail.el (rmail-get-coding-function): Variable.
13007 (rmail-get-coding-system): Use it.
13009 2013-12-31 Eli Zaretskii <eliz@gnu.org>
13011 * international/mule-conf.el: Unify the charset indian-is13194.
13012 (indian-is13194): Specify unify-map.
13014 2013-12-31 Leo Liu <sdl.web@gmail.com>
13016 * subr.el (set-temporary-overlay-map): Obsolete alias. (Bug#16305)
13018 2013-12-30 Daniel Colascione <dancol@dancol.org>
13020 * term/x-win.el ([XF86WakeUp]): Ignore the XF86WakeUp key instead
13021 of printing a useless when we resume from sleep.
13023 * progmodes/sh-script.el
13024 (sh-smie-sh-forward-token, sh-smie-rc-forward-token): Fix infloop
13025 in indentation code. (Bug#16233)
13027 2013-12-28 João Távora <joaotavora@gmail.com>
13029 * elec-pair.el (electric-pair-post-self-insert-function):
13030 Don't open extra newlines at beginning of buffer. (Bug#16272)
13032 2013-12-28 Eli Zaretskii <eliz@gnu.org>
13034 * frame.el (window-system-for-display): Don't allow to create a
13035 GUI frame from a -nw session on MS-Windows. (Bug#14739)
13037 2013-12-28 Glenn Morris <rgm@gnu.org>
13039 * mail/hashcash.el (hashcash-program): Rename from hashcash-path.
13042 * apropos.el (apropos-match-face):
13043 * calculator.el (calculator-displayer):
13044 * dabbrev.el (dabbrev-search-these-buffers-only):
13045 * face-remap.el (buffer-face-mode-face):
13046 * simple.el (yank-handled-properties):
13047 * emacs-lisp/testcover.el (testcover-potentially-1value-functions):
13048 * mail/footnote.el (footnote-mode-line-string, footnote-prefix):
13049 * mail/hashcash.el (hashcash-accept-resources, hashcash-program)
13050 (hashcash-double-spend-database):
13051 * progmodes/ruby-mode.el (ruby-deep-indent-paren)
13052 (ruby-deep-indent-paren-style):
13053 * textmodes/flyspell.el (flyspell-auto-correct-binding):
13054 * textmodes/rst.el (rst-toc-indent, rst-toc-insert-style)
13055 (rst-toc-insert-number-separator, rst-toc-insert-max-level):
13056 * vc/pcvs-defs.el (cvs-minor-mode-prefix):
13057 Specify custom types.
13059 * emacs-lisp/smie.el (smie-config): Add type, version, initialize.
13060 * bookmark.el (bookmark-bmenu-use-header-line):
13061 * doc-view.el (doc-view-scale-internally):
13062 * pcmpl-x.el (pcmpl-x-tlmgr-program, pcmpl-x-ack-program):
13063 * register.el (register-preview-delay):
13064 * net/shr.el (shr-bullet):
13065 * progmodes/cfengine.el (cfengine-cf-promises)
13066 (cfengine-parameters-indent):
13067 * progmodes/octave.el (inferior-octave-error-regexp-alist):
13068 * textmodes/reftex-vars.el (reftex-label-regexps):
13069 * vc/log-edit.el (log-edit-setup-add-author): Add version.
13071 * net/tls.el (tls-certtool-program): Fix default value.
13073 * desktop.el (desktop-restore-in-current-display):
13074 * newcomment.el (comment-empty-lines):
13075 * progmodes/idlwave.el (idlwave-scan-all-buffers-for-routine-info)
13076 (idlwave-pad-keyword):
13077 * progmodes/tcl.el (tcl-tab-always-indent):
13078 * textmodes/reftex-vars.el (reftex-index-default-tag):
13079 * elec-pair.el (electric-pair-skip-whitespace):
13080 * progmodes/cfengine.el (cfengine-cf-promises): Fix custom types.
13082 * emacs-lisp/authors.el (authors-ignored-files)
13083 (authors-valid-file-names, authors-renamed-files-alist): Additions.
13085 2013-12-27 Jarek Czekalski <jarekczek@poczta.onet.pl>
13087 * shell.el (shell-dynamic-complete-command): Doc fix.
13088 (shell--command-completion-data): Shell completion now matches
13089 executable filenames from the current buffer's directory, on
13090 systems in which this behavior is the default (windows-nt, ms-dos).
13092 2013-12-27 Lars Ingebrigtsen <larsi@gnus.org>
13094 * net/shr.el (shr-insert): Don't infloop if the width is zero.
13096 2013-12-27 Stefan Monnier <monnier@iro.umontreal.ca>
13098 * icomplete.el (icomplete-show-matches-on-no-input): Default to nil
13101 * electric.el: Move all electric-pair-* to elec-pair.el.
13102 * elec-pair.el: New file, split from electric.el.
13104 2013-12-27 Lars Ingebrigtsen <larsi@gnus.org>
13106 * net/shr.el (shr-find-fill-point): Don't try to fill if the
13107 indentation level is larger than the width, because that will
13109 (shr-insert): Fill repeatedly long texts, so that Japanese is
13110 formatted correctly (bug#16263).
13111 (shr-find-fill-point): Off by one error in comparison with the
13114 2013-12-26 João Távora <joaotavora@gmail.com>
13116 * electric.el (electric-pair-mode): More flexible engine for skip-
13117 and inhibit predicates, new options for pairing-related functionality.
13118 (electric-pair-preserve-balance): Pair/skip parentheses and quotes
13119 if that keeps or improves their balance in buffers.
13120 (electric-pair-delete-adjacent-pairs): Delete the pair when
13121 backspacing over adjacent matched delimiters.
13122 (electric-pair-open-extra-newline): Open extra newline when
13123 inserting newlines between adjacent matched delimiters.
13124 (electric--sort-post-self-insertion-hook):
13125 Sort post-self-insert-hook according to priority values when
13126 minor-modes are activated.
13127 * simple.el (newline-and-indent): Call newline with interactive
13129 (blink-paren-post-self-insert-function): Set priority to 100.
13130 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
13131 Use electric-pair-text-pairs to pair backtick-and-quote in strings and
13132 comments. Locally set electric-pair-skip-whitespace to 'chomp and
13133 electric-pair-open-newline-between-pairs to nil.
13135 2013-12-26 Fabián Ezequiel Gallina <fgallina@gnu.org>
13137 * progmodes/python.el: Use lexical-binding.
13138 (python-nav-beginning-of-defun): Stop searching ASAP.
13140 2013-12-25 Xue Fuqiao <xfq.free@gmail.com>
13142 * vc/vc.el (vc-ignore): Use `vc-responsible-backend'.
13143 Fix interactive spec. Doc fix. (Bug#15754)
13145 2013-12-25 Katsumi Yamaoka <yamaoka@jpl.org>
13147 * emacs-lisp/byte-run.el (eval-when-compile):
13148 * progmodes/cc-defs.el (cc-eval-when-compile):
13149 Fix edebug spec (bug#16184).
13151 2013-12-25 Lars Ingebrigtsen <larsi@gnus.org>
13153 * net/shr.el (shr-visit-file): Remove debugging function.
13154 (shr-insert): Don't infloop if we can't find a good place to break
13155 the line (bug#16256).
13157 2013-12-25 Fabián Ezequiel Gallina <fgallina@gnu.org>
13159 * progmodes/python.el (python-nav--lisp-forward-sexp): New function.
13160 (python-nav--lisp-forward-sexp-safe): Use it. Rename from
13161 python-nav-lisp-forward-sexp-safe.
13162 (python-nav--forward-sexp): New argument SAFE allows switching
13163 forward sexp movement behavior for parens.
13164 (python-nav-forward-sexp): Throw errors on unterminated parens
13166 (python-nav-backward-sexp, python-nav-forward-sexp-safe)
13167 (python-nav-backward-sexp-safe): New functions.
13168 (python-shell-buffer-substring):
13169 Use `python-nav-forward-sexp-safe'.
13171 2013-12-25 Lars Ingebrigtsen <larsi@gnus.org>
13173 * net/shr.el (shr-find-fill-point): Don't break lines before a
13175 (shr-char-kinsoku-bol-p): The quotation mark isn't a kinsoky BOL char.
13176 (shr-find-fill-point): Remove the special checks for the quotation
13177 mark, since `shr-char-kinsoku-bol-p' should now return the right thing.
13179 2013-12-25 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
13181 * net/eww.el (eww-form-textarea): Use a different face for
13182 textareas than text input since they have different keymaps
13185 2013-12-24 Fabián Ezequiel Gallina <fgallina@gnu.org>
13187 * progmodes/python.el (python-nav-beginning-of-statement):
13188 Speed up (Bug#15295).
13190 2013-12-24 Lars Ingebrigtsen <larsi@gnus.org>
13192 * net/eww.el (eww-bookmark-browse): Use `quit-window' to restore
13193 the window configuration.
13195 2013-12-24 Eli Zaretskii <eliz@gnu.org>
13197 * net/eww.el (eww-open-file): Ensure 3 slashes after "file:" when
13198 we run on MS-Windows or MS-DOS.
13200 2013-12-24 Martin Rudalics <rudalics@gmx.at>
13202 * window.el (balance-windows-area): Call window-size instead of
13203 window-height and window-width. Bug#16241.
13205 2013-12-24 Lars Ingebrigtsen <larsi@gnus.org>
13207 * net/eww.el (eww-bookmark-quit): Remove.
13208 (eww-bookmark-browse): Restore the window configuration when you
13209 choose a bookmark (bug#16144).
13211 2013-12-24 Daniel Colascione <dancol@dancol.org>
13213 * icomplete.el: Remove redundant :group arguments to `defcustom'
13215 (icomplete-show-matches-on-no-input): New customizable variable.
13216 (icomplete-minibuffer-setup): Call `icomplete-exhibit' on setup if
13217 we have something to show.
13218 (icomplete-exhibit): Compute completions even if we have no user input.
13220 2013-12-23 Daniel Colascione <dancol@dancol.org>
13222 * icomplete.el: Move `provide' to end of file.
13224 2013-12-23 Teodor Zlatanov <tzz@lifelogs.com>
13226 * net/gnutls.el (gnutls-verify-error): Add version tag.
13228 2013-12-23 Chong Yidong <cyd@gnu.org>
13230 * subr.el (set-transient-map): Rename from
13231 set-temporary-overlay-map. Doc fix.
13233 * face-remap.el (text-scale-adjust):
13234 * indent.el (indent-rigidly):
13235 * kmacro.el (kmacro-call-macro):
13236 * minibuffer.el (minibuffer-force-complete):
13237 * repeat.el (repeat):
13238 * simple.el (universal-argument--mode):
13239 * calendar/todo-mode.el (todo-insert-item--next-param):
13240 * progmodes/f90.el (f90-abbrev-start): Callers changed.
13242 * indent.el (indent-rigidly): Use substitute-command-keys.
13244 2013-12-22 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
13246 * net/eww.el (eww-tag-select): Add text-property to jump to next
13248 (eww): Add non-supported ftp error.
13250 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
13252 * progmodes/ruby-mode.el (ruby--electric-indent-p): Improve the
13253 comments. Handle electric indent after typing `?' and `!'.
13255 2013-12-22 Chong Yidong <cyd@gnu.org>
13257 * faces.el (face-spec-recalc): If the theme specs are not
13258 applicable to a frame, fall back on the defface spec.
13259 This prevents themes from obliterating faces on low-color terminals.
13261 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
13263 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Return t
13264 after `{'. We need it after block openers, and it doesn't seem
13265 to hurt after hash openers.
13267 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
13269 * progmodes/ruby-mode.el (ruby--at-indentation-p): New function,
13270 extracted from `ruby-smie-rules'.
13271 (ruby--electric-indent-chars): New variable.
13272 (ruby--electric-indent-p): New function.
13273 (ruby-mode): Use `electric-indent-functions' instead of
13274 `electric-indent-chars'.
13276 2013-12-22 Dmitry Gutov <dgutov@yandex.ru>
13278 * progmodes/ruby-mode.el (ruby-align-to-stmt-keywords): Tweak the
13280 (ruby-smie-rules): Indent plus one level after `=>'.
13282 2013-12-21 Richard Stallman <rms@gnu.org>
13284 * simple.el (newline): Doc fix.
13286 2013-12-21 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
13288 * net/eww.el (eww-list-histories, eww-list-histories)
13289 (eww-history-browse, eww-history-quit, eww-history-kill)
13290 (eww-history-mode-map, eww-history-mode): New command and
13291 functions to list browser histories.
13292 (eww-form-text): Support text form with disabled
13293 and readonly attributes.
13294 (eww-checkbox-map): Fix wrong key bind to `eww-toggle-checkbox'.
13296 2013-12-21 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
13298 * net/eww.el (eww-back-url, eww-forward-url, eww-next-url)
13299 (eww-previous-url, eww-up-url, eww-top-url, eww-add-bookmark)
13300 (eww-bookmark-prepare, eww-bookmark-kill, eww-bookmark-yank)
13301 (eww-bookmark-browse, eww-next-bookmark, eww-previous-bookmark):
13303 (eww-bookmark-mode-map): Add menu.
13304 (eww-render, eww-mode): Use `setq-local'.
13305 (eww-tool-bar-map): New variable.
13306 (eww-mode): Set `tool-bar-map'.
13307 (eww-view-source): Check for `html-mode' with `fboundp'.
13309 2013-12-21 Lars Magne Ingebrigtsen <larsi@gnus.org>
13311 * net/shr.el (shr--extract-best-source): Don't bug out on audio
13312 elements with text inside. Also remove debugging.
13314 2013-12-21 Jan Djärv <jan.h.d@swipnet.se>
13316 * cus-start.el (all): Add ns-use-srgb-colorspace.
13318 2013-12-21 Chong Yidong <cyd@gnu.org>
13320 * custom.el (custom-theme-recalc-face): Do nothing if the face is
13321 undefined. Thus, theme settings for undefined faces do not take
13322 effect until the faces are defined with defface, the same as with
13325 * faces.el (face-spec-set): Use face-spec-recalc in all cases.
13326 (face-spec-reset-face): Don't assign extra properties in temacs.
13327 (face-spec-recalc): Apply X resources too.
13329 2013-12-21 Chong Yidong <cyd@gnu.org>
13331 * faces.el (face-spec-set):
13332 * cus-face.el (custom-theme-set-faces, custom-set-faces):
13333 * custom.el (defface): Doc fixes (Bug#16203).
13335 * indent.el (indent-rigidly-map): Add docstring, and move commands
13336 into named functions.
13337 (indent-rigidly-left, indent-rigidly-right)
13338 (indent-rigidly-left-to-tab-stop)
13339 (indent-rigidly-right-to-tab-stop): New functions. Decide on
13340 indentation direction based on bidi direction, and accumulate
13341 sequential commands in a single undo boundary.
13342 (indent-rigidly--pop-undo): New utility function.
13344 2013-12-20 Juanma Barranquero <lekktu@gmail.com>
13346 * faces.el (read-face-name): Require crm.el when using crm-separator.
13348 2013-12-20 Daniel Colascione <dancol@dancol.org>
13350 * progmodes/sh-script.el (sh-mode): Tweak paragraph-separate
13351 so that we don't reflow comments into the shebang line.
13353 2013-12-20 Juri Linkov <juri@jurta.org>
13355 * saveplace.el (save-place-to-alist): Add `dired-filename' as
13356 a position when `dired-directory' is non-nil. Check integer
13357 positions with `integerp'.
13358 (toggle-save-place, save-places-to-alist): Add check for
13360 (save-place-find-file-hook): Check integer positions with
13362 (save-place-dired-hook): Use `dired-goto-file' when
13363 `dired-filename' is found in the assoc list. Check integer
13364 positions with `integerp'.
13365 (dired-initial-position-hook): Rename from `dired-initial-point-hook'.
13367 * dired.el (dired-initial-position-hook): Rename back from
13368 `dired-initial-point-hook'.
13369 (dired-initial-position): Rename `dired-initial-point-hook' to
13370 `dired-initial-position-hook'.
13371 (dired-file-name-at-point): Doc fix. (Bug#15329)
13373 2013-12-20 Juri Linkov <juri@jurta.org>
13375 * replace.el (read-regexp-defaults-function): New defcustom (bug#14405).
13376 (read-regexp-suggestions): New function.
13377 (read-regexp): Use `read-regexp-defaults-function' to get default values.
13378 Use `read-regexp-suggestions'. Add non-empty default to history
13380 (occur-read-regexp-defaults-function): Remove function.
13381 (occur-read-primary-args): Use `regexp-history-last' instead of
13382 `occur-read-regexp-defaults-function'.
13384 * hi-lock.el (hi-lock-read-regexp-defaults-function): Remove function.
13385 (hi-lock-line-face-buffer, hi-lock-face-buffer)
13386 (hi-lock-face-phrase-buffer): Use `regexp-history-last' instead of
13387 `hi-lock-read-regexp-defaults-function'. Doc fix.
13388 (hi-lock-face-symbol-at-point): Replace `find-tag-default-as-regexp'
13389 with `find-tag-default-as-symbol-regexp'. Doc fix.
13390 (hi-lock-read-regexp-defaults): Remove function.
13391 (hi-lock-regexp-okay): Add check for null.
13393 * progmodes/grep.el (grep-read-regexp): Use `grep-tag-default' for
13394 the arg DEFAULTS. Move formatting of the prompt to `read-regexp'.
13396 * subr.el (find-tag-default-as-symbol-regexp): New function.
13397 (find-tag-default-as-regexp): Move symbol regexp formatting to
13398 `find-tag-default-as-symbol-regexp'.
13400 2013-12-20 E Sabof <esabof@gmail.com> (tiny change)
13402 * hi-lock.el (hi-lock-set-pattern): Check for `font-lock-specified-p'.
13405 2013-12-20 Stephen Berman <stephen.berman@gmx.net>
13407 * calendar/todo-mode.el: New implementation of item insertion
13408 commands and key bindings.
13409 (todo-key-prompt): New face.
13410 (todo-insert-item): New command.
13411 (todo-insert-item--parameters): New defconst, replacing defvar
13412 todo-insertion-commands-args-genlist.
13413 (todo-insert-item--param-key-alist): New defconst, replacing
13414 defvar todo-insertion-commands-arg-key-list.
13415 (todo-insert-item--keyof, todo-insert-item--this-key): New defsubsts.
13416 (todo-insert-item--argsleft, todo-insert-item--apply-args)
13417 (todo-insert-item--next-param): New functions.
13418 (todo-insert-item--args, todo-insert-item--argleft)
13419 (todo-insert-item--argsleft, todo-insert-item--newargsleft):
13421 (todo-key-bindings-t): Change binding of "i" from
13422 todo-insertion-map to todo-insert-item.
13423 (todo-powerset, todo-gen-arglists, todo-insertion-commands-args)
13424 (todo-insertion-command-name, todo-insertion-commands-names)
13425 (todo-define-insertion-command, todo-insertion-commands)
13426 (todo-insertion-key-bindings, todo-insertion-map): Remove.
13428 2013-12-20 Stephen Berman <stephen.berman@gmx.net>
13430 * calendar/todo-mode.el: Bug fixes and new features (bug#15225).
13431 (todo-toggle-item-highlighting): Use eval-and-compile instead of
13433 (todo-move-category): Allow choosing a non-existing todo file to
13434 move the category to, and create that file.
13435 (todo-default-priority): New user option.
13436 (todo-set-item-priority): Use it.
13437 (todo-desktop-save-buffer, todo-restore-desktop-buffer): New functions.
13438 (desktop-restore-file-buffer): Declare.
13439 (desktop-buffer-mode-handlers): Add todo-restore-desktop-buffer.
13440 (todo-modes-set-2): Locally set desktop-save-buffer to
13441 todo-desktop-save-buffer.
13442 (todo-mode, todo-archive-mode, todo-filtered-items-mode)
13443 (auto-mode-alist): Add autoload cookie.
13445 2013-12-20 Bozhidar Batsov <bozhidar@batsov.com>
13447 * emacs-lisp/subr-x.el: Renamed from helpers.el.
13448 helpers.el was a poor choice of name.
13449 (string-remove-prefix): New function.
13450 (string-remove-suffix): New function.
13452 2013-12-20 Martin Rudalics <rudalics@gmx.at>
13454 Fix assignment for new window total sizes.
13455 * window.el (window--pixel-to-size): Remove function.
13456 (window--pixel-to-total-1, window--pixel-to-total):
13457 Fix calculation of new total sizes.
13459 2013-12-20 Vitalie Spinu <spinuvit@gmail.com>
13461 * comint.el (comint-output-filter): Fix rear-nonsticky property
13462 placement (Bug#16010).
13464 2013-12-20 Chong Yidong <cyd@gnu.org>
13466 * faces.el (read-color): Minor fix for completion function.
13468 2013-12-20 Dmitry Gutov <dgutov@yandex.ru>
13470 * progmodes/ruby-mode.el (ruby-align-to-stmt-keywords):
13471 New option. (Bug#16182)
13472 (ruby-smie--indent-to-stmt-p): Use it.
13473 (ruby-smie-rules): Revert the logic in the handling of `when'.
13474 Expand the begin clause to handle `ruby-align-to-stmt-keywords'.
13475 (ruby-deep-arglist, ruby-deep-indent-paren)
13476 (ruby-deep-indent-paren-style): Update docstrings to note that the
13477 vars don't have any effect with SMIE.
13479 2013-12-20 Jay Belanger <jay.p.belanger@gmail.com>
13481 * calc/calc.el (calc-enter, calc-pop): Use the variable
13482 `calc-context-sensitive-enter'.
13484 2013-12-20 Lars Magne Ingebrigtsen <larsi@gnus.org>
13486 * net/shr.el (shr-insert): Protect against infloops in degenerate
13489 2013-12-20 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
13491 * progmodes/octave.el (octave): Add link to manual and octave
13493 (octave-mode-menu): Link to octave-mode manual.
13495 2013-12-20 Leo Liu <sdl.web@gmail.com>
13497 * skeleton.el (skeleton-pair-insert-maybe): Disable newline
13498 insertion using skeleton-end-newline. (Bug#16138)
13500 2013-12-20 Juri Linkov <juri@jurta.org>
13502 * replace.el (occur-engine): Use `add-face-text-property'
13503 to add the face property to matches and titles. (Bug#14645)
13505 * hi-lock.el (hi-green): Use lighter color "light green" closer to
13506 the palette of other hi-lock colors.
13507 (hi-lock-set-pattern): Prepend hi-lock face to the existing face.
13509 2013-12-19 Juri Linkov <juri@jurta.org>
13511 * isearch.el (isearch-mode-map): Bind `M-s e' to `isearch-edit-string'.
13512 Put :advertised-binding on `M-s c', `M-s r', `M-s e'. (Bug#16035)
13513 (minibuffer-history-symbol): Move variable declaration closer to
13516 * isearchb.el (isearchb): Add `event-basic-type' on `last-command-event'.
13519 2013-12-19 Juri Linkov <juri@jurta.org>
13521 * vc/log-edit.el (log-edit-insert-filenames-without-changelog):
13523 (log-edit-hook): Add it to :options. (Bug#16170)
13525 2013-12-19 Juri Linkov <juri@jurta.org>
13527 * simple.el (eval-expression-print-format): Don't check for
13528 command names and the last command. Always display additional
13529 formats of the integer result in the echo area, and insert them
13530 to the current buffer only with a zero prefix arg.
13531 Display character when char-displayable-p is non-nil.
13532 (eval-expression): With a zero prefix arg, set `print-length' and
13533 `print-level' to nil, and insert the integer values from
13534 `eval-expression-print-format' at the end. Doc fix. (Bug#12985)
13536 * emacs-lisp/lisp-mode.el (eval-print-last-sexp): Add arg
13537 `eval-last-sexp-arg-internal'. Doc fix.
13538 (eval-last-sexp-1): Pass arg `eval-last-sexp-arg-internal' to
13539 `eval-last-sexp-print-value'. Doc fix.
13540 (eval-last-sexp-print-value): Add arg `eval-last-sexp-arg-internal'.
13541 Set `print-length' and `print-level' to nil when arg is zero.
13542 (eval-last-sexp): Doc fix.
13543 (eval-defun-2): Print the integer values from
13544 `eval-expression-print-format' at the end.
13546 * emacs-lisp/edebug.el (edebug-eval-defun): Print the integer
13547 values from `eval-expression-print-format' at the end.
13549 * ielm.el (ielm-eval-input): Print the integer
13550 values from `eval-expression-print-format' at the end.
13552 2013-12-19 Teodor Zlatanov <tzz@lifelogs.com>
13554 * net/eww.el (eww-exit, eww-close, eww-mode-map): Revert change of
13555 2013-12-11T19:01:44Z!tzz@lifelogs.com.
13557 2013-12-19 Stefan Monnier <monnier@iro.umontreal.ca>
13559 * hl-line.el (hl-line-make-overlay): New fun. Set priority (bug#16192).
13560 (hl-line-highlight, global-hl-line-highlight): Use it.
13561 (hl-line-overlay): Use defvar-local.
13563 2013-12-19 Jan Djärv <jan.h.d@swipnet.se>
13565 * term/ns-win.el: Require dnd.
13566 (global-map): Remove drag items.
13567 (ns-insert-text, ns-set-foreground-at-mouse)
13568 (ns-set-background-at-mouse):
13569 Remove (ns-drag-n-drop, ns-drag-n-drop-other-frame)
13570 (ns-drag-n-drop-as-text, ns-drag-n-drop-as-text-other-frame):
13573 2013-12-19 Glenn Morris <rgm@gnu.org>
13575 * emacs-lisp/ert.el (ert-select-tests):
13576 Fix string/symbol mixup. (Bug#16121)
13578 2013-12-19 Dmitry Gutov <dgutov@yandex.ru>
13580 * progmodes/ruby-mode.el (ruby-smie-rules): Indent middle-of-block
13581 keywords to their parent.
13583 2013-12-19 Dmitry Gutov <dgutov@yandex.ru>
13585 * progmodes/ruby-mode.el (ruby-smie--args-separator-p): Allow the
13586 first arg to be a string (fixed dead code), or an operator symbol.
13587 (ruby-smie--forward-token): Tokenize ` @ ' before strings and
13589 (ruby-smie-rules): Remove parent token check in the `.' clause, it
13590 did nothing. Don't respond to `(:after ".")', it will be called
13591 with :before anyway. Remove the ` @ ' rule, it didn't seem to
13592 change anything. Only return indentation for binary operators
13593 when they are hanging. De-dent opening paren when its parent is
13594 `.', otherwise it looks bad when the dot is not at bol or eol
13597 2013-12-19 Juri Linkov <juri@jurta.org>
13599 * replace.el (query-replace-read-args): Split a non-negative arg
13600 and a negative arg into separate elements.
13601 (query-replace, query-replace-regexp, replace-string)
13602 (replace-regexp): Add arg `backward'. Doc fix.
13603 (replace-match-maybe-edit): When new arg `backward' is non-nil,
13604 move point to the beginning of the match.
13605 (replace-search, replace-highlight): Use new arg `backward'
13606 to set the value of `isearch-forward'.
13607 (perform-replace): Add arg `backward' and use it to perform
13608 replacement backward. (Bug#14979)
13610 * isearch.el (isearch-query-replace): Use a negative prefix arg
13611 to call `perform-replace' with a non-nil arg `backward'.
13613 2013-12-18 Juri Linkov <juri@jurta.org>
13615 * vc/log-edit.el (log-edit-hook): Add `log-edit-insert-message-template'
13616 to the default list. Move `log-edit-show-files' to the end.
13617 Add more available functions to options.
13618 (log-edit): Move default specific settings to
13619 `log-edit-insert-message-template'. Don't move point.
13620 (log-edit-insert-message-template): New function.
13621 (log-edit-insert-changelog): Add `save-excursion' and don't move point.
13624 2013-12-18 Juri Linkov <juri@jurta.org>
13626 * help-mode.el (help-mode-map): Bind "l" to help-go-back,
13627 and "r" to help-go-forward for compatibity with Info. (Bug#16178)
13629 2013-12-18 Leo Liu <sdl.web@gmail.com>
13631 * eshell/em-prompt.el (eshell-emit-prompt): Fix last change.
13634 2013-12-18 Eli Zaretskii <eliz@gnu.org>
13636 * ls-lisp.el (ls-lisp-insert-directory): Don't modify %d and %f
13637 formats for displaying file sizes when the -s switch is given.
13638 Instead, compute a separate format for displaying the size in
13639 blocks, which is displayed in addition to the "regular" size.
13640 When -h is given in addition to -s, produce size in blocks in
13641 human-readable form as well. (Bug#16179)
13643 2013-12-18 Tassilo Horn <tsdh@gnu.org>
13645 * textmodes/reftex-vars.el (reftex-label-alist-builtin):
13646 Reference tables with ~\ref{...} instead of only \ref{...}.
13648 2013-12-18 Chong Yidong <cyd@gnu.org>
13650 * cus-edit.el (custom-magic-alist): Fix "themed" description
13653 * custom.el (custom-push-theme): If custom--inhibit-theme-enable
13654 is non-nil, do not create a new entry in the symbol's theme-value
13655 or theme-face property; update theme-settings only (Bug#14664).
13656 (custom-available-themes): Doc fix.
13658 * cus-theme.el (custom-new-theme-mode-map): Add bindings
13661 * replace.el (occur-engine): Avoid infloop (Bug#7593).
13663 2013-12-18 Kazuhiro Ito <kzhr@d1.dion.ne.jp> (tiny change)
13665 * progmodes/make-mode.el (makefile-fill-paragraph): Fix infloop
13668 2013-12-18 Shigeru Fukaya <shigeru.fukaya@gmail.com>
13670 * apropos.el (apropos-words-to-regexp): Fix algorithm (Bug#13946).
13672 2013-12-18 Glenn Morris <rgm@gnu.org>
13674 * Makefile.in (BYTE_COMPILE_FLAGS): Set load-prefer-newer to t.
13675 * cus-start.el (load-prefer-newer): New option.
13677 2013-12-18 Le Wang <l26wang@gmail.com>
13679 * comint.el (comint-previous-matching-input-from-input):
13680 Retain point (Bug#13404).
13682 2013-12-18 Chong Yidong <cyd@gnu.org>
13684 * simple.el (append-next-kill): Doc fix (Bug#15995, Bug#16016).
13686 2013-12-18 Glenn Morris <rgm@gnu.org>
13688 * mail/emacsbug.el (report-emacs-bug):
13689 Only mention enable-multibyte-characters if non-standard.
13691 2013-12-17 Juri Linkov <juri@jurta.org>
13693 * arc-mode.el (archive-extract-by-file): Check if directory exists
13694 before deletion to not show irrelevant errors if it doesn't exist.
13696 2013-12-17 Juri Linkov <juri@jurta.org>
13698 * menu-bar.el (menu-bar-tools-menu): Add `browse-web'.
13701 * net/eww.el (browse-web): Add alias to `eww'.
13702 (eww-mode-map): Bind "r" to `eww-forward-url' like in Info.
13703 Bind "S-SPC" to `scroll-down-command'. (Bug#16178)
13705 * net/browse-url.el (browse-url-browser-function): Move `eww'
13706 closer to similar functions.
13708 * startup.el (fancy-startup-screen, fancy-about-screen):
13709 Set browse-url-browser-function to eww-browse-url locally.
13712 2013-12-17 Stefan Monnier <monnier@iro.umontreal.ca>
13714 * window.el (window--pixel-to-total): Remove unused `mini' var.
13715 (maximize-window, minimize-window): Remove unused `pixelwise' arg.
13716 (split-window): Remove unused `new' var.
13717 (window--display-buffer): Remove unused `frame' and `delta' vars.
13718 (fit-window-to-buffer): Remove unused vars `frame', `display-height',
13719 and display-width'.
13721 2013-12-17 Martin Rudalics <rudalics@gmx.at>
13723 * dired.el (dired-mark-pop-up):
13724 * register.el (register-preview): Don't bind
13725 split-height-threshold here since it's now done in
13726 display-buffer-below-selected.
13728 2013-12-17 oblique <psyberbits@gmail.com> (tiny change)
13730 * term/rxvt.el (rxvt-rgb-convert-to-16bit): Standardize with
13731 xterm-rgb-convert-to-16bit.
13732 (rxvt-register-default-colors): Standardize with
13733 xterm-register-default-colors (Bug#14078).
13735 2013-12-17 Dima Kogan <dima@secretsauce.net> (tiny change)
13737 * simple.el (kill-region): Pass mark first, then point, so that
13738 kill-append works right (Bug#12819).
13739 (copy-region-as-kill, kill-ring-save): Likewise.
13741 2013-12-17 Leo Liu <sdl.web@gmail.com>
13743 * net/rcirc.el (rcirc-add-face):
13744 * eshell/em-prompt.el (eshell-emit-prompt):
13745 * eshell/em-ls.el (eshell-ls-decorated-name): Use font-lock-face.
13748 2013-12-17 Chong Yidong <cyd@gnu.org>
13750 * files.el (break-hardlink-on-save): Doc fix (Bug#13801).
13751 Suggested by Xue Fuqiao.
13753 2013-12-17 Dmitry Gutov <dgutov@yandex.ru>
13755 * progmodes/ruby-mode.el (ruby-smie-rules): Indent ternary if.
13757 2013-12-17 Stefan Monnier <monnier@iro.umontreal.ca>
13759 * net/shr.el (shr-insert-document): Remove unused var
13760 `shr-preliminary-table-render'.
13761 (shr-rescale-image): Remove unused arg `force'.
13762 (shr-put-image): Update calls accordingly.
13763 (shr-tag-a): Use `cont' rather than dyn-bound `dom'.
13765 2013-12-17 Dmitry Gutov <dgutov@yandex.ru>
13767 * emacs-lisp/smie.el (smie-indent--rule): Extract `smie-indent--rule-1'.
13768 (smie-indent-close): Call `smie-indent--rule-1' with METHOD
13769 :close-all, to see which indentation method to use (Bug#16116).
13770 (smie-rules-function): Document the method :close-all.
13772 2013-12-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
13774 * net/shr.el (shr-tag-a): Support zero-length <a name="foo"> elements.
13776 * net/eww.el (eww-display-html): If we can't find the anchor we're
13777 looking for, then go to point-min.
13779 2013-12-16 Paul Eggert <eggert@cs.ucla.edu>
13781 Fix problems with CANNOT_DUMP and EMACSLOADPATH.
13782 * Makefile.in (emacs): Add lisp src to EMACSLOADPATH.
13783 * loadup.el: Check for src/bootstrap-emacs only when Emacs can dump.
13784 Expand dir too, in case it's relative.
13786 2013-12-16 Juri Linkov <juri@jurta.org>
13788 * desktop.el (desktop-auto-save-timeout): Change default to
13789 `auto-save-timeout'. Doc fix.
13790 (desktop-save): Skip the timestamp in desktop-saved-frameset
13791 when checking for auto-save changes.
13792 (desktop-auto-save): Don't call desktop-auto-save-set-timer since
13793 `desktop-auto-save' is called repeatedly by the idle timer.
13794 (desktop-auto-save-set-timer): Replace `run-with-timer' with
13795 `run-with-idle-timer' and a non-nil arg REPEAT. Doc fix.
13798 2013-12-16 Juri Linkov <juri@jurta.org>
13800 * isearch.el (isearch-mode-map): Remove [escape] key bindinds.
13802 (isearch-pre-command-hook): Check `this-command' for symbolp.
13804 2013-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
13806 * emacs-lisp/gv.el (gv-ref): Mention lexbind restriction (bug#16153).
13808 2013-12-16 Teodor Zlatanov <tzz@lifelogs.com>
13810 * progmodes/cfengine.el (cfengine3--current-word): Remove.
13811 (cfengine3--current-function): Bring in the current-function
13812 functionality from `cfengine3--current-word'.
13813 (cfengine3-completion-function): Bring in the
13814 bounds-of-current-word functionality from
13815 `cfengine3--current-word'.
13817 2013-12-16 Martin Rudalics <rudalics@gmx.at>
13819 * window.el (display-buffer-below-selected):
13820 Bind split-height-threshold to 0 as suggested by Juri Linkov.
13822 2013-12-16 Leo Liu <sdl.web@gmail.com>
13824 * progmodes/compile.el (compile-goto-error): Do not push-mark.
13825 Remove NOMSG arg and all uses changed.
13827 2013-12-16 Stefan Monnier <monnier@iro.umontreal.ca>
13829 * emulation/cua-rect.el (cua-rectangle-mark-mode): New minor mode.
13830 (cua--deactivate-rectangle): Don't deactivate the mark.
13831 (cua-set-rectangle-mark): Don't set mark-active since
13832 cua--activate-rectangle already does it for us.
13833 (cua--rectangle-highlight-for-redisplay): Unhighlight a previous
13834 non-rectangular region.
13836 * emulation/cua-base.el (cua-repeat-replace-region):
13837 Use with-current-buffer.
13839 * net/gnutls.el: Use cl-lib.
13840 (gnutls-negotiate): `mapcan' -> cl-mapcan.
13842 2013-12-14 Teodor Zlatanov <tzz@lifelogs.com>
13844 * emacs-lisp/package.el (package-built-in-p): Support both
13845 built-in and the package.el converted package descriptions.
13846 (package-show-package-list): Allow keywords.
13847 (package-keyword-button-action): Use it instead of
13848 `finder-list-matches'.
13849 (package-menu-filter-interactive): Interactive filtering (by
13851 (package-menu--generate): Support keywords and change keymappings
13852 and headers when they are given.
13853 (package--has-keyword-p): Helper function.
13854 (package-menu--refresh): Use it.
13855 (package--mapc): Helper function.
13856 (package-all-keywords): Use it.
13857 (package-menu-mode-map): Set up menu items and keybindings to
13858 provide a filtering UI.
13860 2013-12-14 Teodor Zlatanov <tzz@lifelogs.com>
13862 * net/gnutls.el (gnutls-verify-error): New defcustom to control
13863 the behavior when a certificate fails validation. Defaults to
13864 old behavior: never abort, just warn.
13865 (gnutls-negotiate): Use it.
13867 2013-12-14 Martin Rudalics <rudalics@gmx.at>
13869 * window.el (display-buffer-below-selected): Never split window
13870 horizontally. Suggested by Juri Linkov <juri@jurta.org>.
13872 2013-12-14 Tom Willemse <tom@ryuslash.org> (tiny change)
13874 * emacs-lisp/package.el (package--prepare-dependencies): New function.
13875 (package-buffer-info): Use it (bug#15108).
13877 2013-12-14 Stefan Monnier <monnier@iro.umontreal.ca>
13879 * icomplete.el (icomplete-completions): Make sure the prefix is already
13880 displayed elsewhere before hiding it (bug#16219).
13882 2013-12-14 Dmitry Gutov <dgutov@yandex.ru>
13884 * progmodes/ruby-mode.el (ruby-smie-rules): Return nil before
13885 open-paren tokens when preceded by a open-paren, too.
13886 (ruby-smie-rules): Handle virtual indentation after open-paren
13887 tokens specially. If there is code between it and eol, return the
13888 column where is starts (Bug#16118).
13890 2013-12-13 Teodor Zlatanov <tzz@lifelogs.com>
13892 * progmodes/cfengine.el: Fix `add-hook' doc.
13893 (cfengine-mode-syntax-functions-regex): Initialize sensibly.
13894 (cfengine3--current-word): Fix parameters.
13895 (cfengine3-make-syntax-cache): Simplify further.
13896 (cfengine3-completion-function, cfengine3--current-function):
13897 Use `assq' for symbols.
13898 (cfengine3--current-function): Fix `cfengine3--current-word' call.
13900 2013-12-13 Glenn Morris <rgm@gnu.org>
13902 * loadup.el (load-path): Warn if site-load or site-init changes it.
13903 No more need to reset it when bootstrapping.
13905 2013-12-13 Teodor Zlatanov <tzz@lifelogs.com>
13907 * progmodes/cfengine.el (cfengine-cf-promises): Add more default
13908 locations for cf-promises.
13909 (cfengine-mode-syntax-functions-regex): New caching variable.
13910 (cfengine3-fallback-syntax): Fallback syntax for cases where
13911 cf-promises doesn't run.
13912 (cfengine3--current-word): Reimplement using
13913 `cfengine-mode-syntax-functions-regex'.
13914 (cfengine3-completion-function, cfengine3--current-function):
13915 Use `cfengine3-make-syntax-cache' directly.
13916 (cfengine3-clear-syntax-cache): New function.
13917 (cfengine3-make-syntax-cache): Simplify and create
13918 `cfengine-mode-syntax-functions-regex' on demand.
13919 (cfengine3-format-function-docstring): Don't call
13920 `cfengine3-make-syntax-cache' explicitly.
13922 2013-12-13 Martin Rudalics <rudalics@gmx.at>
13924 Fix windmove-find-other-window broken after pixelwise resizing
13926 * windmove.el (windmove-other-window-loc): Revert change from
13928 (windmove-find-other-window): Call window-in-direction.
13929 * window.el (window-in-direction): New arguments SIGN, WRAP and
13930 MINI to emulate original windmove-find-other-window behavior.
13932 2013-12-13 Dmitry Gutov <dgutov@yandex.ru>
13934 * simple.el (blink-matching--overlay): New variable.
13935 (blink-matching-open): Instead of moving point, highlight the
13936 matching paren with an overlay
13937 (http://lists.gnu.org/archive/html/emacs-devel/2013-12/msg00333.html).
13939 * faces.el (paren-showing-faces, show-paren-match)
13940 (show-paren-mismatch): Move from paren.el.
13942 2013-12-13 Leo Liu <sdl.web@gmail.com>
13944 * indent.el (indent-region): Disable progress reporter in
13945 minibuffer. (Bug#16108)
13947 * bindings.el (visual-order-cursor-movement): Fix version.
13949 2013-12-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
13951 * progmodes/python.el (python-pdbtrack-stacktrace-info-regexp):
13952 Also match after beginning of line.
13953 (python-pdbtrack-set-tracked-buffer): Fix logic for remote
13954 files. Thanks to Russell Sim. (Bug#15378)
13956 2013-12-13 Juri Linkov <juri@jurta.org>
13958 * simple.el <Keypad support>: Remove key bindings duplicated
13959 with bindings.el. (Bug#14397)
13961 2013-12-13 Juri Linkov <juri@jurta.org>
13963 * comint.el (comint-mode-map): Replace `delete-char' with
13964 `delete-forward-char'. (Bug#16109)
13966 2013-12-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
13968 * progmodes/python.el (python-indent-calculate-indentation):
13969 Fix de-denters cornercase. (Bug#15731)
13971 2013-12-12 Stefan Monnier <monnier@iro.umontreal.ca>
13973 * emacs-lisp/nadvice.el: Add `depth' property to manage ordering.
13974 (advice--make): Pay attention to `depth'.
13975 (advice--make-1): Don't autoload commands eagerly.
13976 * emacs-lisp/elp.el (elp-instrument-function):
13977 * emacs-lisp/trace.el (trace-function-internal):
13978 * emacs-lisp/debug.el (debug-on-entry): Keep them "first".
13980 * iswitchb.el (iswitchb-mode): Don't belittle ido.
13982 2013-12-12 Eli Zaretskii <eliz@gnu.org>
13984 * term/w32-win.el (w32-handle-dropped-file):
13985 * startup.el (normal-top-level):
13986 * net/browse-url.el (browse-url-file-url):
13987 * dnd.el (dnd-get-local-file-name): On MS-Windows, encode and
13988 decode file names using 'utf-8' rather than
13989 file-name-coding-system.
13991 2013-12-12 Fabián Ezequiel Gallina <fgallina@gnu.org>
13993 * progmodes/python.el (python-indent-context)
13994 (python-indent-calculate-indentation): Fix auto-identation
13995 behavior for comment blocks. (Bug#15916)
13997 2013-12-12 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
13999 * progmodes/python.el (python-indent-calculate-indentation):
14000 When determining indentation, don't treat "return", "pass", etc., as
14001 operators when they are just string constituents. (Bug#15812)
14003 2013-12-12 Juri Linkov <juri@jurta.org>
14005 * uniquify.el (uniquify-buffer-name-style): Change default to
14006 `post-forward-angle-brackets'.
14008 * menu-bar.el (menu-bar-options-menu): Don't require preloaded
14009 `uniquify'. Change default to `post-forward-angle-brackets'.
14011 2013-12-11 Glenn Morris <rgm@gnu.org>
14013 * emacs-lisp/package.el (finder-list-matches):
14014 Autoload rather than falsely declaring.
14016 2013-12-11 Teodor Zlatanov <tzz@lifelogs.com>
14018 * net/eww.el (eww-exit, eww-close): Add UI convenience wrappers.
14019 (eww-mode-map): Use them.
14021 2013-12-11 Martin Rudalics <rudalics@gmx.at>
14023 * window.el (display-buffer-in-side-window): Fix doc-string
14026 2013-12-11 Juanma Barranquero <lekktu@gmail.com>
14028 * vc/vc-git.el: Silence byte-compiler warnings.
14029 (vc-git-dir-extra-headers): Rename arg _dir which is no longer ignored.
14030 (log-edit-set-header): Declare.
14032 2013-12-11 Eli Zaretskii <eliz@gnu.org>
14034 * Makefile.in (custom-deps, finder-data): Run output file names
14035 through unmsys--file-name. (Bug#16099)
14037 2013-12-11 Stefan Monnier <monnier@iro.umontreal.ca>
14039 * emacs-lisp/smie.el (smie-indent--hanging-p): Don't bother matching
14040 comment-start-skip, which fails when that uses submatch 1 (bug#16041).
14042 * emulation/cua-base.el (cua-paste): Add `delete-selection' property
14043 instead of deleting the selection "by hand" (bug#16098).
14044 Rely on insert-for-yank to yank rectangles.
14045 (cua-highlight-region-shift-only): Mark obsolete.
14046 (cua-mode): Don't enable/disable transient-mark-mode,
14047 shift-select-mode (cua-mode works both with and without them), and
14048 pc-selection-mode (obsolete).
14049 * emulation/cua-rect.el (cua--activate-rectangle): Activate the mark.
14050 (cua--deactivate-rectangle): Deactivate it.
14052 * delsel.el (delete-selection-mode): Don't enable transient-mark-mode.
14053 (delete-selection-helper): Make sure yank starts at the top of the
14055 (minibuffer-keyboard-quit): Use region-active-p.
14057 * emacs-lisp/trace.el (trace-make-advice): Don't deactivate the mark.
14059 * simple.el (normal-erase-is-backspace-mode): Map kp-delete identically
14060 to `delete' (bug#16109).
14062 2013-12-11 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
14064 * progmodes/octave.el (octave-mode, inferior-octave-mode): Link to
14065 info manual and show keybindings and set `:group' keyword.
14067 2013-12-11 Juri Linkov <juri@jurta.org>
14069 * delsel.el (delete-active-region): Let-bind `this-command'
14070 to prevent `kill-region' from changing its original value.
14071 (delete-selection-helper): Handle `overwrite-mode' for the type
14072 `kill' exactly the same way as for the type `t'.
14073 (insert-char, quoted-insert, reindent-then-newline-and-indent):
14074 Support more commands. (Bug#13312)
14076 2013-12-11 Juri Linkov <juri@jurta.org>
14078 * bindings.el: Map kp keys to non-kp keys systematically
14079 with basic modifiers control, meta and shift. (Bug#14397)
14081 2013-12-11 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
14083 * net/eww.el (eww-mode-map): Instead of "Quit" show "Exit" and
14084 "Close browser" menu items. Fix wrong function of "List
14087 2013-12-11 Juri Linkov <juri@jurta.org>
14089 * misearch.el (multi-isearch-buffers): Set the value of
14090 `multi-isearch-buffer-list' globally. Set NO-RECURSIVE-EDIT
14091 arg of isearch-forward to t.
14092 (multi-isearch-buffers-regexp): Set the value of
14093 `multi-isearch-buffer-list' globally. Set NO-RECURSIVE-EDIT
14094 arg of isearch-forward-regexp to t.
14095 (multi-isearch-files): Set the value of
14096 `multi-isearch-file-list' globally. Set NO-RECURSIVE-EDIT
14097 arg of isearch-forward to t.
14098 (multi-isearch-files-regexp): Set the value of
14099 `multi-isearch-file-list' globally. Set NO-RECURSIVE-EDIT
14100 arg of isearch-forward-regexp to t. (Bug#16035)
14102 * dired-aux.el (dired-isearch-filenames): Set NO-RECURSIVE-EDIT
14103 arg of isearch-forward to t.
14104 (dired-isearch-filenames-regexp): Set NO-RECURSIVE-EDIT
14105 arg of isearch-forward-regexp to t.
14106 (dired-isearch-filter-filenames): Remove unnecessary check for
14107 `dired-isearch-filenames'.
14109 * comint.el (comint-history-isearch-backward):
14110 Set NO-RECURSIVE-EDIT arg of isearch-backward to t.
14111 (comint-history-isearch-backward-regexp):
14112 Set NO-RECURSIVE-EDIT arg of isearch-backward-regexp to t.
14114 2013-12-10 Eli Zaretskii <eliz@gnu.org>
14116 * Makefile.in (autoloads): Run $(srcdir)/loaddefs.el through
14117 unmsys--file-name. (Bug#16099)
14119 2013-12-10 Teodor Zlatanov <tzz@lifelogs.com>
14121 * emacs-lisp/package.el (package-keyword-button-action):
14122 Remove finder.el require dependency.
14124 2013-12-09 Teodor Zlatanov <tzz@lifelogs.com>
14126 * emacs-lisp/package.el: Require finder.el.
14127 (describe-package-1): Add keyword buttons.
14128 (package-make-button): New convenience function.
14129 (package-keyword-button-action): Keyword button action using
14130 `finder-list-matches'.
14132 2013-12-09 Eli Zaretskii <eliz@gnu.org>
14134 * autorevert.el (auto-revert-notify-add-watch): Fix a thinko in
14137 2013-12-09 Michael Albinus <michael.albinus@gmx.de>
14139 * autorevert.el (auto-revert-notify-add-watch): Do not handle
14142 2013-12-09 Dmitry Gutov <dgutov@yandex.ru>
14144 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Return t
14145 after the end of a percent literal.
14147 2013-12-09 Cameron Desautels <camdez@gmail.com> (tiny change)
14149 * progmodes/ruby-mode.el (ruby-forward-string): Document.
14150 Handle caret-delimited strings (Bug#16079).
14152 2013-12-09 Dmitry Gutov <dgutov@yandex.ru>
14154 * progmodes/ruby-mode.el (ruby-accurate-end-of-block):
14155 When `ruby-use-smie' is t, use `smie-forward-sexp' instead of
14156 `ruby-parse-partial' (Bug#16078).
14158 2013-12-09 Leo Liu <sdl.web@gmail.com>
14160 * subr.el (read-passwd): Disable show-paren-mode. (Bug#16091)
14162 2013-12-08 Dmitry Gutov <dgutov@yandex.ru>
14164 * progmodes/js.el (js-auto-indent-flag): Remove, was unused.
14165 (js-switch-indent-offset): New option.
14166 (js--proper-indentation): Use it. And handle the case when
14167 "default" is actually a key in an object literal.
14168 (js--same-line): New function.
14169 (js--multi-line-declaration-indentation): Use it.
14170 (js--indent-in-array-comp, js--array-comp-indentation):
14172 (js--proper-indentation): Use them, to handle array comprehension
14175 2013-12-08 Leo Liu <sdl.web@gmail.com>
14177 * progmodes/flymake.el (flymake-highlight-line): Re-write.
14178 (flymake-make-overlay): Remove arg MOUSE-FACE.
14179 (flymake-save-string-to-file, flymake-read-file-to-string): Remove.
14181 2013-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
14183 * emulation/cua-rect.el (cua--rectangle-highlight-for-redisplay):
14185 (redisplay-highlight-region-function): Use it.
14187 * emulation/cua-base.el (cua--explicit-region-start)
14188 (cua--last-region-shifted): Remove.
14189 (cua--deactivate): Use deactivate-mark.
14190 (cua--pre-command-handler-1): Don't handle shift-selection.
14191 (cua--post-command-handler-1): Don't change transient-mark-mode.
14192 (cua--select-keymaps): Use region-active-p rather than
14193 cua--explicit-region-start or cua--last-region-shifted.
14194 (cua-mode): Enable shift-select-mode.
14196 2013-12-08 Leo Liu <sdl.web@gmail.com>
14198 * progmodes/flymake.el (flymake-popup-current-error-menu):
14199 Rename from flymake-display-err-menu-for-current-line. Reimplement.
14200 (flymake-posn-at-point-as-event, flymake-popup-menu)
14201 (flymake-make-emacs-menu): Remove. (Bug#16077)
14203 2013-12-08 Stefan Monnier <monnier@iro.umontreal.ca>
14205 * rect.el (rectangle-mark-mode): Activate mark even if
14206 transient-mark-mode is off (bug#16066).
14207 (rectangle--highlight-for-redisplay): Fix boundary condition when point
14208 is > mark and at bolp.
14210 * emulation/cua-rect.el (cua--rectangle-region-extract): New function.
14211 (region-extract-function): Use it.
14212 (cua-mouse-save-then-kill-rectangle): Use cua-copy-region.
14213 (cua-copy-rectangle, cua-cut-rectangle, cua-delete-rectangle):
14215 (cua--init-rectangles): Don't re-remap copy-region-as-kill,
14216 kill-ring-save, kill-region, delete-char, delete-forward-char.
14217 Ignore self-insert-iso.
14219 * emulation/cua-gmrk.el (cua--init-global-mark):
14220 Ignore `self-insert-iso'.
14222 * emulation/cua-base.el (cua--prefix-copy-handler)
14223 (cua--prefix-cut-handler): Rely on region-extract-function rather than
14224 checking cua--rectangle.
14225 (cua-delete-region): Use region-extract-function.
14226 (cua-replace-region): Delete function.
14227 (cua-copy-region, cua-cut-region): Obey region-extract-function.
14228 (cua--pre-command-handler-1): Don't do the delete-selection thing.
14229 (cua--self-insert-char-p): Ignore `self-insert-iso'.
14230 (cua--init-keymaps): Don't remap delete-selection commands.
14231 (cua-mode): Use delete-selection-mode instead of rolling our own
14234 * menu-bar.el (clipboard-kill-ring-save, clipboard-kill-region):
14235 Obey region-extract-function.
14237 Make registers and delete-selection-mode work on rectangles.
14238 * register.el (describe-register-1): Don't modify the register's value.
14239 (copy-to-register): Obey region-extract-function.
14240 * delsel.el (delete-active-region): Obey region-extract-function.
14242 2013-12-08 Leo Liu <sdl.web@gmail.com>
14244 * progmodes/flymake.el (flymake, flymake-error-bitmap)
14245 (flymake-warning-bitmap, flymake-fringe-indicator-position)
14246 (flymake-compilation-prevents-syntax-check)
14247 (flymake-start-syntax-check-on-newline)
14248 (flymake-no-changes-timeout, flymake-gui-warnings-enabled)
14249 (flymake-start-syntax-check-on-find-file, flymake-log-level)
14250 (flymake-xml-program, flymake-master-file-dirs)
14251 (flymake-master-file-count-limit)
14252 (flymake-allowed-file-name-masks): Relocate.
14253 (flymake-makehash, flymake-float-time)
14254 (flymake-replace-regexp-in-string, flymake-split-string)
14255 (flymake-get-temp-dir): Remove.
14256 (flymake-popup-menu, flymake-nop, flymake-make-xemacs-menu)
14257 (flymake-current-row, flymake-selected-frame)
14258 (flymake-get-point-pixel-pos): Remove xemacs compatibity and
14259 related functions. (Bug#16077)
14261 2013-12-07 Bozhidar Batsov <bozhidar@batsov.com>
14263 * emacs-lisp/helpers.el (string-blank-p): Use `string-match-p'.
14265 2013-12-07 Tassilo Horn <tsdh@gnu.org>
14267 * help-fns.el (describe-function-1): Use new advice-* functions
14268 rather than old ad-* functions. Fix function type description and
14269 source links for advised functions and subrs.
14271 2013-12-07 Lars Magne Ingebrigtsen <larsi@gnus.org>
14273 * net/shr.el (shr-tag-img): Don't bug out on <img src=""> data.
14275 2013-12-06 Michael Albinus <michael.albinus@gmx.de>
14277 * progmodes/compile.el (compilation-start):
14278 * progmodes/grep.el (rgrep): Revert change 2012-12-20T11:15:38Z!michael.albinus@gmx.de.
14280 * net/tramp-sh.el (tramp-sh-handle-start-file-process):
14281 Handle long command lines, lasting from "sh -c ...". (Bug#16045)
14283 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
14285 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
14286 Touch up the last change.
14288 2013-12-06 Leo Liu <sdl.web@gmail.com>
14290 * progmodes/octave.el (inferior-octave-prompt): Use shy groups.
14291 (inferior-octave-startup): Always use "octave> " for prompt.
14292 (octave-goto-function-definition)
14293 (octave-sync-function-file-names)
14294 (octave-find-definition-default-filename): Remove redundant backquotes.
14296 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
14298 * progmodes/ruby-mode.el (ruby-mode-syntax-table): Don't modify
14300 (ruby-expr-beg): Expect that `!' will have syntax class "symbol"
14301 where appropriate already.
14302 (ruby-syntax-propertize-function): Propertize `?' and `!' at the
14303 end of method names (Bug#15874).
14305 2013-12-06 Juri Linkov <juri@jurta.org>
14307 * isearch.el (isearch--saved-overriding-local-map):
14308 New internal variable.
14309 (isearch-mode): Set it to the initial value of
14310 `overriding-terminal-local-map'.
14311 (isearch-pre-command-hook): Compare `overriding-terminal-local-map'
14312 with `isearch--saved-overriding-local-map'. (Bug#16035)
14314 2013-12-06 Dmitry Gutov <dgutov@yandex.ru>
14316 * progmodes/octave.el (inferior-octave-completion-table):
14317 Turn back into function, use `completion-table-with-cache'
14318 (Bug#11906). Update all references.
14320 * minibuffer.el (completion-table-with-cache): New function.
14322 2013-12-05 Cameron Desautels <camdez@gmail.com> (tiny change)
14324 * emacs-lisp/regexp-opt.el (regexp-opt-charset): Fix ^ (bug#16046).
14326 2013-12-05 Teodor Zlatanov <tzz@lifelogs.com>
14328 * net/eww.el (eww-current-source): New variable to store page
14330 (eww-display-html, eww-mode, eww-save-history)
14331 (eww-restore-history): Use it.
14332 (eww-view-source): New command to view page source.
14333 Opportunistically uses `html-mode' to highlight the buffer.
14334 (eww-mode-map): Install it.
14336 2013-12-05 Michael Albinus <michael.albinus@gmx.de>
14338 * net/dbus.el (dbus-unregister-service)
14339 (dbus-escape-as-identifier, dbus-unescape-from-identifier):
14341 (dbus-unregister-service): Skip :serial entries in
14342 `dbus-registered-objects-table'.
14343 (dbus-byte-array-to-string): New optional arg MULTIBYTE.
14345 2013-12-04 Teodor Zlatanov <tzz@lifelogs.com>
14347 * emacs-lisp/lisp-mnt.el (lm-keywords-list): Trim whitespace
14348 around keywords with extra `split-string' argument.
14350 2013-12-04 Martin Rudalics <rudalics@gmx.at>
14352 * windmove.el (windmove-other-window-loc): Handle navigation
14353 between windows (excluding the minibuffer window - Bug#16017).
14355 2013-12-04 Michael Albinus <michael.albinus@gmx.de>
14357 * net/dbus.el (dbus-byte-array-to-string): Accept also byte arrays
14358 in D-Bus type syntax.
14359 (dbus-unescape-from-identifier): Use `byte-to-string' in order to
14360 preserve unibyte strings. (Bug#16048)
14362 2013-12-04 Stefan Monnier <monnier@iro.umontreal.ca>
14364 * emacs-lisp/eldoc.el (eldoc-minibuffer-message):
14365 Call force-mode-line-update is the proper buffer (bug#16042).
14367 2013-12-04 Dmitry Gutov <dgutov@yandex.ru>
14369 * vc/log-edit.el (log-edit-add-new-comment): Rename to
14370 `log-edit-remember-comment', make argument optional. Adjust all
14372 (log-edit-mode): Add `log-edit-remember-comment' to
14373 `kill-buffer-hook' locally.
14374 (log-edit-kill-buffer): Don't remember comment explicitly since
14375 the buffer is killed anyway.
14377 2013-12-04 Juri Linkov <juri@jurta.org>
14379 * isearch.el (isearch-mode, isearch-done): Don't set arg LOCAL in
14380 add-hook and remove-hook for multi-buffer search. (Bug#16035)
14382 2013-12-03 Tom Regner <tom@goochesa.de> (tiny change)
14384 * notifications.el (notifications-close-notification): Call the
14385 D-Bus method with ID being a `:uint32'. (Bug#16030)
14387 2013-12-03 Katsumi Yamaoka <yamaoka@jpl.org>
14389 * net/eww.el (eww-render): Don't pass arg to eww-display-image.
14391 2013-12-03 Juri Linkov <juri@jurta.org>
14393 * progmodes/compile.el (compilation-start): Rename window alist
14394 entry `no-display-ok' to `allow-no-window'.
14396 * simple.el (shell-command): Add window alist entry
14397 `allow-no-window' to `display-buffer'.
14398 (async-shell-command): Doc fix.
14400 * window.el (display-buffer-no-window): New action function.
14401 (display-buffer-alist, display-buffer): Doc fix. (Bug#13594)
14403 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
14405 * vc/log-edit.el (log-edit-set-header): Extract from
14406 `log-edit-toggle-header'.
14407 (log-edit-extract-headers): Separate the summary, when extracted
14408 from header, from the rest of the message with an empty line.
14410 * vc/vc-git.el (vc-git-log-edit-toggle-amend): Move the summary
14411 line, if present, to the Summary header.
14413 2013-12-02 Stefan Monnier <monnier@iro.umontreal.ca>
14415 * epa-file.el (epa-file-insert-file-contents): Ensure we insert text
14416 in current-buffer (bug#16029).
14418 2013-12-02 Helmut Eller <eller.helmut@gmail.com>
14420 * emacs-lisp/debug.el (debugger-toggle-locals): New command.
14421 (debugger-mode-map): Bind it.
14422 (debugger--backtrace-base): New function.
14423 (debugger-eval-expression): Use it.
14424 (debugger-frame-number): Skip local vars when present.
14425 (debugger--locals-visible-p, debugger--insert-locals)
14426 (debugger--show-locals, debugger--hide-locals): New functions.
14428 2013-12-02 Michael Albinus <michael.albinus@gmx.de>
14430 * net/tramp-sh.el (tramp-remote-process-environment): Do not set
14432 (tramp-get-remote-locale): New defun.
14433 (tramp-open-connection-setup-interactive-shell): Use it.
14435 2013-12-02 Leo Liu <sdl.web@gmail.com>
14437 * subr.el (process-live-p): Return nil for non-process. (Bug#16023)
14439 * progmodes/sh-script.el (sh-shell-process):
14440 * progmodes/octave.el (inferior-octave-process-live-p):
14441 * progmodes/gdb-mi.el (gdb-delchar-or-quit)
14442 (gdb-inferior-io-sentinel):
14443 * emacs-lock.el (emacs-lock-live-process-p): All uses changed.
14445 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
14447 * vc/log-edit.el (log-edit-kill-buffer): Move the use of
14448 `save-selected-window' to `log-edit-hide-buf'. This makes
14449 `log-edit-show-files' idempotent.
14450 (log-edit-show-files): Mark the new window as dedicated.
14452 2013-12-02 Dmitry Gutov <dgutov@yandex.ru>
14454 * vc/log-edit.el (log-edit-mode-map): Add binding for
14455 `log-edit-kill-biffer'.
14456 (log-edit-hide-buf): Add a FIXME comment.
14457 (log-edit-add-new-comment): New function, extracted from
14459 (log-edit-done, log-edit-add-to-changelog): Use it.
14460 (log-edit-kill-buffer): New command.
14462 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
14464 * net/eww.el (eww-mode-map): Have `q' do a normal `quit-window'
14465 instead of killing the buffer.
14467 2013-12-01 Stefan Monnier <monnier@iro.umontreal.ca>
14469 * simple.el (newline): Mention `electric-indent-mode' (bug#16015).
14471 2013-12-01 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
14473 * net/eww.el (eww-form-checkbox-selected-symbol)
14474 (eww-form-checkbox-symbol): New customizable variable.
14475 (eww-form-checkbox, eww-toggle-checkbox):
14476 Use `eww-form-checkbox-selected-symbol' and `eww-form-checkbox-symbol'.
14478 * net/shr.el (shr-prefer-media-type-alist): New customizable variable.
14479 (shr--get-media-pref, shr--extract-best-source): New function.
14480 (shr-tag-video, shr-tag-audio): Use `shr--extract-best-source' when
14481 no :src tag was specified.
14483 * net/eww.el (eww-use-external-browser-for-content-type): New variable.
14484 (eww-render): Handle `eww-use-external-browser-for-content-type'.
14485 Use \\` to match beginning of string instead of ^.
14486 (eww-browse-with-external-browser): Provide optional URL parameter.
14487 (eww-render): Set `eww-current-title' back to "".
14489 * net/shr.el (shr-tag-video): Display content for video if no
14490 poster is available.
14491 (shr-tag-audio): Add support for <audio> tag.
14493 * net/eww.el (eww-text-input-types): New const.
14494 (eww-process-text-input): Treat input types in
14495 `eww-text-input-types' as text.
14497 * net/shr.el (shr-tag-table): Fix comment typo.
14499 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
14501 * net/eww.el (eww-follow-link): New command to avoid reloading
14502 pages when we follow #target links (bug#15243).
14503 (eww-quit): Special mode buffers shouldn't query before exiting.
14505 2013-12-01 Kenjiro NAKAYAMA <nakayamakenjiro@gmail.com>
14507 * net/eww.el (eww-tag-select): Support <optgroup> tags in <select>
14510 2013-12-01 Lars Magne Ingebrigtsen <larsi@gnus.org>
14512 * net/eww.el (eww-restore-history): Update the window title after
14513 moving in the history.
14514 (eww-current-dom): New variable used to save the current DOM.
14516 2013-12-01 Dmitry Gutov <dgutov@yandex.ru>
14518 * vc/log-edit.el (log-edit-mode-map): Add binding for
14519 `log-edit-beginning-of-line'.
14520 (log-edit-setup-add-author): New user option.
14521 (log-edit-beginning-of-line): New command.
14522 (log-edit): Move major mode call above the contents setup so that
14523 the local variable values are already applied.
14524 (log-edit): Only insert "Author: " when
14525 `log-edit-setup-add-author' is non-nil.
14526 (log-edit): When SETUP is non-nil, position point after ": "
14527 instead of point-min.
14529 2013-12-01 Glenn Morris <rgm@gnu.org>
14531 * startup.el (command-line): Warn if ~/emacs.d is in load-path.
14533 2013-11-30 Eli Zaretskii <eliz@gnu.org>
14535 * startup.el (fancy-splash-frame): On MS-Windows, trigger
14536 redisplay to make sure the initial frame gets a chance to become
14537 visible. (Bug#16014)
14539 2013-11-30 Martin Rudalics <rudalics@gmx.at>
14541 Support resizing frames and windows pixelwise.
14542 * cus-start.el (frame-resize-pixelwise)
14543 (window-resize-pixelwise): New entries.
14544 * emacs-lisp/debug.el (debug): Use window-total-height instead
14545 of window-total-size.
14546 * frame.el (tool-bar-lines-needed): Defalias to tool-bar-height.
14547 * help.el (describe-bindings-internal): Use help-buffer as
14548 argument for with-help-window.
14549 (temp-buffer-max-width): New option.
14550 (resize-temp-buffer-window, help-window-setup)
14551 (with-help-window): Rewrite.
14552 * mouse.el (mouse-drag-line): Rewrite. Add key bindings for
14554 * window.el (frame-char-size, window-min-pixel-height)
14555 (window-safe-min-pixel-height, window-safe-min-pixel-width)
14556 (window-min-pixel-width, window-safe-min-pixel-size)
14557 (window-combination-p, window-safe-min-size)
14558 (window-resizable-p, window--size-to-pixel)
14559 (window--pixel-to-size, window--resize-apply-p): New functions.
14560 (window-safe-min-height): Fix doc-string.
14561 (window-size, window-min-size, window--min-size-1)
14562 (window-sizable, window-sizable-p, window--min-delta-1)
14563 (window-min-delta, window--max-delta-1, window-max-delta)
14564 (window--resizable, window--resizable-p, window-resizable)
14565 (window-full-height-p, window-full-width-p, window-at-side-p)
14566 (window--in-direction-2, window-in-direction)
14567 (window--resize-reset-1, window--resize-mini-window)
14568 (window-resize, window-resize-no-error)
14569 (window--resize-child-windows-normal)
14570 (window--resize-child-windows, window--resize-siblings)
14571 (window--resize-this-window, window--resize-root-window)
14572 (window--resize-root-window-vertically)
14573 (adjust-window-trailing-edge, enlarge-window, shrink-window)
14574 (maximize-window, minimize-window, delete-window)
14575 (quit-restore-window, window-split-min-size, split-window)
14576 (balance-windows-2, balance-windows)
14577 (balance-windows-area-adjust, balance-windows-area)
14578 (window--state-get-1, window-state-get, window--state-put-1)
14579 (window--state-put-2, window-state-put)
14580 (display-buffer-record-window, window--display-buffer):
14581 Make functions handle pixelwise sizing of windows.
14582 (display-buffer--action-function-custom-type)
14583 (display-buffer-fallback-action):
14584 Add display-buffer-in-previous-window.
14585 (display-buffer-use-some-window): Resize window to height it had
14587 (fit-window-to-buffer-horizontally): New option.
14588 (fit-frame-to-buffer): Describe new values.
14589 (fit-frame-to-buffer-bottom-margin): Replace with
14590 fit-frame-to-buffer-margins.
14591 (window--sanitize-margin): New function.
14592 (fit-frame-to-buffer, fit-window-to-buffer): Rewrite completely
14593 using window-text-pixel-size.
14595 2013-11-30 Glenn Morris <rgm@gnu.org>
14597 * emacs-lisp/bytecomp.el (byte-compile-form):
14598 Make the `interactive-only' warning like the `obsolete' one.
14599 * comint.el (comint-run):
14600 * files.el (insert-file-literally, insert-file):
14601 * replace.el (replace-string, replace-regexp):
14602 * simple.el (beginning-of-buffer, end-of-buffer, delete-backward-char)
14603 (goto-line, insert-buffer, next-line, previous-line):
14604 Tweak `interactive-only' spec.
14606 Stop keeping (most) generated cedet grammar files in the repository.
14607 * Makefile.in (semantic): New.
14608 (compile-main): Depend on semantic.
14610 2013-11-29 Stefan Monnier <monnier@iro.umontreal.ca>
14612 * net/newst-reader.el (newsticker-html-renderer): Default to SHR if
14613 available. Suggested by Clément B. <barthele1u@etu.univ-lorraine.fr>.
14615 * uniquify.el (uniquify-buffer-name-style): Change default.
14617 * loadup.el: Preload "uniquify".
14619 * time.el (display-time-update): Update all mode lines (bug#15999).
14621 * electric.el (electric-indent-mode): Enable by default.
14622 * loadup.el: Preload "electric".
14624 2013-11-29 Bozhidar Batsov <bozhidar@batsov.com>
14626 * emacs-lisp/helpers.el (string-empty-p): New function.
14627 (string-blank-p): New function.
14629 2013-11-29 Andreas Politz <politza@hochschule-trier.de>
14631 * imenu.el (imenu--index-alist): Add missing dot to the docstring
14634 2013-11-29 Andreas Politz <politza@fh-trier.de>
14635 * imenu.el (imenu--subalist-p): Don't error on non-conses and
14636 allow non-lambda lists as functions.
14637 (imenu--in-alist): Don't recurse into non-subalists.
14638 (imenu): Don't pass function itself as an argument (Bug#14029).
14640 2013-11-29 Stefan Monnier <monnier@iro.umontreal.ca>
14642 * progmodes/python.el (python-mode-map): Remove binding for ":".
14643 (python-indent-electric-colon): Remove command.
14644 (python-indent-post-self-insert-function): Integrate the previous code
14645 of python-indent-electric-colon. Make it conditional on
14646 electric-indent-mode.
14647 (python-mode): Add ?: to electric-indent-chars.
14648 Move python-indent-post-self-insert-function to the end of
14649 post-self-insert-hook.
14651 2013-11-28 Stefan Monnier <monnier@iro.umontreal.ca>
14653 * doc-view.el (doc-view-goto-page): Update mode-line.
14655 * vc/vc-dispatcher.el (vc-log-edit): Setup the Summary&Author headers.
14657 2013-11-27 Glenn Morris <rgm@gnu.org>
14659 * international/charprop.el, international/uni-bidi.el:
14660 * international/uni-category.el, international/uni-combining.el:
14661 * international/uni-comment.el, international/uni-decimal.el:
14662 * international/uni-decomposition.el, international/uni-digit.el:
14663 * international/uni-lowercase.el, international/uni-mirrored.el:
14664 * international/uni-name.el, international/uni-numeric.el:
14665 * international/uni-old-name.el, international/uni-titlecase.el:
14666 * international/uni-uppercase.el:
14667 Remove generated files from VCS repository.
14669 2013-11-27 Eli Zaretskii <eliz@gnu.org>
14671 * filenotify.el (file-notify-add-watch): Don't special-case
14672 w32notify when computing the directory to watch.
14674 2013-11-27 Glenn Morris <rgm@gnu.org>
14676 Make bootstrap without generated uni-*.el files possible again.
14677 * loadup.el: Update command-line-args checking for unidata-gen.
14678 Add vc to load-path to allow loading vc-bzr when writing uni-*.el.
14679 * composite.el, international/characters.el:
14680 Handle unicode tables being undefined.
14682 Move ja-dic, quail, leim-list.el from ../leim to a leim subdirectory.
14683 * Makefile.in (setwins_for_subdirs): Skip leim/ directory.
14684 (compile-main): Depend on leim rule.
14686 * loadup.el: Move leim-list.el to leim/ subdirectory.
14687 * startup.el (normal-top-level): No more leim directory.
14688 * international/ja-dic-cnv.el (skkdic-convert):
14689 Disable version-control and autoloads in output files.
14690 * international/titdic-cnv.el (titdic-convert, miscdic-convert):
14691 Disable version-control and autoloads in output files.
14692 * leim/quail: Move here from ../leim.
14693 * leim/quail/hangul.el (hangul-input-method-activate):
14694 Add autoload cookie.
14695 (generated-autoload-load-name): Set file-local value.
14696 * leim/quail/uni-input.el (ucs-input-activate): Add autoload cookie.
14697 (generated-autoload-load-name): Set file-local value.
14699 2013-11-26 Kenjiro NAKAYAMA <knakayam@redhat.com>
14701 * net/eww.el (eww-bookmark-browse): Use 'eww-browse-url'.
14702 (eww-add-bookmark): Ask confirmation when add to bookmarks.
14703 (eww-quit): Ask confirmation before quitting eww.
14705 2013-11-26 Eli Zaretskii <eliz@gnu.org>
14707 * vc/vc.el (vc-diff-internal): Use *-dos coding-system when
14708 reading output from Diff on MS-Windows and MS-DOS.
14710 2013-11-26 Bozhidar Batsov <bozhidar@batsov.com>
14712 * emacs-lisp/helpers.el (string-reverse): New function.
14714 2013-11-26 Michael Albinus <michael.albinus@gmx.de>
14716 * net/tramp.el (tramp-file-name-regexp-unified): Support IPv6 host
14717 names on MS Windows, like "/[::1]:".
14719 * net/tramp-sh.el (tramp-sh-handle-insert-directory): Accept nil
14722 2013-11-26 Glenn Morris <rgm@gnu.org>
14724 * progmodes/python.el (python-indent-guess-indent-offset):
14725 Avoid corner-case error. (Bug#15975)
14727 Preload leim-list.el. (Bug#4789)
14728 * loadup.el: Load leim-list.el when found.
14729 * startup.el (normal-top-level): Skip re-loading leim/leim-list.el.
14731 2013-11-25 Bozhidar Batsov <bozhidar@batsov.com>
14733 * emacs-lisp/bytecomp.el (byte-compile-form): Fix a typo.
14735 * emacs-lisp/helpers.el (string-join): New function.
14737 2013-11-25 Sebastian Wiesner <lunaryorn@gmail.com> (tiny change)
14739 * emacs-lisp/bytecomp.el (byte-compile-interactive-only-functions):
14740 Mark as obsolete and replace it with a symbol property.
14741 (byte-compile-form): Use new 'interactive-only property.
14742 * comint.el, files.el, replace.el, simple.el:
14743 Apply new 'interactive-only properly.
14745 2013-11-25 Martin Rudalics <rudalics@gmx.at>
14747 * window.el (display-buffer-at-bottom): Make sure that
14748 split-window-sensibly creates the new window on bottom
14751 2013-11-23 David Kastrup <dak@gnu.org>
14753 * vc/smerge-mode.el (smerge-ediff): Choose default buffer names based
14754 on the conflict markers when available.
14755 (smerge--get-marker): New function.
14756 (smerge-end-re, smerge-base-re): Add subgroup.
14758 2013-11-25 Stefan Monnier <monnier@iro.umontreal.ca>
14760 * frame.el (handle-focus-in, handle-focus-out): Add missing
14763 2013-11-25 Michael Albinus <michael.albinus@gmx.de>
14765 * net/tramp-cmds.el (tramp-cleanup-connection): Clean up
14766 `tramp-current-connection' only when KEEP-PASSWORD is non-nil.
14768 2013-11-25 Stefan Monnier <monnier@iro.umontreal.ca>
14770 * play/gomoku.el: Don't use intangible property. Use lexical-binding.
14771 (gomoku--last-pos): New var.
14772 (gomoku--intangible-chars): New const.
14773 (gomoku--intangible): New function.
14774 (gomoku-mode): Use it. Derive from special-mode.
14775 (gomoku-move-up): Adjust line count.
14776 (gomoku-click, gomoku-point-y, gomoku-point-square, gomoku-goto-xy)
14777 (gomoku-plot-square, gomoku-init-display, gomoku-cross-qtuple):
14778 Simplify accordingly.
14780 * frame.el (handle-focus-in, handle-focus-out): Move from frame.c.
14781 Remove blink-cursor code.
14782 (blink-cursor-timer-function, blink-cursor-suspend):
14783 Don't special-case GUIs.
14784 (blink-cursor-mode): Use focus-in/out-hook.
14786 2013-11-25 Dmitry Gutov <dgutov@yandex.ru>
14788 * vc/vc-git.el (vc-git-annotate-extract-revision-at-line): Make it
14789 work when annotation is invisible (Bug#13886).
14791 2013-11-24 Simon Schubert <2@0x2c.org> (tiny change)
14793 * json.el (json-alist-p): Only return non-nil if the alist has
14794 simple keys (Bug#13518).
14796 2013-11-24 Mihir Rege <mihirrege@gmail.com> (tiny change)
14798 * progmodes/js.el (js--ctrl-statement-indentation): Fix indent
14799 when control-statement is the first statement in a buffer (Bug#15956).
14801 2013-11-24 Dmitry Gutov <dgutov@yandex.ru>
14803 * imenu.el (imenu-generic-skip-comments-and-strings):
14804 New option (Bug#15560).
14805 (imenu--generic-function): Use it.
14807 2013-11-24 Jorgen Schaefer <contact@jorgenschaefer.de>
14809 * minibuffer.el (completion--in-region-1): Scroll the correct window.
14812 2013-11-24 Bozhidar Batsov <bozhidar@batsov.com>
14814 * emacs-lisp/helpers.el: Add some string helpers.
14815 (string-trim-left): Removes leading whitespace.
14816 (string-trim-right): Removes trailing whitespace.
14817 (string-trim): Removes leading and trailing whitespace.
14819 * subr.el (string-suffix-p): New function.
14821 2013-11-23 Glenn Morris <rgm@gnu.org>
14823 * progmodes/python.el (python-shell-send-file):
14824 Add option to delete file when done. (Bug#15647)
14825 (python-shell-send-string, python-shell-send-region): Use it.
14827 2013-11-23 Ivan Shmakov <ivan@siamics.net>
14829 * vc/diff-mode.el (diff-mode): Only allow diff-default-read-only
14830 to set buffer-read-only to t, never to nil. (Bug#15938)
14832 * textmodes/tex-mode.el (latex-noindent-environments):
14833 Add safe-local-variable property. (Bug#15936)
14835 2013-11-23 Glenn Morris <rgm@gnu.org>
14837 * textmodes/enriched.el (enriched-mode): Doc fix.
14838 * emacs-lisp/authors.el (authors-renamed-files-alist):
14839 Add enriched.doc -> enriched.txt.
14841 * Makefile.in (emacs): Empty EMACSLOADPATH rather than unsetting.
14843 2013-11-22 Leo Liu <sdl.web@gmail.com>
14845 * progmodes/octave.el (inferior-octave-startup): Spit out error
14848 2013-11-22 Bozhidar Batsov <bozhidar@batsov.com>
14850 * progmodes/ruby-mode.el (ruby-custom-encoding-magic-comment-template):
14853 (ruby-encoding-magic-comment-style): Add :version.
14855 2013-11-22 Leo Liu <sdl.web@gmail.com>
14857 * progmodes/octave.el (octave-operator-regexp): Exclude newline.
14859 (octave-help-mode): Adapt to change to help-mode-finish to use
14860 derived-mode-p on 2013-09-17.
14861 (inferior-octave-prompt): Also match octave-gui.
14862 (octave-kill-process): Don't ask twice. (Bug#10564)
14864 2013-11-22 Leo Liu <sdl.web@gmail.com>
14866 * progmodes/octave.el (inferior-octave-process-live-p): New helper.
14867 (inferior-octave-startup, inferior-octave-check-process)
14868 (inferior-octave-track-window-width-change)
14869 (octave-completion-at-point, octave-eldoc-function): Use it.
14870 (octave-kill-process): Provide confirmation. (Bug#10564)
14872 2013-11-21 Leo Liu <sdl.web@gmail.com>
14874 * progmodes/octave.el (octave-mode, inferior-octave-mode):
14875 Fix obsolete variable comment-use-global-state.
14877 2013-11-21 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
14879 * progmodes/octave.el (octave-mode-map, octave-mode-menu):
14880 Add `octave-source-file'.
14881 (octave-source-file): New function. (Bug#15935)
14883 2013-11-21 Kenjiro Nakayama <nakayamakenjiro@gmail.com>
14885 * net/eww.el (eww-local-regex): New variable.
14886 (eww): Use it to detect localhost and similar.
14888 2013-11-21 Leo Liu <sdl.web@gmail.com>
14890 Add completion for command `ag'.
14891 * pcmpl-x.el (pcmpl-x-ag-options): New variable.
14892 (pcomplete/ag): New function.
14893 (pcmpl-x-ag-options): New function. Handle `[no]' in long options.
14895 2013-11-21 Stefan Monnier <monnier@iro.umontreal.ca>
14897 * emacs-lisp/byte-run.el (eval-when-compile): Fix edebug spec
14899 (make-obsolete): Remove interactive spec.
14901 2013-11-21 Glenn Morris <rgm@gnu.org>
14903 * startup.el (command-line-1): Use path-separator with -L.
14905 2013-11-20 Teodor Zlatanov <tzz@lifelogs.com>
14907 * emacs-lisp/package.el (describe-package-1): Add package archive
14910 2013-11-20 Bozhidar Batsov <bozhidar@batsov.com>
14912 * progmodes/ruby-mode.el (ruby-custom-encoding-magic-comment-template):
14913 Change default to "# encoding: %s" to differentiate it from the
14914 default Ruby encoding comment template.
14916 2013-11-20 Era Eriksson <era+emacsbugs@iki.fi>
14918 * ses.el (ses-mode): Doc fix. (Bug#14748)
14920 2013-11-20 Leo Liu <sdl.web@gmail.com>
14922 * window.el (display-buffer-alist): Doc fix. (Bug#13594)
14924 2013-11-19 Dan Nicolaescu <dann@gnu.org>
14926 * vc/vc-git.el (vc-git-dir-extra-headers): Add headers
14927 when rebase or bisect are in progress.
14929 2013-11-19 Xue Fuqiao <xfq.free@gmail.com>
14931 * filenotify.el (file-notify-add-watch): Doc fix.
14933 2013-11-19 Leo Liu <sdl.web@gmail.com>
14935 * obsolete/rcompile.el: Mark obsolete.
14937 * progmodes/compile.el (compilation-start)
14938 (compilation-goto-locus, compilation-find-file):
14939 Pass no-display-ok and handle nil value from display-buffer.
14942 * window.el (display-buffer-alist, display-buffer): Document the
14943 new parameter no-display-ok. Return either a window or nil
14944 but never a non-window value.
14946 2013-11-18 Stefan Monnier <monnier@iro.umontreal.ca>
14948 * electric.el (electric-indent-mode-map): Remove.
14949 (electric-indent-mode): Change the global-map instead (bug#15915).
14951 * textmodes/text-mode.el (paragraph-indent-minor-mode):
14954 2013-11-17 Stefan Monnier <monnier@iro.umontreal.ca>
14956 * emacs-lisp/nadvice.el (remove-function): Align with
14957 add-function's behavior.
14959 * progmodes/gdb-mi.el: Avoid backtracking in regexp matcher.
14960 (gdb--string-regexp): New constant.
14961 (gdb-tooltip-print, gdb-var-evaluate-expression-handler)
14962 (gdbmi-bnf-stream-record, gdb-jsonify-buffer): Use it.
14963 (gdb-source-file-regexp, gdb-prompt-name-regexp): Use it and change
14965 (gdb-get-source-file-list, gdb-get-prompt, gdb-get-source-file):
14966 Adjust use accordingly.
14967 (gdb-breakpoints-list-handler-custom): Pre-build the y/n string.
14969 2013-11-17 Adam Sokolnicki <adam.sokolnicki@gmail.com> (tiny change)
14971 * progmodes/ruby-mode.el (ruby-toggle-block): Don't stop at
14972 interpolation curlies (Bug#15914).
14974 2013-11-17 Jay Belanger <jay.p.belanger@gmail.com>
14976 * calc/calc.el (calc-context-sensitive-enter): New variable.
14977 (calc-enter): Use `calc-context-sensitive-enter'.
14979 2013-11-16 Teodor Zlatanov <tzz@lifelogs.com>
14981 * progmodes/cfengine.el: Version bump.
14982 (cfengine-cf-promises): New defcustom to locate cf-promises.
14983 (cfengine3-vartypes): Add new "data" type.
14984 (cfengine3--current-word): New function to get current name-like
14985 word or its bounds.
14986 (cfengine3--current-function): New function to look up a CFEngine
14987 function's definition.
14988 (cfengine3-format-function-docstring): New function.
14989 (cfengine3-make-syntax-cache): New function.
14990 (cfengine3-documentation-function): New function: ElDoc glue.
14991 (cfengine3-completion-function): New function: completion glue.
14992 (cfengine3-mode): Set `compile-command',
14993 `eldoc-documentation-function', and add to
14994 `completion-at-point-functions'.
14996 2013-11-16 Michael Albinus <michael.albinus@gmx.de>
14998 * net/tramp-cmds.el (tramp-cleanup-connection): Clean up
14999 `tramp-current-connection'.
15001 2013-11-15 Dmitry Gutov <dgutov@yandex.ru>
15003 * progmodes/ruby-mode.el (ruby-font-lock-keywords): End regexp for
15004 nil/self/true/false with "end of symbol".
15006 2013-11-15 Bozhidar Batsov <bozhidar@batsov.com>
15008 * subr.el (version-regexp-alist): Fix a typo.
15010 2013-11-15 Michael Albinus <michael.albinus@gmx.de>
15012 * net/tramp-sh.el (tramp-remote-process-environment): Set "LC_ALL" to
15013 "en_US.utf8" and "LC_CTYPE" to "".
15014 (tramp-maybe-open-connection): Set "LC_ALL" to "en_US.utf8".
15015 (tramp-sh-handle-insert-directory): Don't set "LC_ALL" and "LC_CTYPE".
15017 2013-11-15 Leo Liu <sdl.web@gmail.com>
15019 * loadhist.el (read-feature): Get rid of fake feature nil. (Bug#15889)
15021 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
15023 * progmodes/gud.el (ctl-x-map):
15024 Remove C-x SPC binding. (Bug#12342)
15025 (gud-jdb-find-source-using-classpath): Remove ((lambda (..)..)..).
15027 2013-11-14 Bozhidar Batsov <bozhidar@batsov.com>
15029 * subr.el (version-regexp-alist):
15030 Recognize hg, svn and darcs versions as snapshot versions.
15032 * progmodes/ruby-mode.el (ruby--detect-encoding): Make aware of
15033 'always-utf8 value of `ruby-insert-encoding-magic-comment'.
15034 (ruby--encoding-comment-required-p): Extract from
15035 `ruby-mode-set-encoding'.
15036 (ruby-mode-set-encoding): Add the ability to always insert an
15037 utf-8 encoding comment. Fix and simplify coding comment update
15040 2013-11-14 Michael Albinus <michael.albinus@gmx.de>
15042 * net/tramp-gvfs.el (top): Run init code only when
15043 `tramp-gvfs-enabled' is not nil.
15044 (tramp-gvfs-enabled): Check also :system bus.
15046 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
15048 Sync with upstream verilog-mode revision 78e66ba.
15049 * progmodes/verilog-mode.el (verilog-end-of-defun)
15050 (verilog-type-completion, verilog-get-list): Remove unused funcs.
15051 (verilog-get-end-of-defun): Remove unused argument.
15052 (verilog-comment-depth): Remove unused local `e'.
15053 (verilog-read-decls, verilog-read-sub-decls, verilog-read-instants):
15054 Don't pass arg to verilog-get-end-of-defun.
15056 2013-11-14 Glenn Morris <rgm@gnu.org>
15058 * obsolete/assoc.el (aget): Prefix dynamic variable.
15060 * allout-widgets.el (allout-widgets): No need to autoload defgroup.
15062 2013-11-14 Stefan Monnier <monnier@iro.umontreal.ca>
15064 * widget.el, hfy-cmap.el: Remove bogus package version number.
15066 2013-11-13 Glenn Morris <rgm@gnu.org>
15068 * replace.el (replace-eval-replacement):
15069 Try to give more helpful error message. (Bug#15836)
15071 * arc-mode.el (archive-7z-extract, archive-7z-expunge)
15072 (archive-7z-update): Avoid custom type mismatches.
15074 * vc/vc.el (vc-diff-knows-L): Remove; unused since 2007-10-10.
15076 2013-11-13 Michael Albinus <michael.albinus@gmx.de>
15078 * net/tramp.el (tramp-remote-file-name-spec-regexp): An IPv6
15079 address can be empty.
15081 * net/tramp-gvfs.el (tramp-gvfs-handle-insert-directory):
15082 Accept nil SWITCHES.
15083 (tramp-gvfs-handle-write-region): Implement APPEND.
15085 2013-11-12 Dmitry Gutov <dgutov@yandex.ru>
15087 * progmodes/ruby-mode.el (ruby-smie-grammar): Disambiguate between
15088 binary "|" operator and closing block args delimiter.
15089 Remove FIXME comment referring to Ruby 1.8-only syntax.
15090 (ruby-smie--implicit-semi-p): Not after "|" operator.
15091 (ruby-smie--closing-pipe-p): New function.
15092 (ruby-smie--forward-token, ruby-smie--backward-token): Use it.
15093 (ruby-smie-rules): Indent after "|".
15095 2013-11-12 Glenn Morris <rgm@gnu.org>
15097 * ps-print.el (ps-face-attribute-list):
15098 Handle anonymous faces. (Bug#15827)
15100 2013-11-12 Martin Rudalics <rudalics@gmx.at>
15102 * window.el (display-buffer-other-frame): Fix doc-string.
15105 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
15107 * subr.el (force-mode-line-update): Delete, move to buffer.c.
15109 2013-11-11 Michael Albinus <michael.albinus@gmx.de>
15111 * net/tramp-sh.el (tramp-do-copy-or-rename-file-via-buffer)
15112 (tramp-sh-handle-file-local-copy): Don't write a message when
15113 saving temporary files.
15115 * net/tramp-smb.el (tramp-smb-handle-copy-directory): Fix bug when
15116 both directories are remote.
15117 (tramp-smb-handle-directory-files): Do not return double entries.
15118 Do not expand full file names.
15119 (tramp-smb-handle-insert-directory): Accept nil SWITCHES.
15120 (tramp-smb-handle-write-region): Implement APPEND.
15121 (tramp-smb-get-stat-capability): Fix a stupid bug.
15123 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
15125 * bindings.el (ctl-x-map): Bind C-x SPC to rectangle-mark-mode.
15127 2013-11-11 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
15129 * emacs-lisp/cconv.el (cconv-convert): Print warning instead of
15130 throwing error over malformed let/let* (bug#15814).
15132 2013-11-11 Stefan Monnier <monnier@iro.umontreal.ca>
15134 * iswitchb.el (iswitchb-mode): Mark obsolete.
15136 2013-11-11 Glenn Morris <rgm@gnu.org>
15138 * international/uni-bidi.el, international/uni-category.el:
15139 * international/uni-name.el, international/uni-numeric.el:
15140 Regenerate for Unicode 6.3.0.
15142 2013-11-10 Michael Albinus <michael.albinus@gmx.de>
15144 * net/tramp.el (tramp-methods):
15145 * net/tramp-sh.el (tramp-compute-multi-hops): Revert change of
15146 2013-10-29 (2013-10-29T02:50:24Z!dancol@dancol.org).
15148 2013-11-09 Andreas Schwab <schwab@linux-m68k.org>
15150 * progmodes/sh-script.el (sh-font-lock-keywords-var):
15151 Force highlighting text after Summary keyword in doc face for rpm.
15153 2013-11-09 Dmitry Gutov <dgutov@yandex.ru>
15155 * textmodes/ispell.el (ispell-lookup-words): When `look' is not
15156 available and the word has no wildcards, append one to the grep pattern.
15157 http://lists.gnu.org/archive/html/emacs-devel/2013-11/msg00258.html
15158 (ispell-complete-word): Call `ispell-lookup-words' with the value
15159 independent of `ispell-look-p'.
15161 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
15163 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p):
15165 (ruby-smie-rules): Indent non-hanging "begin" blocks as part of
15168 2013-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
15170 * progmodes/ruby-mode.el: Don't require cl any more. Use pcase instead.
15171 (ruby-font-lock-keywords): Use backquote.
15173 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
15175 * progmodes/ruby-mode.el (ruby-smie--forward-token)
15176 (ruby-smie--backward-token): Only consider full-string matches.
15178 2013-11-08 Jan Djärv <jan.h.d@swipnet.se>
15180 * faces.el (describe-face): Add distant-foreground.
15182 2013-11-08 Bozhidar Batsov <bozhidar@batsov.com>
15184 * progmodes/ruby-mode.el: Improve encoding comment handling.
15185 (ruby-encoding-magic-comment-style): New option.
15186 (ruby-custom-encoding-magic-comment-template): New option.
15187 (ruby--insert-coding-comment, ruby--detect-encoding):
15188 New functions extracted from `ruby-mode-set-encoding'.
15189 (ruby-mode-set-encoding): Use `ruby-encoding-magic-comment-style'
15190 to control the style of the auto-inserted encoding comment.
15192 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
15194 * progmodes/ruby-mode.el (ruby-smie--indent-to-stmt):
15195 Use `smie-backward-sexp' with token argument.
15197 2013-11-08 Michael Albinus <michael.albinus@gmx.de>
15199 * net/tramp-sh.el (tramp-set-remote-path, tramp-get-ls-command):
15200 Remove instrumentation code.
15202 2013-11-08 Glenn Morris <rgm@gnu.org>
15204 * progmodes/autoconf.el (autoconf-mode):
15205 Tweak comment-start-skip. (Bug#15822)
15207 2013-11-08 Stefan Monnier <monnier@iro.umontreal.ca>
15209 * progmodes/sh-script.el (sh-smie--sh-keyword-in-p): Don't inf-loop
15210 at bobp (bug#15826).
15211 (sh-smie--sh-keyword-in-p): Recognize keywords at bobp.
15213 2013-11-08 Darren Hoo <darren.hoo@gmail.com>
15215 * man.el (Man-start-calling): New macro, extracted from
15216 Man-getpage-in-background.
15217 (Man-getpage-in-background): Use it.
15218 (Man-update-manpage): New command.
15219 (Man-mode-map): Bind it.
15221 2013-11-08 Dmitry Gutov <dgutov@yandex.ru>
15223 * progmodes/ruby-mode.el (ruby-smie-grammar): Improve precedences
15224 of "and", "or", "&&" and "||".
15225 (ruby-smie--args-separator-p): Prohibit keyword "do" as the first
15226 argument. Prohibit opening curly brace because it could only be a
15227 block opener in that position.
15228 (ruby-smie--forward-token, ruby-smie--backward-token):
15229 Separate "|" from "&" or "*" going after it. That can happen in block
15231 (ruby-smie--indent-to-stmt): New function, seeks the end of
15232 previous statement or beginning of buffer.
15233 (ruby-smie-rules): Use it.
15234 (ruby-smie-rules): Check if there's a ":" before a curly block
15235 opener candidate; if there is, it's a hash.
15237 2013-11-07 Stefan Monnier <monnier@iro.umontreal.ca>
15239 * emacs-lisp/cl-macs.el (cl-symbol-macrolet): Use macroexp-progn.
15240 (cl--block-wrapper): Fix last accidental change.
15242 2013-11-07 Michael Albinus <michael.albinus@gmx.de>
15244 * net/tramp-sh.el (tramp-set-remote-path, tramp-get-ls-command):
15245 Instrument, in order to hunt failure on hydra.
15247 2013-11-05 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
15249 * emacs-lisp/cl-macs.el (cl-symbol-macrolet): Print warning for
15250 malformed bindings form (bug#15814).
15252 2013-11-07 Dmitry Gutov <dgutov@yandex.ru>
15254 * progmodes/ruby-mode.el (ruby-smie-grammar): Lower priority of
15255 "." compared to " @ ". This incidentally fixes some indentation
15256 examples with "do".
15257 (ruby-smie--implicit-semi-p): No implicit semi after "^", "and" or "or".
15258 (ruby-smie-grammar): New tokens: "and" and "or".
15259 (ruby-smie--args-separator-p): Fix the check for tokens at POS.
15260 Exclude "and" and "or". Remove "do" in order to work around token
15262 (ruby-smie-rules): Add all infix tokens. Handle the case of
15263 beginning-of-buffer.
15265 2013-11-06 Glenn Morris <rgm@gnu.org>
15267 * Makefile.in (setwins_almost, setwins_for_subdirs):
15268 Avoid accidental matches.
15270 2013-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
15272 * menu-bar.el (popup-menu): Use key-binding.
15274 2013-11-06 Eli Zaretskii <eliz@gnu.org>
15276 * menu-bar.el (popup-menu, menu-bar-open): When displaying TTY
15277 menus, support also the menus produced by minor modes.
15280 2013-11-06 Leo Liu <sdl.web@gmail.com>
15282 * thingatpt.el (thing-at-point-looking-at): Add optional arg
15283 DISTANCE to bound the search. All uses changed. (Bug#15808)
15285 2013-11-06 Glenn Morris <rgm@gnu.org>
15287 * Makefile.in (setwins, setwins_almost, setwins_for_subdirs): Simplify.
15288 (setwins_almost, setwins_for_subdirs): Don't assume called from srcdir.
15289 (custom-deps, finder-data, autoloads, update-subdirs): No need to cd.
15291 2013-11-06 Stefan Monnier <monnier@iro.umontreal.ca>
15293 * electric.el (electric-indent-just-newline): New command.
15294 (electric-indent-mode-map): New keymap.
15295 (electric-indent-mode, electric-pair-mode, electric-layout-mode):
15296 Re-add :group which weren't redundant.
15298 * electric.el (electric-indent-local-mode): New minor mode.
15299 (electric-indent-functions-without-reindent): New var.
15300 (electric-indent-post-self-insert-function): Use it.
15301 * emacs-lisp/gv.el (buffer-local-value): Add setter.
15303 2013-11-05 Eli Zaretskii <eliz@gnu.org>
15305 * international/quail.el (quail-help): Be more explicit about the
15306 meaning of the labels shown on the keys. (Bug#15800)
15308 * startup.el (normal-top-level): Load the subdirs.el files before
15309 setting the locale environment. (Bug#15805)
15311 2013-11-05 Stefan Monnier <monnier@iro.umontreal.ca>
15313 * vc/vc-rcs.el (vc-rcs-parse): Make `gather' get e, b, and @-holes
15314 via arguments so as to get the right ones (bug#15418).
15316 * net/rcirc.el (rcirc-record-activity): Don't abuse add-to-list.
15318 2013-11-05 Michael Albinus <michael.albinus@gmx.de>
15320 Fix problems found while writing a test suite.
15322 * net/tramp-compat.el (tramp-compat-load): New defun.
15323 * net/tramp.el (tramp-handle-load): Use it.
15325 * net/tramp-sh.el (tramp-sh-handle-add-name-to-file): Handle the case
15326 "(numberp ok-if-already-exists)" correctly.
15328 2013-11-05 Xue Fuqiao <xfq.free@gmail.com>
15330 * international/characters.el (glyphless-char-display-control):
15333 2013-11-05 Bozhidar Batsov <bozhidar@batsov.com>
15335 * progmodes/python.el (python-mode):
15336 * progmodes/scheme.el (scheme-mode):
15337 * progmodes/prolog.el (prolog-mode):
15338 * progmodes/ruby-mode.el (ruby-mode):
15339 * emacs-lisp/lisp-mode.el (lisp-mode, lisp-interaction-mode)
15340 (emacs-lisp-mode): Remove incorrect and redundant text from docstring.
15342 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
15344 * rect.el (rectangle--highlight-for-redisplay):
15345 * emacs-lisp/smie.el (smie--next-indent-change):
15346 Use buffer-chars-modified-tick.
15348 * emacs-lisp/byte-run.el (defmacro, defun): Set their `indent' property.
15350 * electric.el (electric-indent-post-self-insert-function):
15351 Only delete trailing whitepsace if it is indeed trailing (bug#15767).
15353 2013-11-04 Helmut Eller <eller.helmut@gmail.com>
15355 * emacs-lisp/cl-indent.el (with-compilation-unit): Add rule (bug#15782).
15357 2013-11-04 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
15359 * emacs-lisp/cconv.el (cconv-convert): Check form of let binding
15362 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
15364 * emacs-lisp/helpers.el: Move from helpers.el. Use lexical-binding.
15366 * progmodes/python.el: Fix up last change.
15367 (python-shell--save-temp-file): New function.
15368 (python-shell-send-string): Use it. Remove `msg' arg. Don't assume
15369 `string' comes from the current buffer.
15370 (python-shell-send-string-no-output): Remove `msg' arg.
15371 (python--use-fake-loc): New var.
15372 (python-shell-buffer-substring): Obey it. Try to compensate for the
15373 extra coding line added by python-shell--save-temp-file.
15374 (python-shell-send-region): Use python-shell--save-temp-file and
15375 python-shell-send-file directly. Add `nomain' argument.
15376 (python-shell-send-buffer): Use python-shell-send-region.
15377 (python-electric-pair-string-delimiter): New function.
15378 (python-mode): Use it.
15380 2013-11-04 Eli Zaretskii <eliz@gnu.org>
15382 * startup.el (normal-top-level): Move setting eol-mnemonic-unix,
15383 eol-mnemonic-mac, eol-mnemonic-dos, and also setup of the locale
15384 environment and decoding all of the default-directory's to here
15386 (command-line): Decode also argv[0].
15388 * loadup.el: Error out if default-directory is a multibyte string
15389 when we are dumping.
15391 * Makefile.in (emacs): Don't set LC_ALL=C. (Bug#15260)
15393 2013-11-04 Teodor Zlatanov <tzz@lifelogs.com>
15395 * emacs-lisp/package.el (package-menu-mode)
15396 (package-menu--print-info, package-menu--archive-predicate):
15397 Add Archive column to package list.
15399 2013-11-04 Michael Albinus <michael.albinus@gmx.de>
15401 Fix problems found while writing a test suite.
15403 * net/tramp.el (tramp-file-name-regexp-unified): Simplify.
15404 (tramp-file-name-for-operation): Use `tramp-tramp-file-p'.
15405 (tramp-handle-substitute-in-file-name): Let-bind `process-environment'
15406 to nil when running original file name handler. Otherwise,
15407 there are problems with constructs like "$$FOO".
15409 * net/tramp-sh.el (tramp-do-copy-or-rename-file): Use correct prefix
15412 2013-11-04 Bozhidar Batsov <bozhidar@batsov.com>
15414 * progmodes/ruby-mode.el (ruby-mode): Clean up docstring.
15416 * subr.el (version<, version<=, version=):
15417 Update docstrings with information for snapshot versions.
15419 * helpers.el: New library for misc helper functions.
15420 (hash-table-keys): New function returning a list of hash keys.
15421 (hash-table-values): New function returning a list of hash values.
15423 2013-11-04 Dmitry Gutov <dgutov@yandex.ru>
15425 * progmodes/ruby-mode.el (ruby-smie--forward-token)
15426 (ruby-smie--backward-token): Tokenize heredocs as semicolons.
15428 2013-11-04 Michal Nazarewicz <mina86@mina86.com>
15430 * textmodes/fill.el (fill-single-char-nobreak-p): New function
15431 checking whether point is after a 1-letter word.
15433 2013-11-04 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
15435 * progmodes/cperl-mode.el (cperl-font-lock-fontify-region-function):
15436 Don't infloop when expanding region over `multiline' syntax-type that
15437 begins a line (bug#15778).
15439 2013-11-04 Stefan Monnier <monnier@iro.umontreal.ca>
15441 * rect.el (rectangle-mark-mode): Rename from rectangle-mark.
15442 Make it into a proper minor mode.
15443 (rectangle--region): (Implicitly) rename to rectangle-mark-mode.
15444 (rectangle-mark-mode-map): New keymap.
15445 (rectangle--highlight-for-redisplay): Fix some corner cases (bug#15796).
15447 2013-11-04 Glenn Morris <rgm@gnu.org>
15449 * startup.el (command-line-1): Allow `-L :...' to append to load-path.
15451 2013-11-03 Stefan Monnier <monnier@iro.umontreal.ca>
15453 * progmodes/ruby-mode.el (ruby-smie--rule-parent-skip-assign): Remove.
15454 (ruby-smie-rules): Use smie-rule-parent instead.
15456 * emacs-lisp/smie.el (smie-rule-parent): Always call
15457 smie-indent-virtual rather than only for hanging tokens.
15458 (smie--next-indent-change): New helper command.
15460 2013-11-03 Glenn Morris <rgm@gnu.org>
15462 * Makefile.in (abs_srcdir): Remove.
15463 (emacs): Unset EMACSLOADPATH.
15465 2013-11-02 Glenn Morris <rgm@gnu.org>
15467 * Makefile.in (EMACS): Use a relative filename.
15468 (abs_top_builddir): Remove.
15469 (custom-deps, finder-data, autoloads): Use --chdir.
15471 * Makefile.in (abs_lisp): Remove, replace by abs_srcdir.
15473 Use relative filenames in TAGS files.
15474 * Makefile.in (lisptagsfiles1, lisptagsfiles2, lisptagsfiles3)
15475 (lisptagsfiles4, TAGS): Use relative file names.
15476 (TAGS-LISP): Remove.
15477 (maintainer-clean): No more TAGS-LISP file.
15479 * Makefile.in (lisptagsfiles1, lisptagsfiles2, lisptagsfiles3)
15480 (lisptagsfiles4): Use absolute filenames again.
15481 (TAGS, TAGS-LISP): Not everything needs to run in one line.
15482 Remove all *loaddefs files, not just the first. Remove esh-groups.
15483 (maintainer-clean): Delete TAGS, TAGS-LISP.
15485 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
15487 * emacs-lisp/package.el (package-version-join):
15488 Recognize snapshot versions.
15490 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
15492 * subr.el (version-regexp-alist): Add support for snapshot versions.
15494 2013-11-02 Dmitry Gutov <dgutov@yandex.ru>
15496 * progmodes/ruby-mode.el (ruby-smie--rule-parent-skip-assign):
15497 New function, replacement for `smie-rule-parent' for when we want to
15498 skip over our direct parent if it's an assignment token..
15499 (ruby-smie-rules): Use it.
15501 2013-11-02 Dmitry Gutov <dgutov@yandex.ru>
15503 * progmodes/ruby-mode.el: Use `syntax-propertize-function'
15504 unconditionally. Remove now unnecessary forward declarations.
15505 Remove XEmacs-specific setup.
15506 (ruby-here-doc-end-re, ruby-here-doc-beg-match)
15507 (ruby-font-lock-syntactic-keywords)
15508 (ruby-comment-beg-syntax, ruby-in-here-doc-p)
15509 (ruby-here-doc-find-end, ruby-here-doc-beg-syntax)
15510 (ruby-here-doc-end-syntax): Remove.
15511 (ruby-mode): Don't check whether `syntax-propertize-rules' is
15512 defined as function.
15514 2013-11-02 Bozhidar Batsov <bozhidar@batsov.com>
15516 * progmodes/ruby-mode.el (ruby-mode-variables, ruby-mode): Use `setq-local'.
15518 2013-11-01 Bozhidar Batsov <bozhidar@batsov.com>
15520 * progmodes/ruby-mode.el (ruby-mode-variables): Don't set syntax
15521 table and abbrev table, `define-derived-mode' does that for us
15524 2013-11-01 Glenn Morris <rgm@gnu.org>
15526 * Makefile.in: Remove manual mh-e dependencies (writing .elc
15527 files is atomic for some time, so no parallel compilation issues).
15529 2013-11-01 Jan Djärv <jan.h.d@swipnet.se>
15531 * faces.el (face-x-resources): Add :distant-foreground.
15532 (region): Use :distant-foreground for gtk and ns.
15534 2013-11-01 Tassilo Horn <tsdh@gnu.org>
15536 Allow multiple bibliographies when BibLaTeX is used rather than
15538 * textmodes/reftex-parse.el (reftex-using-biblatex-p): New function.
15539 (reftex-locate-bibliography-files): Us it.
15541 2013-11-01 Claudio Bley <claudio.bley@googlemail.com>
15543 * image.el (image-type-header-regexps): Fix the 'pbm' part to
15544 allow comments in pbm files.
15546 * term/w32-win.el (dynamic-library-alist): Support newer versions
15547 of libjpeg starting with v7: look only for the DLL from the
15548 version against which Emacs was built.
15549 Support versions of libpng beyond 1.4.x.
15550 Support libtiff v4.x.
15552 2013-11-01 Bozhidar Batsov <bozhidar@batsov.com>
15554 * progmodes/ruby-mode.el (ruby-indent-tabs-mode)
15555 (ruby-indent-level, ruby-comment-column, ruby-deep-arglist):
15556 Add property :safe.
15557 (ruby-deep-arglist): Add property :type.
15559 2013-10-31 Glenn Morris <rgm@gnu.org>
15561 * Makefile.in (custom-deps, finder-data): No need to setq the target
15562 variables, we are in the right directory and the defaults work fine.
15564 2013-10-30 Glenn Morris <rgm@gnu.org>
15566 * Makefile.in (autoloads): Do not use abs_lisp.
15568 * emacs-lisp/autoload.el (autoload-generate-file-autoloads):
15569 `newline' does not respect `standard-output', so use `princ'.
15571 2013-10-30 Alp Aker <alp.tekin.aker@gmail.com>
15573 Ensure unmarking in buffer menu clears 'S' marks. (Bug#15761)
15574 * buff-menu.el (Buffer-menu--unmark): New function.
15575 (Buffer-menu-unmark, Buffer-menu-backup-unmark): Use it.
15577 2013-10-30 Glenn Morris <rgm@gnu.org>
15579 * Makefile.in (AUTOGENEL): Add org/org-loaddefs.el.
15581 * emacs-lisp/package.el (lm-homepage): Declare.
15583 * eshell/em-ls.el (eshell-ls-directory, eshell-ls-symlink):
15586 * vc/pcvs.el (cvs-status-cvstrees): Autoload to silence compiler.
15588 * Makefile.in (finder-data, autoloads, update-subdirs)
15589 (compile-main, compile-clean, compile-always, bootstrap-clean):
15590 Check return value of cd.
15591 (compile-calc): Remove.
15593 2013-10-30 Stefan Monnier <monnier@iro.umontreal.ca>
15595 * simple.el (copy-region-as-kill): Fix call to region-extract-function.
15597 * emacs-lisp/bytecomp.el (byte-defop-compiler): Add new `2-and' handler.
15598 (byte-compile-and-folded): New function.
15599 (=, <, >, <=, >=): Use it.
15601 * dos-w32.el (minibuffer-history-case-insensitive-variables)
15602 (path-separator, null-device, buffer-file-coding-system)
15603 (lpr-headers-switches): Check system-type before modifying them.
15604 (find-buffer-file-type-coding-system): Mark obsolete.
15605 (w32-find-file-not-found-set-buffer-file-coding-system): Rename from
15606 find-file-not-found-set-buffer-file-coding-system.
15607 (w32-untranslated-filesystem-list, w32-untranslated-canonical-name)
15608 (w32-add-untranslated-filesystem, w32-remove-untranslated-filesystem)
15609 (w32-direct-print-region-use-command-dot-com, w32-untranslated-file-p)
15610 (w32-direct-print-region-helper, w32-direct-print-region-function)
15611 (w32-direct-ps-print-region-function): Rename by adding a "w32-" prefix.
15612 * startup.el (normal-top-level-add-subdirs-to-load-path):
15613 * ps-print.el (ps-print-region-function):
15614 * lpr.el (print-region-function): Use new name.
15616 * subr.el (custom-declare-variable-early): Remove function.
15617 (custom-declare-variable-list): Remove var.
15618 (error, user-error): Remove `while' loop.
15619 (read-quoted-char-radix, read-quoted-char): Move to simple.el.
15620 (user-emacs-directory-warning, locate-user-emacs-file):
15622 * simple.el (read-quoted-char-radix, read-quoted-char):
15623 * files.el (user-emacs-directory-warning, locate-user-emacs-file):
15625 * custom.el (custom-declare-variable-list): Don't process
15626 custom-declare-variable-list.
15628 * progmodes/python.el (python-shell-get-buffer): New function.
15629 (python-shell-get-process): Use it.
15630 (python-shell-send-string): Always use utf-8 and add a cookie to tell
15631 Python which encoding was used. Don't split-string since we only care
15632 about the first line. Return the temp-file, if applicable.
15633 (python-shell-send-region): Tell compile.el how to turn locations in
15634 the temp-file into locations in the source buffer.
15636 2013-10-29 Stefan Monnier <monnier@iro.umontreal.ca>
15638 * subr.el (undefined): Add missing behavior from the C code for
15641 * rect.el: Use lexical-binding. Add new rectangular region support.
15642 (rectangle-mark): New command.
15643 (rectangle--region): New var.
15644 (deactivate-mark-hook): Reset rectangle--region.
15645 (rectangle--extract-region, rectangle--insert-for-yank)
15646 (rectangle--highlight-for-redisplay)
15647 (rectangle--unhighlight-for-redisplay): New functions.
15648 (region-extract-function, redisplay-unhighlight-region-function)
15649 (redisplay-highlight-region-function): Use them to handle
15650 rectangular region.
15651 * simple.el (region-extract-function): New var.
15652 (delete-backward-char, delete-forward-char, deactivate-mark): Use it.
15653 (kill-new, kill-append): Remove obsolete `yank-handler' argument.
15654 (kill-region): Replace obsolete `yank-handler' arg with `region'.
15655 (copy-region-as-kill, kill-ring-save): Add `region' argument.
15656 (redisplay-unhighlight-region-function)
15657 (redisplay-highlight-region-function): New vars.
15658 (redisplay--update-region-highlight): New function.
15659 (pre-redisplay-function): Use it.
15660 (exchange-point-and-mark): Don't deactivate the mark before
15661 reactivate-it anyway.
15662 * comint.el (comint-kill-region): Remove yank-handler argument.
15663 * delsel.el (delete-backward-char, backward-delete-char-untabify)
15664 (delete-char): Remove property, since it's now part of their
15666 (self-insert-iso): Remove property since this command doesn't exist.
15668 * emacs-lisp/package.el (package--download-one-archive)
15669 (describe-package-1): Don't query the user about final newline.
15671 2013-10-29 Daniel Colascione <dancol@dancol.org>
15673 * net/tramp.el (tramp-methods): Document new functionality.
15674 * net/tramp-sh.el (tramp-compute-multi-hops): Punt to
15675 tramp-hostname-checker if method provides one instead of scanning
15676 argument list for "%h" to decide hostname acceptability.
15678 2013-10-28 Michael Albinus <michael.albinus@gmx.de>
15680 * net/tramp-sh.el (tramp-sh-handle-copy-directory):
15681 * net/tramp-smb.el (tramp-smb-handle-copy-directory):
15682 Handle COPY-CONTENTS. (Bug#15737)
15684 2013-10-28 Daiki Ueno <ueno@gnu.org>
15686 * epa-file.el (epa-file-cache-passphrase-for-symmetric-encryption):
15687 Document that this option has no effect with GnuPG 2.0 (bug#15552).
15689 2013-10-27 Xue Fuqiao <xfq.free@gmail.com>
15691 * image.el (defimage, image-load-path): Doc fixes.
15693 2013-10-27 Alan Mackenzie <acm@muc.de>
15695 Indent statements in macros following "##" correctly.
15696 * progmodes/cc-engine.el (c-crosses-statement-barrier-p):
15697 Modify the "#" arm of a cond form to handle "#" and "##" operators.
15699 2013-10-27 Nathan Trapuzzano <nbtrap@nbtrap.com> (tiny change)
15701 * linum.el (linum-update-window): Fix boundary test (bug#13446).
15703 2013-10-27 Dmitry Gutov <dgutov@yandex.ru>
15705 * progmodes/ruby-mode.el (ruby-smie--bosp): Anything that goes
15706 after `=' is probably a new expression.
15708 2013-10-27 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
15710 * man.el (man-imenu-title): New option.
15711 (Man-mode-map): Add menu. (Bug#15722)
15712 (Man-mode): Add imenu to menu.
15714 2013-10-26 Dmitry Gutov <dgutov@yandex.ru>
15716 * progmodes/ruby-mode.el (ruby-smie--args-separator-p): Be more
15717 specific in what the first arg can be: a non-keyword word,
15718 string/regexp/percent literal opener, opening paren, or unary
15719 operator followed directly by word.
15721 2013-10-25 Stefan Monnier <monnier@iro.umontreal.ca>
15723 * progmodes/prolog.el: Remove old indent; use post-self-insert-hook.
15724 (prolog-align-comments-flag, prolog-indent-mline-comments-flag)
15725 (prolog-object-end-to-0-flag, prolog-electric-newline-flag)
15726 (prolog-electric-tab-flag, prolog-use-prolog-tokenizer-flag):
15727 Remove vars, they do not apply any more.
15728 (prolog-mode-abbrev-table): Remove redundant declaration.
15729 (prolog-upper-case-string, prolog-lower-case-string): Remove.
15730 (prolog-use-smie): Remove.
15731 (prolog-smie-rules): Add indentation rule for the if-then-else layout
15732 supported by prolog-electric-if-then-else-flag.
15733 (prolog-mode-variables, prolog-menu): Use setq-local.
15734 (prolog-mode-keybindings-edit): Don't rebind M-C-p and M-C-n.
15735 Remove binding to `Backspace' since this key doesn't exist anyway.
15736 Remove bindings for electric self-inserting keys.
15737 (prog-mode): Assume it's defined.
15738 (prolog-post-self-insert): New function.
15739 (prolog-mode): Use it.
15740 (prolog-indent-line, prolog-indent-level)
15741 (prolog-find-indent-of-matching-paren)
15742 (prolog-indentation-level-of-line, prolog-goto-comment-column)
15743 (prolog-paren-is-the-first-on-line-p, prolog-region-paren-balance)
15744 (prolog-goto-next-paren, prolog-in-string-or-comment)
15745 (prolog-tokenize, prolog-inside-mline-comment)
15746 (prolog-find-start-of-mline-comment): Remove functions.
15747 (prolog-find-unmatched-paren, prolog-clause-end)
15748 (prolog-guess-fill-prefix, prolog-get-predspec): Use syntax-ppss.
15749 (prolog-electric--if-then-else): Rename from
15750 prolog-insert-spaces-after-paren; use prolog-electric-if-then-else-flag.
15751 (prolog-tokenize-searchkey): Remove const.
15752 (prolog-clause-info): Use forward-sexp.
15753 (prolog-forward-list, prolog-backward-list, prolog-electric-delete)
15754 (prolog-electric-if-then-else): Remove commands.
15755 (prolog-electric--colon): Rename from prolog-electric-colon; adapt it
15756 for use in post-self-insert-hook.
15757 (prolog-electric--dash): Rename from prolog-electric-dash; adapt it
15758 for use in post-self-insert-hook.
15759 (prolog-electric--dot): Rename from prolog-electric-dot; adapt it
15760 for use in post-self-insert-hook.
15761 (prolog-electric--underscore): Rename from prolog-electric--underscore;
15762 adapt it for use in post-self-insert-hook.
15764 2013-10-25 Michael Albinus <michael.albinus@gmx.de>
15766 * emacs-lisp/ert.el (ert-run-tests-interactively):
15767 Use `completing-read'. (Bug#9756)
15769 2013-10-25 Eli Zaretskii <eliz@gnu.org>
15771 * simple.el (line-move): Call line-move-1 instead of
15772 line-move-visual when the current window hscroll is zero, but
15773 temporary-goal-column indicates we will need to hscroll as result
15774 of the movement. (Bug#15712)
15776 2013-10-25 Dmitry Gutov <dgutov@yandex.ru>
15778 * progmodes/ruby-mode.el (ruby-mode-menu): Use proper
15779 capitalization. Use :visible instead of :active.
15780 Fix `ruby-indent-exp' reference. Add menu items for the generic
15781 commands that are used with SMIE.
15782 (ruby-do-end-to-brace): Insert space after `{'.
15784 2013-10-25 John Anthony <john@jo.hnanthony.com>
15786 * progmodes/ruby-mode.el (ruby-mode-menu): Add a menu. (Bug#15600)
15788 * progmodes/inf-lisp.el (inferior-lisp-menu): Add a menu. (Bug#15599)
15790 2013-10-25 Glenn Morris <rgm@gnu.org>
15792 * vc/vc.el (vc-print-log): Don't use a working revision unless
15793 one was explicitly specified. (Bug#15322)
15795 2013-10-25 Stefan Monnier <monnier@iro.umontreal.ca>
15797 * subr.el (add-to-list): Preserve return value in compiler-macro
15800 2013-10-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
15802 * progmodes/octave.el (octave-lookfor): Handle empty lookfor
15803 result. Ask user to retry using '-all' flag. (Bug#15701)
15805 2013-10-24 Stefan Monnier <monnier@iro.umontreal.ca>
15807 * emacs-lisp/smie.el: New smie-config system.
15808 (smie-config): New defcustom.
15809 (smie-edebug, smie-config-show-indent, smie-config-set-indent)
15810 (smie-config-guess, smie-config-save): New commands.
15811 (smie-config--mode-local, smie-config--buffer-local)
15812 (smie-config--trace, smie-config--modefuns): New vars.
15813 (smie-config--advice, smie-config--mode-hook)
15814 (smie-config--setter, smie-config-local, smie-config--get-trace)
15815 (smie-config--guess-value, smie-config--guess): New functions.
15816 (smie-indent-forward-token, smie-indent-backward-token): Don't copy
15817 text properties. Treat "string fence" syntax like string syntax.
15819 * progmodes/sh-script.el (sh-use-smie): Change default.
15820 (sh-smie-sh-rules, sh-smie-rc-rules): Obey legacy sh-indent-* vars.
15821 (sh-var-value): Simplify by CSE.
15822 (sh-show-indent, sh-set-indent, sh-learn-line-indent)
15823 (sh-learn-buffer-indent): Redirect to their SMIE equivalent when SMIE
15825 (sh-guess-basic-offset): Use cl-incf.
15826 (sh-guess-basic-offset): Use push+nreverse to avoid O(n^2).
15828 2013-10-24 Helmut Eller <eller.helmut@gmail.com>
15830 * emacs-lisp/lisp-mode.el (lisp-cl-font-lock-keywords-2): Fix cut&paste
15833 2013-10-24 Glenn Morris <rgm@gnu.org>
15835 * Makefile.in (abs_top_srcdir): Remove.
15836 (update-subdirs): Use relative path to update-subdirs.
15838 2013-10-24 Eli Zaretskii <eliz@gnu.org>
15840 * Makefile.in ($(MH_E_DIR)/mh-loaddefs.el)
15841 ($(TRAMP_DIR)/tramp-loaddefs.el, $(CAL_DIR)/cal-loaddefs.el)
15842 ($(CAL_DIR)/diary-loaddefs.el, $(CAL_DIR)/hol-loaddefs.el):
15843 Call unmsys--file-name before expand-file-name, not after it.
15845 2013-10-24 Michael Albinus <michael.albinus@gmx.de>
15847 * emacs-lisp/ert.el (ert-deftest): Bind macro `skip-unless'.
15848 (ert-test-skipped): New error.
15849 (ert-skip, ert-stats-skipped): New defuns.
15850 (ert--skip-unless): New macro.
15851 (ert-test-skipped): New struct.
15852 (ert--run-test-debugger, ert-test-result-type-p)
15853 (ert-test-result-expected-p, ert--stats, ert-stats-completed)
15854 (ert--stats-set-test-and-result, ert-char-for-test-result)
15855 (ert-string-for-test-result, ert-run-tests-batch)
15856 (ert--results-update-ewoc-hf, ert-run-tests-interactively):
15857 Handle skipped tests. (Bug#9803)
15859 2013-10-24 Glenn Morris <rgm@gnu.org>
15861 * Makefile.in (check-declare): Remove unnecessary path in -l argument.
15863 * Makefile.in (abs_top_srcdir): New, set by configure.
15864 (update-subdirs): Correct build-aux location.
15866 2013-10-24 Dmitry Gutov <dgutov@yandex.ru>
15868 * vc/vc.el (vc-print-root-log): Always set `default-directory'
15869 value, whether we could auto-deduce `backend', or not.
15871 * progmodes/ruby-mode.el (ruby-smie-rules): Fix the "curly block
15872 with parameters" example. Simplify the "is it block or is it
15873 hash" check, but also make it more thorough.
15875 2013-10-23 Masashi Fujimoto <masfj.dev@gmail.com> (tiny change)
15877 * battery.el (battery-pmset): Handle OS X Mavericks. (Bug#15694)
15879 2013-10-23 Stefan Monnier <monnier@iro.umontreal.ca>
15881 * progmodes/ruby-mode.el (ruby-smie-rules): Only align with parent of
15882 { if it is hanging.
15884 * progmodes/ruby-mode.el (ruby-smie-rules): Don't return 0 for
15887 2013-10-23 Jed Brown <jed@59A2.org> (tiny change)
15889 * progmodes/compile.el (compilation-directory-matcher)
15890 (compilation-page-delimiter):
15891 Support GNU Make-4.0 directory quoting. (Bug#15678)
15893 2013-10-23 Leo Liu <sdl.web@gmail.com>
15895 * ido.el (ido-tidy): Handle read-only text.
15897 2013-10-23 Glenn Morris <rgm@gnu.org>
15899 * Makefile.in (abs_srcdir, abs_lisp): New, set by configure.
15900 (emacs, compile, compile-always):
15901 Quote entities that might contain whitespace.
15902 (custom-deps, finder-data, autoloads): Use abs_lisp.
15903 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
15904 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
15905 ($(CAL_DIR)/hol-loaddefs.el): Manually expand target file name.
15907 2013-10-23 Dmitry Gutov <dgutov@yandex.ru>
15909 * progmodes/ruby-mode.el (ruby-smie--at-dot-call):
15910 Use `following-char'.
15912 2013-10-22 Stefan Monnier <monnier@iro.umontreal.ca>
15914 * emacs-lisp/smie.el (smie-rule-parent): Fix opener-test.
15915 * progmodes/ruby-mode.el (ruby-smie-rules):
15916 Remove corresponding workaround. Fix indentation rule of ";" so it
15917 also applies when ";" is the parent.
15919 2013-10-22 Xue Fuqiao <xfq.free@gmail.com>
15921 * frame.el (display-screens, display-pixel-height)
15922 (display-pixel-width, display-mm-width, display-backing-store)
15923 (display-save-under, display-planes, display-color-cells)
15924 (display-visual-class, display-monitor-attributes-list):
15925 Mention the optional ‘display’ argument in doc strings.
15927 2013-10-22 Michael Gauland <mikelygee@amuri.net>
15929 * progmodes/ebnf2ps.el (ebnf-prologue): Avoid PS error with some
15930 viewers such as evince when ebnf-production-name-p is nil. (Bug#15625)
15932 2013-10-21 Dmitry Gutov <dgutov@yandex.ru>
15934 * progmodes/ruby-mode.el (ruby-smie-grammar): Remove outdated
15935 TODO. Add "." after " @ ".
15936 (ruby-smie--at-dot-call): New function. Checks if point at method
15937 call with explicit target.
15938 (ruby-smie--forward-token, ruby-smie--backward-token): Prepend "."
15939 to the method name tokens when it precedes them.
15940 (ruby-smie--backward-id, ruby-smie--forward-id): Remove.
15941 (ruby-smie-rules): Add rule for indentation before and after "."
15944 2013-10-21 Stefan Monnier <monnier@iro.umontreal.ca>
15946 * textmodes/remember.el (remember-diary-extract-entries):
15949 * progmodes/ruby-mode.el (ruby-smie-rules): Indent after + used as
15952 2013-10-21 Dmitry Gutov <dgutov@yandex.ru>
15954 * progmodes/ruby-mode.el (ruby-smie-grammar):
15955 Add (almost) all infix operators.
15956 (ruby-smie--implicit-semi-p): Add new operator chars.
15958 * progmodes/ruby-mode.el (ruby-mode-map): Add binding for
15960 (ruby-smie--args-separator-p): Check that there's no newline
15961 between method call and its arguments.
15963 2013-10-20 Alan Mackenzie <acm@muc.de>
15965 Allow comma separated lists after Java "implements".
15967 * progmodes/cc-engine.el (c-backward-over-enum-header):
15969 * progmodes/cc-fonts.el (c-basic-matchers-after): Remove comma
15970 from a "disallowed" list in enum fontification.
15972 2013-10-20 Johan Bockgård <bojohan@gnu.org>
15974 * startup.el (default-frame-background-mode): Remove unused defvar.
15976 * progmodes/verilog-mode.el (verilog-mode): Don't set
15977 comment-indent-function globally.
15979 2013-10-20 Jan Djärv <jan.h.d@swipnet.se>
15981 * menu-bar.el: Put help-menu in menu-bar-final-items unconditionally.
15982 Move Info menu item creation to ns-win.el.
15984 * term/ns-win.el (ns-initialize-window-system): Rename Help to Info
15987 * menu-bar.el: Move GNUstep specific menus...
15989 * term/ns-win.el (ns-initialize-window-system): ... to here.
15991 2013-10-19 Stefan Monnier <monnier@iro.umontreal.ca>
15993 * simple.el (newline): Only run post-self-insert-hook when
15994 called interactively.
15996 2013-10-19 Johan Bockgård <bojohan@gnu.org>
15998 * icomplete.el (icomplete-with-completion-tables): Add :version.
16000 2013-10-19 Alan Mackenzie <acm@muc.de>
16002 Fix fontification bugs with constructors and const.
16004 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): (Just after
16005 CASE 2) Remove the check for the absence of a suffix construct
16006 after a function declaration with only types (no identifiers) in
16007 the parentheses. Also, accept a function declaration with just a
16008 type inside the parentheses, if this type can be positively
16009 recognised as such, or if a prefix keyword like "explicit" nails
16010 down the construct as a declaration.
16012 2013-10-19 Eli Zaretskii <eliz@gnu.org>
16014 * menu-bar.el (tty-menu-navigation-map): Bind mouse-N to perform
16015 TTY menu actions and down-mouse-N to tty-menu-ignore. This solves
16016 the problem whereby selecting a menu item that leads to a
16017 minibuffer prompt moves the cursor out of the minibuffer window,
16018 making it hard to type at the prompt. Suggested by Stefan Monnier
16019 <monnier@iro.umontreal.ca>.
16021 2013-10-19 Jan Djärv <jan.h.d@swipnet.se>
16023 * menu-bar.el: Don't make Services menu.
16025 2013-10-19 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
16027 * ffap.el: Handle "/usr/include/c++/<version>" directories.
16028 (ffap-alist): Use ffap-c++-mode for c++-mode.
16029 (ffap-c++-path): New variable.
16030 (ffap-c++-mode): New function.
16032 2013-10-19 Joe Vornehm Jr. <joe.vornehm@gmail.com> (tiny change)
16034 * ido.el (dired-other-frame): Only list directories. (Bug#15638)
16036 2013-10-18 Michael Albinus <michael.albinus@gmx.de>
16038 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Fix an error
16039 introduced on 2013-09-08, which results in an infinite loop
16040 requesting a password.
16042 2013-10-18 Glenn Morris <rgm@gnu.org>
16044 * progmodes/verilog-mode.el (verilog-case-fold): Add :version.
16046 2013-10-18 Wilson Snyder <wsnyder@wsnyder.org>
16048 Sync with upstream verilog-mode revision 1a6ecec7.
16049 * progmodes/verilog-mode.el (verilog-mode-version): Update.
16050 (verilog-mode-release-date): Remove.
16051 (verilog-highlight-grouping-keywords, verilog-active-low-regexp)
16052 (verilog-auto-inst-param-value, verilog-auto-input-ignore-regexp)
16053 (verilog-auto-inout-ignore-regexp, verilog-auto-output-ignore-regexp)
16054 (verilog-auto-tieoff-ignore-regexp)
16055 (verilog-auto-unused-ignore-regexp, verilog-typedef-regexp)
16056 (verilog-scan-cache-preserving, verilog-mode, verilog-at-struct-p)
16057 (verilog-signals-with, verilog-dir-cache-preserving)
16058 (verilog-auto-inst, verilog-auto-inout-param, verilog-auto):
16060 (verilog-case-fold): New option, to control case folding in
16061 regexp searches, bug597.
16062 (verilog-menu): Add verilog-sk-uvm-component, minor tweaks.
16063 (verilog-string-match-fold, verilog-in-paren-count)
16064 (verilog-in-struct-nested-p, verilog-at-struct-mv-p)
16065 (verilog-at-close-struct-p): New functions.
16066 (verilog-beg-block-re-ordered, verilog-extended-case-re)
16067 (verilog-forward-sexp, verilog-set-auto-endcomments)
16068 (verilog-leap-to-case-head): Handle "unique0" case.
16069 (verilog-in-constraint-re): New constant.
16070 (verilog-keywords, verilog-type-font-keywords):
16071 Add some SystemVerilog 1800-2012 keywords.
16072 (verilog-label-be): Remove unimplemented argument, bug669.
16073 (verilog-batch-execute-func): When batch expanding clear
16074 create-lockfiles to prevent spurious user locks when a file ends
16076 (verilog-calculate-indent, verilog-calc-1)
16077 (verilog-at-close-constraint-p, verilog-at-constraint-p)
16078 (verilog-do-indent): Fix indentation of nested constraints
16080 (verilog-sig-tieoff, verilog-typedef-name-p, verilog-auto-inst)
16081 (verilog-auto-inst-param): Use verilog-string-match-fold.
16082 (verilog-read-inst-module-matcher):
16083 Fix AUTOINST on gate primitives with #1.
16084 (verilog-read-decls): Fix double-declaring user-defined typed signals.
16085 Reads all user-defined typed variables.
16086 (verilog-read-defines): Fix reading definitions inside comments, bug647.
16087 (verilog-signals-matching-regexp)
16088 (verilog-signals-not-matching-regexp, verilog-auto):
16089 Respect verilog-case-fold.
16090 (verilog-diff-report): Fix line count.
16091 (verilog-auto-assign-modport): Remove unused local `modi'.
16092 (verilog-auto-inst-port): Support [][] in AUTO_TEMPLATE to
16093 better handle multidimensional arrays.
16094 Fix packed array ports misadding bit index in AUTOINST, bug637.
16095 (verilog-auto-output, verilog-auto-input): Fix AUTOINPUT and AUTOOUTPUT
16096 to not double-declare existing outputs and inputs, respectively.
16097 (verilog-template-map): Bind U to verilog-sk-uvm-component.
16098 (verilog-sk-uvm-object): Rename from verilog-sk-uvm-class.
16099 (verilog-sk-uvm-component): New skeleton.
16100 (verilog-submit-bug-report): Add verilog-case-fold,
16101 remove verilog-mode-release-date.
16103 2013-10-17 Barry O'Reilly <gundaetiapo@gmail.com>
16105 * subr.el (sit-for): Call (input-pending-p t) so as to behave
16108 2013-10-18 Reuben Thomas <rrt@sc3d.org>
16110 * textmodes/remember.el (remember): Set buffer-offer-save in
16111 remember buffers (bug#13566).
16113 2013-10-18 Daniel Colascione <dancol@dancol.org>
16115 When evaluating forms in ielm, direct standard output to ielm
16116 buffer. Add new ielm-return-for-effect command. Remove trailing
16117 whitespace throughout.
16119 * ielm.el (ielm-map): Bind M-RET to ielm-return-for-effect.
16120 (ielm-return-for-effect): New command.
16121 (ielm-send-input): Accept optional `for-effect' parameter.
16122 (ielm-eval-input): Accept optional `for-effect' parameter.
16123 Bind `standard-output' to stream we create using
16124 `ielm-standard-output-impl'. Suppress printing result when
16126 (ielm-standard-output-impl): New function.
16127 (inferior-emacs-lisp-mode): Explain new features in documentation.
16129 2013-10-17 Michael Albinus <michael.albinus@gmx.de>
16133 * net/tramp.el (tramp-debug-message): Do not check for connection
16135 (tramp-message): Use "vector" connection property.
16137 * net/tramp.el (tramp-rfn-eshadow-update-overlay)
16138 (tramp-equal-remote, tramp-eshell-directory-change)
16139 * net/tramp-adb.el (tramp-adb-handle-copy-file)
16140 (tramp-adb-handle-rename-file)
16141 * net/tramp-cmds.el (tramp-list-remote-buffers)
16142 (tramp-cleanup-connection, tramp-cleanup-this-connection)
16143 * net/tramp-compat.el (tramp-compat-process-running-p)
16144 * net/tramp-ftp.el (tramp-ftp-file-name-handler)
16145 * net/tramp-gvfs.el (tramp-gvfs-handle-copy-file)
16146 (tramp-gvfs-handle-rename-file)
16147 * net/tramp-sh.el (tramp-sh-handle-set-file-times)
16148 (tramp-set-file-uid-gid)
16149 * net/tramp-smb.el (tramp-smb-handle-copy-file)
16150 (tramp-smb-handle-rename-file): Use `tramp-tramp-file-p' instead
16151 of `file-remote-p'.
16153 * net/tramp.el (tramp-connectable-p, tramp-handle-file-remote-p)
16154 * net/tramp-gw.el (tramp-gw-gw-proc-sentinel)
16155 (tramp-gw-aux-proc-sentinel, tramp-gw-process-filter)
16156 (tramp-gw-open-network-stream): Suppress unrelated traces.
16158 * net/tramp-adb.el (tramp-adb-maybe-open-connection)
16159 * net/tramp-gvfs.el (tramp-gvfs-handle-file-notify-add-watch)
16160 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band)
16161 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Set "vector"
16162 connection property.
16164 * net/tramp-cache.el (top): Suppress traces when reading
16167 * net/tramp-sh.el (tramp-sh-handle-file-notify-add-watch):
16168 Refactor common code. Improve debug message.
16169 (tramp-maybe-open-connection)
16170 * net/tramp-smb.el (tramp-smb-call-winexe): Do not request
16171 connection buffer too early.
16173 * net/tramp-smb.el (tramp-smb-actions-get-acl): New defconst, renamed
16174 from `tramp-smb-actions-with-acl'.
16175 (tramp-smb-actions-set-acl): New defconst.
16176 (tramp-smb-handle-copy-directory)
16177 (tramp-smb-action-get-acl): New defun, renamed from
16178 `tramp-smb-action-with-acl'.
16179 (tramp-smb-action-set-acl): New defun.
16180 (tramp-smb-handle-set-file-acl): Rewrite.
16182 2013-10-17 Glenn Morris <rgm@gnu.org>
16184 * indent.el (indent-rigidly): Fix 2013-10-08 change. (Bug#15635)
16186 2013-10-17 Stefan Monnier <monnier@iro.umontreal.ca>
16188 * skeleton.el (skeleton-newline): Remove.
16189 (skeleton-internal-1): Use (insert "\n") instead.
16191 * emacs-lisp/lisp.el (lisp-completion-at-point): Complete var names for
16194 * progmodes/sh-script.el (sh-find-prev-matching): Disable SMIE's
16195 forward-sexp-function while we redo its job (bug#15613).
16197 2013-10-17 Jay Belanger <jay.p.belanger@gmail.com>
16199 * calc/calc-comb.el (math-prime-test): Don't assume large integers are
16200 represented by lists.
16202 2013-10-16 Glenn Morris <rgm@gnu.org>
16204 * tmm.el (tmm--history): New dynamic variable.
16205 (tmm-prompt): Use tmm--history in place of `history'. (Bug#15623)
16207 2013-10-16 Michael Albinus <michael.albinus@gmx.de>
16209 * net/tramp-smb.el (tramp-smb-acl-program): New customer option.
16210 (tramp-smb-errors): Add error messages.
16211 (tramp-smb-actions-with-acl): New defconst.
16212 (tramp-smb-file-name-handler-alist) <set-file-acl>: Add handler.
16213 (tramp-smb-action-with-acl, tramp-smb-handle-set-file-acl): New defuns.
16214 (tramp-smb-handle-file-acl): Rewrite, using "smbcacls".
16215 (tramp-smb-handle-file-attributes): Simplify test for "stat" capability.
16216 (tramp-smb-get-stat-capability): Fix tests.
16218 2013-10-16 Dima Kogan <dima@secretsauce.net> (tiny change)
16220 * progmodes/subword.el (subword-capitalize): Fix Stefan's mess
16223 2013-10-16 Glenn Morris <rgm@gnu.org>
16225 * ansi-color.el (ansi-color-drop-regexp):
16226 Add 1J, 1K, 2K. (Bug#15617)
16228 * files.el (hack-local-variables--warned-lexical): New.
16229 (hack-local-variables):
16230 Warn about misplaced lexical-binding. (Bug#15616)
16232 * net/eww.el (eww-render): Always set eww-current-url,
16233 and update header line. (Bug#15622)
16234 (eww-display-html): ... Rather than just doing it here.
16236 2013-10-15 Eli Zaretskii <eliz@gnu.org>
16238 * menu-bar.el (tty-menu-navigation-map): Bind mouse wheels to TTY
16239 menu navigations commands.
16241 2013-10-14 Dima Kogan <dima@secretsauce.net> (tiny change)
16243 * progmodes/subword.el (subword-capitalize): Be careful when
16244 the search for [[:alpha:]] fails (bug#15580).
16246 2013-10-14 Eli Zaretskii <eliz@gnu.org>
16248 * menu-bar.el (tty-menu-navigation-map): Bind shifted mouse clicks
16249 to commands that scroll the menu.
16251 2013-10-14 Dmitry Gutov <dgutov@yandex.ru>
16253 * progmodes/ruby-mode.el (ruby-smie--args-separator-p):
16254 Handle methods ending with `?' and `!'.
16256 2013-10-14 Akinori MUSHA <knu@iDaemons.org>
16258 * progmodes/ruby-mode.el (ruby-encoding-map): Add a mapping from
16259 `japanese-cp932' to `cp932' to fix the problem where saving a
16260 source file written in Shift_JIS twice would end up having
16261 `coding: japanese-cp932' which Ruby could not recognize.
16262 (ruby-mode-set-encoding): Add support for encodings mapped to nil
16263 in `ruby-encoding-map'.
16264 (ruby-encoding-map): Map `us-ascii' to nil by default, meaning it
16265 doesn't need to be explicitly declared in magic comment.
16266 (ruby-encoding-map): Add type declaration for better customize UI.
16268 2013-10-13 Glenn Morris <rgm@gnu.org>
16270 * progmodes/sh-script.el (sh-mark-line, sh-learn-buffer-indent):
16271 Occur buffers are read-only. http://bugs.debian.org/720775
16273 * emacs-lisp/authors.el (authors-fixed-entries):
16274 Comment out old alpha stuff.
16276 2013-10-13 Dmitry Gutov <dgutov@yandex.ru>
16278 * progmodes/ruby-mode.el (ruby-mode): Add `ruby-mode-set-encoding'
16279 to `after-save-hook' instead of `before-save-hook'.
16280 (ruby-mode-set-encoding): Use the value of coding system used to
16281 write the file. Call `basic-save-buffer-1' after modifying the
16284 2013-10-13 Alan Mackenzie <acm@muc.de>
16286 Fix indentation/fontification of Java enum with
16287 "implements"/generic.
16289 * progmodes/cc-engine.el (c-backward-over-enum-header):
16290 Extracted from the three other places and enhanced to handle generics.
16291 (c-inside-bracelist-p): Uses new function above.
16292 * progmodes/cc-fonts.el (c-font-lock-declarations): Uses new
16294 (c-font-lock-enum-tail): Uses new function above.
16296 2013-10-13 Kenichi Handa <handa@gnu.org>
16298 * international/mule-cmds.el (select-safe-coding-system): Remove a
16299 superfluous condition in chekcing whether a coding system is safe
16302 2013-10-13 Oleh Krehel <ohwoeowho@gmail.com>
16304 * replace.el (how-many): Fix rstart and !rend case. (Bug#15589)
16306 2013-10-13 Andreas Politz <politza@hochschule-trier.de>
16308 * progmodes/sql.el (sql-add-product): Fix paren typo. (Bug#15435)
16310 2013-10-13 Glenn Morris <rgm@gnu.org>
16312 * menu-bar.el (menu-bar-update-buffers):
16313 Unify Buffers menu prompt string. (Bug#15576)
16315 * face-remap.el (text-scale-adjust): Doc fix. (Bug#15434)
16317 * emacs-lisp/authors.el (authors-aliases, authors-ignored-files):
16319 (authors-fixed-entries): Use accented form of name.
16321 2013-10-12 Stefan Monnier <monnier@iro.umontreal.ca>
16323 * progmodes/ruby-mode.el (ruby-smie-grammar): Add rule for paren-free
16324 method calls (bug#15594).
16325 (ruby-smie--args-separator-p): New function.
16326 (ruby-smie--forward-token, ruby-smie--backward-token): Use it to
16327 recognize paren-free method calls.
16329 * isearch.el (isearch-pre-command-hook): Don't build in knowledge about
16330 internals of universal-argument.
16332 2013-10-11 Eli Zaretskii <eliz@gnu.org>
16334 * menu-bar.el (tty-menu-navigation-map): Remap F10 to tty-menu-exit.
16335 Bind all menu-bar sequences to tty-menu-exit -- this pops down a
16336 dropped menu on second mouse click on the menu bar.
16338 2013-10-11 Stefan Monnier <monnier@iro.umontreal.ca>
16340 * progmodes/sh-script.el: Provide simpl(e|istic) completion.
16341 (explicit-shell-file-name): Declare.
16342 (sh--vars-before-point, sh--cmd-completion-table): New functions.
16343 (sh-completion-at-point-function): New function.
16345 (sh-smie--keyword-p): Remove unused argument.
16346 (sh-smie-sh-backward-token, sh-smie-rc-backward-token): Remove unused
16348 (sh-set-shell): Always setup SMIE, even if we use the
16349 old indentation code.
16351 2013-10-11 Dmitry Gutov <dgutov@yandex.ru>
16353 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Split the
16355 (ruby-smie-rules): Simplify the "do" rule. The cases when the
16356 predicate would return nil are almost non-existent.
16357 (ruby-smie--redundant-do-p): Include "until" and "for" statements.
16359 * emacs-lisp/smie.el (smie--matching-block-data): Invalidate the
16360 cache also after commands that modify the buffer but don't move
16363 2013-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
16365 * env.el (substitute-env-in-file-name): New function.
16366 (substitute-env-vars): Extend the meaning of the optional arg.
16368 2013-10-10 Eli Zaretskii <eliz@gnu.org>
16370 * term/w32-win.el (dynamic-library-alist): Define separate lists
16371 of GIF DLLs for versions before and after 5.0.0 of giflib.
16374 2013-10-10 João Távora <joaotavora@gmail.com>
16376 * vc/vc.el (vc-diff-build-argument-list-internal): If the file is
16377 not locked, use last revision and current source as
16378 defaults. (Bug#15569)
16380 2013-10-10 Masatake YAMATO <yamato@redhat.com>
16382 * menu-bar.el (menu-bar-open): Don't use popup-menu if
16383 menu-bar is hidden.
16385 2013-10-10 Martin Rudalics <rudalics@gmx.at>
16387 * window.el (pop-to-buffer-same-window): Fix doc-string.
16390 2013-10-10 Stefan Monnier <monnier@iro.umontreal.ca>
16392 * menu-bar.el (tty-menu-navigation-map): Reduce redundancy.
16394 2013-10-10 Andrei Chițu <andrei.chitu1@gmail.com> (tiny change)
16396 * calendar/icalendar.el (icalendar-import-file):
16397 Fix interactive spec. (Bug#15482)
16399 2013-10-10 Glenn Morris <rgm@gnu.org>
16401 * desktop.el (desktop-save): Default to saving in .emacs.d,
16402 since PWD is no longer in desktop-path by default. (Bug#15319)
16404 * menu-bar.el (menu-bar-options-menu): Remove text-mode auto-fill,
16405 now that text mode has a menu with the same entry.
16406 (menu-bar-text-mode-auto-fill): Remove now unused func.
16407 * textmodes/text-mode.el (text-mode-map):
16408 Use auto-fill help text from menu-bar.el.
16410 2013-10-10 John Anthony <john@jo.hnanthony.com>
16412 * textmodes/text-mode.el (text-mode-map): Add a menu. (Bug#15562)
16414 2013-10-09 Juri Linkov <juri@jurta.org>
16416 * isearch.el (isearch-pre-command-hook): Use this-single-command-keys
16417 instead of this-command-keys. Add universal-argument-more and
16418 universal-argument-minus to the list of prefix commands. (Bug#15568)
16420 2013-10-09 Glenn Morris <rgm@gnu.org>
16422 * vc/vc-svn.el (vc-svn-create-repo):
16423 Expand paths in file://... url. (Bug#15446)
16425 * emacs-lisp/authors.el (authors-aliases, authors-fixed-case):
16427 (authors): Remove unused local variables.
16429 2013-10-09 Stefan Monnier <monnier@iro.umontreal.ca>
16431 * profiler.el: Create a more coherent calltree from partial backtraces.
16432 (profiler-format): Hide the tail with `invisible' so that C-s can still
16433 find the hidden elements.
16434 (profiler-calltree-depth): Don't recurse so enthusiastically.
16435 (profiler-function-equal): New hash-table-test.
16436 (profiler-calltree-build-unified): New function.
16437 (profiler-calltree-build): Use it.
16438 (profiler-report-make-name-part): Indent the calltree less.
16439 (profiler-report-mode): Add visibility specs for profiler-format.
16440 (profiler-report-expand-entry, profiler-report-toggle-entry):
16441 Expand the whole subtree when provided with a prefix arg.
16443 2013-10-09 Dmitry Gutov <dgutov@yandex.ru>
16445 * progmodes/ruby-mode.el (ruby-smie-rules): Indent after hanging
16447 (ruby-smie--implicit-semi-p): Prohibit implicit semicolon after
16448 hanging iuwu-mod token.
16449 (ruby-smie--forward-token): Do not include a dot after a token in
16451 (ruby-smie--backward-token): Likewise.
16453 2013-10-08 Juri Linkov <juri@jurta.org>
16455 * isearch.el (isearch-help-map, isearch-mode-map): Don't bind [t]
16456 to isearch-other-control-char.
16457 (isearch-mode): Add isearch-pre-command-hook to pre-command-hook
16458 and isearch-post-command-hook to post-command-hook.
16459 (isearch-done): Remove isearch-pre-command-hook from pre-command-hook
16460 and isearch-post-command-hook from post-command-hook.
16461 (isearch-unread-key-sequence)
16462 (isearch-reread-key-sequence-naturally)
16463 (isearch-lookup-scroll-key, isearch-other-control-char)
16464 (isearch-other-meta-char): Remove functions.
16465 (isearch-pre-command-hook, isearch-post-command-hook):
16466 New functions based on isearch-other-meta-char rewritten
16467 relying on the new behavior of overriding-terminal-local-map
16468 that does not replace the local keymaps any more. (Bug#15200)
16470 2013-10-08 Eli Zaretskii <eliz@gnu.org>
16472 Support menus on text-mode terminals.
16473 * tmm.el (tmm-menubar): Adapt doc string to TTY menus
16476 * tooltip.el (tooltip-mode): Don't error out on TTYs.
16478 * menu-bar.el (popup-menu, popup-menu-normalize-position):
16479 Move here from mouse.el.
16480 (popup-menu): Support menu-bar navigation on TTYs using C-f/C-b
16482 (tty-menu-navigation-map): New map for TTY menu navigation.
16484 * loadup.el ("tooltip"): Load even if x-show-tip is not available.
16486 * frame.el (display-mouse-p): Report text-mode mouse as available
16488 (display-popup-menus-p): Report availability if mouse is
16489 available; don't condition on window-system.
16491 * faces.el (tty-menu-enabled-face, tty-menu-disabled-face)
16492 (tty-menu-selected-face): New faces.
16494 2013-10-08 Stefan Monnier <monnier@iro.umontreal.ca>
16496 * emacs-lisp/lisp-mode.el: Font-lock cl-lib constructs.
16497 (lisp-el-font-lock-keywords, lisp-el-font-lock-keywords-1)
16498 (lisp-el-font-lock-keywords-2, lisp-cl-font-lock-keywords)
16499 (lisp-cl-font-lock-keywords-1, lisp-cl-font-lock-keywords-2):
16501 (lisp-mode-variables): New `elisp' argument.
16502 (emacs-lisp-mode): Use it.
16503 * font-lock.el (lisp-font-lock-keywords, lisp-font-lock-keywords-1)
16504 (lisp-font-lock-keywords-2): Move to lisp-mode.el.
16506 * indent.el: Use lexical-binding.
16507 (indent-region): Add progress reporter.
16508 (tab-stop-list): Make it implicitly extend to infinity by repeating the
16510 (indent--next-tab-stop): New function to implement this behavior.
16511 (tab-to-tab-stop, move-to-tab-stop): Use it.
16513 2013-10-08 Teemu Likonen <tlikonen@iki.fi>
16515 * indent.el (indent-rigidly--current-indentation): New function.
16516 (indent-rigidly-map): New var.
16517 (indent-rigidly): Use it to provide interactive mode (bug#8196).
16519 2013-10-08 Bastien Guerry <bzg@gnu.org>
16521 * register.el (insert-register): Fix 2013-10-07 change.
16523 2013-10-08 Stefan Monnier <monnier@iro.umontreal.ca>
16525 * progmodes/perl-mode.el: Use lexical-binding.
16526 Remove redundant :group args.
16527 (perl-nochange): Change default to be closer to other major modes's
16529 (perl-indent-line): Don't consider text on current line as a
16530 valid beginning of function from which to indent.
16532 * emacs-lisp/backquote.el (backquote-process): Catch uses of , and ,@
16533 with more than one argument (bug#15538).
16535 * mpc.el (mpc-songs-jump-to): Adjust to different playlist format.
16537 * vc/pcvs.el: Use lexical-binding.
16538 (cvs-temp-buffer, cvs-make-cvs-buffer): Pass some vars in the lexical
16539 environment of `eval'.
16540 (cvs-mode-run, cvs-mode-do): Change `postproc' to be a function rather
16541 than a list of expressions. Adjust callers.
16542 * vc/pcvs-defs.el (cvs-postprocess): Remove, unused.
16544 2013-10-07 Dmitry Gutov <dgutov@yandex.ru>
16546 * progmodes/ruby-mode.el (ruby-smie--implicit-semi-p): Handle the
16547 case of the dot in a chained method call being on the following line.
16549 2013-10-07 Stefan Monnier <monnier@iro.umontreal.ca>
16551 * electric.el (electric-indent-inhibit): New var.
16552 (electric-indent-post-self-insert-function): Use it.
16553 * progmodes/python.el (python-mode): Set it.
16555 * progmodes/ruby-mode.el (ruby-smie-rules): Tweak handling of
16558 * emacs-lisp/smie.el (smie-next-sexp): Refine last fix.
16560 * textmodes/css-mode.el (css-smie-rules): Fix indentation (bug#15467).
16561 (css-mode): Use electric-indent-chars.
16563 * nxml/nxml-mode.el: Use lexical-binding and syntax-propertize.
16564 (font-lock-beg, font-lock-end): Move before first use.
16565 (nxml-mode): Use syntax-propertize-function.
16566 (nxml-after-change, nxml-after-change1): Adjust accordingly.
16567 (nxml-extend-after-change-region): Remove.
16568 * nxml/xmltok.el: Use lexical-binding.
16569 (xmltok-save): Use `declare'.
16570 (xmltok-unclosed-reparse-p, xmltok-semi-closed-reparse-p): Remove.
16571 * nxml/nxml-util.el: Use lexical-binding.
16572 (nxml-with-degradation-on-error, nxml-with-invisible-motion):
16574 * nxml/nxml-ns.el: Use lexical-binding.
16575 (nxml-ns-save): Use `declare'.
16576 (nxml-ns-prefixes-for): Avoid add-to-list.
16577 * nxml/rng-match.el: Use lexical-binding.
16578 (rng--ipattern): Use cl-defstruct.
16579 (rng-compute-start-tag-open-deriv, rng-compute-start-attribute-deriv)
16580 (rng-cons-group-after, rng-subst-group-after)
16581 (rng-subst-interleave-after, rng-apply-after, rng-compute-data-deriv):
16582 Use closures instead of `(lambda...).
16584 2013-10-07 Michael Albinus <michael.albinus@gmx.de>
16586 * net/tramp.el (tramp-handle-insert-file-contents): Improve handling
16589 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
16590 Use `tramp-handle-insert-file-contents'.
16591 (tramp-gvfs-handle-insert-file-contents): Remove function.
16593 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
16594 Use `save-restriction' in order to keep markers.
16596 * net/trampver.el: Update release number.
16598 2013-10-07 Stefan Monnier <monnier@iro.umontreal.ca>
16600 * progmodes/compile.el (compilation-parse-errors):
16601 Use compilation--put-prop.
16602 (compilation--ensure-parse): Check compilation-multiline.
16604 * emacs-lisp/easymenu.el (easy-menu-create-menu): Use closures.
16606 * emacs-lisp/lisp-mode.el (eval-defun-2): Simplify, using
16609 * emacs-lisp/tq.el (tq-create): Use a closure instead of `(lambda...).
16611 * progmodes/ruby-mode.el: Fix recently added tests.
16612 (ruby-smie-grammar): Add - and +.
16613 (ruby-smie--redundant-do-p, ruby-smie--forward-id)
16614 (ruby-smie--backward-id): New functions.
16615 (ruby-smie--forward-token, ruby-smie--backward-token): Use them.
16616 (ruby-smie-rules): Handle hanging do. Get rid of hack, not needed
16619 2013-10-07 Leo Liu <sdl.web@gmail.com>
16621 * register.el (register-preview-delay)
16622 (register-preview-functions): New variables.
16623 (register-read-with-preview, register-preview)
16624 (register-describe-oneline): New functions.
16625 (point-to-register, window-configuration-to-register)
16626 (frame-configuration-to-register, jump-to-register)
16627 (number-to-register, view-register, insert-register)
16628 (copy-to-register, append-to-register, prepend-to-register)
16629 (copy-rectangle-to-register): Use register-read-with-preview to
16630 read register. (Bug#15525)
16632 2013-10-06 Dato Simó <dato@net.com.org.es> (tiny change)
16634 * net/network-stream.el (network-stream-open-starttls): Don't add
16635 --insecure if it's already present, because that gnutls-cli
16636 rejects getting that parameter twice.
16638 2013-10-06 Dmitry Gutov <dgutov@yandex.ru>
16640 * progmodes/ruby-mode.el (ruby-smie-rules): Dedent `ensure'
16643 2013-10-05 Dmitry Gutov <dgutov@yandex.ru>
16645 * newcomment.el (comment-use-global-state): Change default value
16646 to t, mark obsolete (Bug#15251).
16647 (comment-beginning): In addition to `comment-to-syntax', check the
16648 value of `comment-use-global-state'.
16650 2013-10-05 Stefan Monnier <monnier@iro.umontreal.ca>
16652 * progmodes/ruby-mode.el (ruby-use-smie): Change default.
16653 (ruby-comment-column): Follow the global default, by default.
16654 (ruby-smie-grammar): Add assignment syntax.
16655 (ruby-smie--implicit-semi-p): No implicit semi-colon after an
16656 open-paren, a comma, or a \.
16657 (ruby-smie--forward-token, ruby-smie--backward-token): Handle heredocs,
16658 and line continuations.
16659 (ruby-smie-rules): Adjust handling of open-paren, now that it's never
16660 followed by implicit semi-colons. Add rule for string concatenation
16661 and for indentation at BOB.
16662 (ruby-forward-sexp, ruby-backward-sexp): Adjust for when SMIE is in use.
16664 * emacs-lisp/smie.el (smie-next-sexp): Don't go back to pos before
16665 calling next-sexp, since next-token may have skipped chars which
16666 next-sexp doesn't know should be skipped!
16668 2013-10-05 Leo Liu <sdl.web@gmail.com>
16670 * progmodes/octave.el (octave-send-region):
16671 Call compilation-forget-errors.
16673 2013-10-04 Xue Fuqiao <xfq.free@gmail.com>
16675 * vc/vc-svn.el (vc-svn-find-admin-dir):
16676 * vc/vc-rcs.el (vc-rcs-find-admin-dir):
16677 * vc/vc-mtn.el (vc-mtn-find-admin-dir):
16678 * vc/vc-cvs.el (vc-cvs-find-admin-dir):
16679 * vc/vc-arch.el (vc-arch-find-admin-dir): New functions.
16681 2013-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
16683 * textmodes/css-mode.el (css-smie-rules): Toplevel's a list (bug#15467).
16685 2013-10-04 Stefan Monnier <monnier@iro.umontreal.ca>
16687 * subr.el (read-passwd): Hide chars even when called within a context
16688 where after-change-functions is disabled (bug#15501).
16689 (set-temporary-overlay-map): Don't remove oneself from pre-command-hook
16690 until we removed ourself from overriding-terminal-local-map.
16692 2013-10-04 Leo Liu <sdl.web@gmail.com>
16694 * progmodes/octave.el (inferior-octave-mode):
16695 Call compilation-forget-errors.
16697 2013-10-04 Xue Fuqiao <xfq.free@gmail.com>
16699 * emacs-lisp/syntax.el (syntax-ppss): Doc fix.
16701 2013-10-04 Michael Albinus <michael.albinus@gmx.de>
16703 * net/secrets.el (secrets-create-collection): Add optional
16704 argument ALIAS. Use proper Label keyword. Append ALIAS as
16705 dbus-call-method argument. (Bug#15516)
16707 2013-10-04 Leo Liu <sdl.web@gmail.com>
16709 * progmodes/octave.el (inferior-octave-error-regexp-alist)
16710 (inferior-octave-compilation-font-lock-keywords): New variables.
16711 (compilation-error-regexp-alist)
16712 (compilation-mode-font-lock-keywords): Defvar to pacify compiler.
16713 (inferior-octave-mode): Use compilation-shell-minor-mode.
16715 2013-10-04 Jorgen Schaefer <forcer@forcix.cx>
16717 * minibuffer.el (completion--replace): Be careful that `end' might be
16720 2013-10-03 Daiki Ueno <ueno@gnu.org>
16722 Add support for package signature checking.
16723 * emacs-lisp/package.el (url-http-file-exists-p)
16724 (epg-make-context, epg-context-set-home-directory)
16725 (epg-verify-string, epg-context-result-for)
16726 (epg-signature-status, epg-signature-to-string)
16727 (epg-check-configuration, epg-configuration)
16728 (epg-import-keys-from-file): Declare.
16729 (package-check-signature): New user option.
16730 (package-unsigned-archives): New user option.
16731 (package-desc): Add `signed' field.
16732 (package-load-descriptor): Set `signed' field if .signed file exists.
16733 (package--archive-file-exists-p): New function.
16734 (package--check-signature): New function.
16735 (package-install-from-archive): Check package signature.
16736 (package--download-one-archive): Check archive signature.
16737 (package-delete): Remove .signed file.
16738 (package-import-keyring): New command.
16739 (package-refresh-contents): Import default keyring.
16740 (package-desc-status): Add "unsigned" status.
16741 (describe-package-1, package-menu--print-info)
16742 (package-menu-mark-delete, package-menu--find-upgrades)
16743 (package-menu--status-predicate): Support "unsigned" status.
16745 2013-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
16747 * emacs-lisp/cconv.el (cconv-convert, cconv-analyse-form): Adjust for
16748 the new compilation scheme using the new byte-codes.
16750 * emacs-lisp/bytecomp.el (byte-pushcatch, byte-pushconditioncase)
16751 (byte-pophandler): New byte codes.
16752 (byte-goto-ops): Adjust accordingly.
16753 (byte-compile--use-old-handlers): New var.
16754 (byte-compile-catch): Use new byte codes depending on
16755 byte-compile--use-old-handlers.
16756 (byte-compile-condition-case--old): Rename from
16757 byte-compile-condition-case.
16758 (byte-compile-condition-case--new): New function.
16759 (byte-compile-condition-case): New function that dispatches depending
16760 on byte-compile--use-old-handlers.
16761 (byte-compile-unwind-protect): Pass a function to byte-unwind-protect
16764 * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker):
16765 Optimize under `condition-case' and `catch' if
16766 byte-compile--use-old-handlers is nil.
16767 (disassemble-offset): Handle new bytecodes.
16769 2013-10-03 Stefan Monnier <monnier@iro.umontreal.ca>
16771 * subr.el (error): Use `declare'.
16772 (decode-char, encode-char): Use advertised-calling-convention instead
16773 of the docstring to discourage use of the `restriction' arg.
16775 2013-10-03 Daiki Ueno <ueno@gnu.org>
16777 * epg.el (epg-verify-file): Add a comment saying that it does not
16778 notify verification error as a return value nor a signal.
16779 (epg-verify-string): Ditto.
16781 2013-10-02 Kevin Rodgers <kevin.d.rodgers@gmail.com>
16783 * progmodes/compile.el (compilation-start): Try globbing the arg to
16786 2013-10-02 Michael Albinus <michael.albinus@gmx.de>
16788 Sync with Tramp 2.2.8.
16790 * net/tramp-cmds.el (tramp-bug, tramp-append-tramp-buffers):
16791 * net/tramp-cache.el (tramp-cache-print): Use `tramp-compat-funcall'.
16792 * net/trampver.el: Update release number.
16794 2013-10-01 Jan Djärv <jan.h.d@swipnet.se>
16796 * term/ns-win.el (ns-initialize-window-system): Set locale-coding-system
16797 and default-process-coding-system for darwin only.
16799 2013-10-01 Stefan Monnier <monnier@iro.umontreal.ca>
16801 * emacs-lisp/package.el (package-desc): Simplify (bug#15495).
16803 2013-10-01 Mitchel Humpherys <mitch.special@gmail.com> (tiny change)
16805 * vc/vc-git.el (vc-git-grep): Disable pager.
16807 2013-10-01 Dmitry Gutov <dgutov@yandex.ru>
16809 * emacs-lisp/package.el (package-buffer-info, describe-package-1):
16810 Use :url instead of :homepage, as per
16811 http://lists.gnu.org/archive/html/emacs-devel/2013-09/msg00622.html
16813 * newcomment.el (comment-beginning): When `comment-use-syntax' is
16814 non-nil, use `syntax-ppss' (Bug#15251).
16816 2013-09-30 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
16818 * progmodes/octave.el (inferior-octave-startup-file):
16819 Prefer ~/.emacs.d/init_octave.m.
16821 2013-09-29 Dmitry Gutov <dgutov@yandex.ru>
16823 * emacs-lisp/package.el (package-desc-from-define):
16824 Accept additional arguments as plist, convert them to an alist and store
16825 them in the `extras' slot.
16826 (package-generate-description-file): Convert extras alist back to
16827 plist and append to the `define-package' form arguments.
16828 (package--alist-to-plist): New function.
16829 (package--ac-desc): Add `extras' slot.
16830 (package--add-to-archive-contents): Check if the archive-contents
16831 vector is long enough, and if it is, pass its `extras' slot value
16832 to `package-desc-create'.
16833 (package-buffer-info): Call `lm-homepage', pass the returned value
16834 to `package-desc-from-define'.
16835 (describe-package-1): Render the homepage button (Bug#13291).
16837 * emacs-lisp/package-x.el (package-upload-buffer-internal):
16838 Pass `extras' slot from `package-desc' to `package-make-ac-desc'.
16840 2013-09-29 Jan Djärv <jan.h.d@swipnet.se>
16842 * term/ns-win.el (ns-initialize-window-system): Set locale-coding-system
16843 and default-process-coding-system to utf-8-unix (Bug#15402).
16845 2013-09-29 Xue Fuqiao <xfq.free@gmail.com>
16847 * subr.el (looking-back): Do not recommend using looking-back.
16849 2013-09-28 Alan Mackenzie <acm@muc.de>
16851 Fix indentation/fontification of Java enum with "implements".
16853 * progmodes/cc-langs.el (c-postfix-decl-spec-key): New variable, a
16854 regexp which matches "implements", etc., in Java.
16855 * progmodes/cc-engine.el (c-inside-bracelist-p): Check for extra
16856 specifier clauses coming after "enum".
16857 * progmodes/cc-fonts.el (c-font-lock-declarations)
16858 (c-font-lock-enum-tail): Check for extra specifier clauses coming
16861 2013-09-28 Jan Djärv <jan.h.d@swipnet.se>
16863 * faces.el (region): Change ns_selection_color to
16864 ns_selection_fg_color, add ns_selection_bg_color.
16866 2013-09-28 Leo Liu <sdl.web@gmail.com>
16868 * progmodes/octave.el (inferior-octave-completion-table)
16869 (inferior-octave-completion-at-point): Minor tweaks.
16871 * textmodes/ispell.el (ispell-lookup-words): Rename from
16872 lookup-words. (Bug#15460)
16873 (lookup-words): Obsolete.
16874 (ispell-complete-word, ispell-command-loop): All uses changed.
16876 2013-09-28 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
16878 * progmodes/octave.el (octave-mode-map): Bind octave-send-buffer.
16879 (octave-mode-menu): Add octave-send-buffer.
16880 (octave-send-buffer): New function.
16882 2013-09-28 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
16884 * progmodes/octave.el (octave-mode-map): Add key binding for
16886 (octave-mode-menu): Add octave-lookfor.
16887 (inferior-octave-mode-map, octave-help-mode-map): Bind C-ha to
16889 (octave-lookfor): New function.
16891 2013-09-28 Stefan Monnier <monnier@iro.umontreal.ca>
16893 * emacs-lisp/cl-macs.el (cl--loop-destr-temps): Remove.
16894 (cl--loop-iterator-function): Rename from cl--loop-map-form and change
16896 (cl--loop-set-iterator-function): New function.
16897 (cl-loop): Adjust accordingly, so as not to use cl-subst.
16898 (cl--parse-loop-clause): Adjust all uses of cl--loop-map-form.
16899 Bind `it' with `let' instead of substituting it with `cl-subst'.
16900 (cl--unused-var-p): New function.
16901 (cl--loop-let): Don't use the cl--loop-destr-temps hack any more.
16902 Eliminate some unused variable warnings (bug#15326).
16904 2013-09-27 Tassilo Horn <tsdh@gnu.org>
16906 * doc-view.el (doc-view-scale-reset): Rename from
16907 `doc-view-reset-zoom-level'.
16908 (doc-view-scale-adjust): New command.
16909 (doc-view-mode-map): Remap `text-scale-adjust' bindings to
16910 `doc-view-scale-adjust'.
16912 2013-09-26 Tassilo Horn <tsdh@gnu.org>
16914 * doc-view.el (doc-view-reset-zoom-level): New command.
16915 (doc-view-mode-map): Remap text-scale-adjust bindings to doc-view
16916 zoom commands (bug#15466).
16918 2013-09-26 Kenichi Handa <handa@gnu.org>
16920 * international/quail.el (quail-help): Make it not a command.
16922 2013-09-26 Leo Liu <sdl.web@gmail.com>
16924 * minibuffer.el (completion-all-sorted-completions): Make args
16925 optional as they are.
16927 2013-09-25 Daniel Colascione <dancol@dancol.org>
16929 * emacs-lisp/cl-macs.el (cl-type-spec): Tell edebug what type
16930 specs are and that they're not evaluated.
16932 2013-09-24 Sam Steingold <sds@gnu.org>
16934 * midnight.el (clean-buffer-list-kill-regexps)
16935 (clean-buffer-list-kill-buffer-names): Update for the new Man
16936 buffer naming which includes the object name.
16938 2013-09-23 Stefan Monnier <monnier@iro.umontreal.ca>
16940 * eshell/esh-cmd.el (eshell--sep-terms): New var.
16941 (eshell-parse-command, eshell-parse-pipeline): Use it since
16942 eshell-separate-commands requires a dynamic scoped var.
16943 Reported by Jan Moringen <jmoringe@techfak.uni-bielefeld.de>.
16945 2013-09-23 Leo Liu <sdl.web@gmail.com>
16947 * autoinsert.el (auto-insert-alist): Make the value of
16948 lexical-binding match its file setting.
16950 2013-09-23 Juanma Barranquero <lekktu@gmail.com>
16952 * vc/vc-sccs.el (vc-sccs-search-project-dir): Mark unused argument.
16954 * autoarg.el (autoarg-kp-digit-argument):
16955 * electric.el (Electric-command-loop):
16956 * kmacro.el (kmacro-step-edit-insert):
16957 Do not set universal-argument-num-events.
16959 2013-09-22 Leo Liu <sdl.web@gmail.com>
16961 * files.el (interpreter-mode-alist): Add octave.
16963 2013-09-21 Alan Mackenzie <acm@muc.de>
16965 C++: fontify identifier in declaration following "public:" correctly.
16966 * progmodes/cc-langs.el (c-decl-start-colon-kwd-re): New lang var
16967 to match "public", etc.
16968 (c-decl-prefix-re): Add ":" into the C++ value.
16969 * progmodes/cc-engine.el (c-find-decl-prefix-search): Refactor a
16970 bit. Add a check for a ":" preceded by "public", etc.
16972 2013-09-21 Eli Zaretskii <eliz@gnu.org>
16974 * files.el (auto-mode-alist): Support OBJFILE-gdb.gdb script files
16975 recognized by GDB 7.5 and later.
16977 2013-09-21 Xue Fuqiao <xfq.free@gmail.com>
16979 * vc/vc-dir.el (vc-dir-mode-map): Add keybinding for vc-log-incoming.
16981 2013-09-20 Stefan Monnier <monnier@iro.umontreal.ca>
16983 * subr.el (internal--call-interactively): New const.
16984 (called-interactively-p): Use it (bug#3984).
16986 2013-09-20 Xue Fuqiao <xfq.free@gmail.com>
16988 * vc/pcvs.el (cvs-mode-ignore):
16989 * vc/vc-cvs.el (vc-cvs-ignore, vc-cvs-append-to-ignore):
16990 Rename cvs-append-to-ignore to vc-cvs-append-to-ignore.
16992 2013-09-19 Stefan Monnier <monnier@iro.umontreal.ca>
16994 * eshell/em-ls.el: Use advice. Remove redundant :group keywords.
16995 (eshell-ls-orig-insert-directory): Remove.
16996 (eshell-ls-unload-hook): Not a defcustom any more. Use advice-remove.
16997 (eshell-ls-use-in-dired): Use advice-add/remove.
16998 (eshell-ls--insert-directory): Rename from eshell-ls-insert-directory.
16999 Add `orig-fun' arg for use in :around advice.
17000 Make it check (redundantly) eshell-ls-use-in-dired.
17002 2013-09-19 Glenn Morris <rgm@gnu.org>
17004 * emacs-lisp/cl-macs.el (cl-defsubst): Remove unused local `pbody'.
17006 * simple.el (x-selection-owner-p, x-selection-exists-p): Declare.
17008 * emacs-lisp/eieio.el (class-parent): Undo previous change.
17010 2013-09-19 Michael Albinus <michael.albinus@gmx.de>
17012 * net/tramp-sh.el (tramp-get-remote-id): Do not raise an error.
17013 (tramp-get-remote-uid-with-id, tramp-get-remote-gid-with-id)
17014 (tramp-get-remote-python): New defuns.
17015 (tramp-get-remote-uid-with-perl)
17016 (tramp-get-remote-gid-with-perl): New defuns. Perl code
17017 contributed by yary <not.com@gmail.com> (tiny change).
17018 (tramp-get-remote-uid-with-python)
17019 (tramp-get-remote-gid-with-python): New defuns. Python code
17020 contributed by Andrey Tykhonov <atykhonov@gmail.com> (tiny change).
17021 (tramp-get-remote-uid, tramp-get-remote-gid): Use new defuns.
17023 2013-09-19 Glenn Morris <rgm@gnu.org>
17025 * emacs-lisp/eieio.el (class-parent): Don't use defalias with macros.
17027 * eshell/em-unix.el (eshell-remove-entries):
17028 Rename argument to avoid name-clash with global `top-level'.
17030 * eshell/esh-proc.el (eshell-kill-process-function):
17031 Remove eshell-reset-after-proc from eshell-kill-hook if present.
17032 (eshell-reset-after-proc): Remove unused arg `proc'.
17034 * eshell/esh-util.el (eshell-read-hosts-file): Use `filename' arg.
17035 (directory-files-and-attributes): Mark unused arg.
17037 * eshell/em-unix.el (eshell-remove-entries):
17038 Remove unused arg `path'. Update callers.
17040 * eshell/em-hist.el (eshell-hist-parse-arguments):
17041 Remove unused arg `silent'. Update callers.
17043 * eshell/em-ls.el (eshell-ls-use-in-dired): Use `symbol' arg.
17044 Fix (f)boundp mix-up.
17046 * eshell/em-smart.el (eshell-smart-scroll-window)
17047 (eshell-disable-after-change):
17048 * eshell/em-term.el (eshell-term-sentinel): Mark unused arg.
17050 2013-09-18 Alan Mackenzie <acm@muc.de>
17052 Fix fontification of type when followed by "const".
17053 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): Don't exclude
17054 "known" types from fontification.
17056 2013-09-18 Glenn Morris <rgm@gnu.org>
17058 * emacs-lisp/chart.el (x-display-color-cells): Declare.
17059 (chart-face-list): Drop Emacsen without display-color-p.
17061 * net/eww.el (libxml-parse-html-region): Declare.
17062 (eww-display-html): Explicit error if no libxml2 support.
17064 * doc-view.el (doc-view-mode): Silence --without-x compilation.
17066 * image.el (image-type-from-buffer, image-multi-frame-p):
17067 Remove --without-x warning/error.
17069 * mouse.el (mouse-yank-primary):
17070 * term.el (term-mouse-paste):
17071 Reorder to silence --without-x compilation.
17073 * mpc.el (doc-view-mode): Silence --without-x compilation.
17075 * mail/rmailmm.el (rmail-mime-set-bulk-data):
17076 Silence --without-x compilation.
17078 * progmodes/gud.el (gud-find-file, gud-mode):
17079 Silence --without-x compilation.
17080 (tooltip-mode): Declare.
17082 * wdired.el (dired-backup-overwrite): Remove declaration.
17083 (wdired-mode-map): Add doc string.
17085 * custom.el (x-get-resource): Declare.
17087 * eshell/em-glob.el (ange-cache):
17088 * eshell/em-unix.el (ange-cache): Declare.
17090 * faces.el (x-display-list, x-open-connection, x-get-resource):
17093 * follow.el (scroll-bar-toolkit-scroll, scroll-bar-drag)
17094 (scroll-bar-scroll-up, scroll-bar-scroll-down, mwheel-scroll):
17097 * frame.el (x-display-grayscale-p, x-display-name): Declare.
17099 * net/gnutls.el (gnutls-log-level): Declare.
17101 * net/shr.el (image-size, image-animate): Declare.
17103 * simple.el (font-info): Declare.
17105 * subr.el (x-popup-dialog): Declare.
17107 * term/common-win.el (x-select-enable-primary)
17108 (x-last-selected-text-primary, x-last-selected-text-clipboard):
17111 * term/ns-win.el (x-handle-args): Declare.
17113 * term/x-win.el (x-select-enable-clipboard): Declare.
17115 * term/w32-win.el (create-default-fontset): Declare.
17117 * w32-common-fns.el (x-server-version, x-select-enable-clipboard):
17120 * window.el (x-display-pixel-height, tool-bar-lines-needed): Declare.
17121 (fit-frame-to-buffer): Explicit error if --without-x.
17122 (mouse-autoselect-window-select): Silence compiler.
17124 * dframe.el (x-sensitive-text-pointer-shape, x-pointer-shape): Declare.
17126 * eshell/em-cmpl.el (eshell-complete-parse-arguments):
17127 * eshell/em-hist.el (eshell/history, eshell-isearch-backward):
17128 * eshell/em-pred.el (eshell-parse-modifiers, eshell-pred-file-time):
17129 * eshell/esh-util.el (eshell-sublist):
17130 Remove unused local variables.
17132 * eshell/esh-io.el (x-select-enable-clipboard): Declare.
17134 * textmodes/two-column.el: Make 2C-split work for --without-x.
17135 (scroll-bar-columns): Autoload.
17136 (top-level): Require fringe when compiling.
17138 2013-09-18 Leo Liu <sdl.web@gmail.com>
17140 * subr.el (add-hook): Robustify to handle closure as well.
17142 2013-09-17 Glenn Morris <rgm@gnu.org>
17144 * simple.el (messages-buffer-mode-map): Unbind "g".
17146 2013-09-17 Stefan Monnier <monnier@iro.umontreal.ca>
17148 * help-mode.el (help-mode-finish): Use derived-mode-p.
17149 Remove obsolete highlighting.
17151 * play/life.el (life-mode): Use define-derived-mode. Derive from
17153 (life): Let-bind inhibit-read-only.
17154 (life-setup): Avoid `setq'. Use `life-mode'.
17156 * emacs-lisp/package.el (package-generate-autoloads): Remove `require'
17157 which should not be needed any more.
17158 (package-menu-refresh, package-menu-describe-package): Use user-error.
17160 * eshell/esh-cmd.el (eshell-post-rewrite-command-function): New var.
17161 (eshell-post-rewrite-command-hook): Make obsolete.
17162 (eshell-parse-command): Simplify.
17163 (eshell-structure-basic-command): Remove unused arg `vocal-test'.
17164 (eshell--cmd): Declare.
17165 (eshell-parse-pipeline): Remove unused var `final-p'.
17166 Pass a dynvar to eshell-post-rewrite-command-hook.
17167 Implement the new eshell-post-rewrite-command-function.
17168 (eshell-invoke-directly): Remove unused arg `input'.
17169 * eshell/esh-io.el (eshell-io-initialize):
17170 Use eshell-post-rewrite-command-function (bug#15399).
17171 (eshell--apply-redirections): Rename from eshell-apply-redirections;
17172 adjust to new calling convention.
17173 (eshell-create-handles): Rename args to avoid clashing with dynvar
17176 2013-09-17 Glenn Morris <rgm@gnu.org>
17178 * simple.el (messages-buffer-mode): New major mode.
17179 (messages-buffer): New function.
17180 * startup.el (normal-top-level): Switch mode of *Messages* buffer.
17181 * emacs-lisp/ert.el (ert--force-message-log-buffer-truncation)
17182 (ert-run-test): Use `messages-buffer' function.
17183 (ert--force-message-log-buffer-truncation): Ignore read-only.
17184 * help.el (view-echo-area-messages): Use `messages-buffer' function.
17185 * mail/emacsbug.el (report-emacs-bug): Use `messages-buffer' function.
17187 2013-09-17 Stefan Monnier <monnier@iro.umontreal.ca>
17189 * subr.el (eval-after-load): Preserve evaluation order (bug#15389).
17191 * abbrev.el (abbrev--check-chars): Fix thinko (bug#15360).
17193 2013-09-16 Stefan Monnier <monnier@iro.umontreal.ca>
17195 * icomplete.el (icomplete-in-buffer): New var.
17196 (icomplete-pre-command-hook, icomplete-post-command-hook): Remove those
17197 vars and replace them with functions.
17198 (icomplete-minibuffer-setup): Adjust accordingly.
17199 (icomplete--completion-table, icomplete--completion-predicate)
17200 (icomplete--field-string, icomplete--field-beg, icomplete--field-end):
17202 (icomplete-forward-completions, icomplete-backward-completions)
17203 (icomplete-simple-completing-p, icomplete-exhibit)
17204 (icomplete-completions): Use them.
17205 (icomplete--in-region-buffer): New var.
17206 (icomplete--in-region-setup): New function.
17207 (icomplete-mode): Use it.
17209 * eshell/esh-opt.el: Fix last change to set lexical-vars properly
17211 (eshell--do-opts): Rename from eshell-do-opt, remove arg `body-fun',
17212 return args and options.
17213 (eshell-eval-using-options): Use the new return value of
17214 eshell--do-opts to set the options's vars in their scope.
17215 (eshell--set-option): Rename from eshell-set-option.
17216 Add arg `opt-vals'.
17217 (eshell--process-option): Rename from eshell-process-option.
17218 Add arg `opt-vals'.
17219 (eshell--process-args): Use an `opt-vals' alist to store the options's
17220 values during their processing and return them additionally to the
17223 2013-09-15 Dmitry Gutov <dgutov@yandex.ru>
17225 * progmodes/ruby-mode.el (ruby-operator-re): Consider line
17226 continuation character an operator, as far as indentation is
17227 concerned (Bug#15369).
17229 2013-09-15 Martin Rudalics <rudalics@gmx.at>
17231 * window.el (window--state-put-2): Don't process buffer state
17232 when buffer doesn't exist any more (Bug#15382).
17234 2013-09-15 Glenn Morris <rgm@gnu.org>
17236 * eshell/em-unix.el (eshell/rm):
17237 Make -f ignore missing files. (Bug#15373)
17239 * eshell/esh-cmd.el (eshell--local-vars): New variable. (Bug#15372)
17240 (eshell-rewrite-for-command): Add for loop vars to eshell--local-vars.
17241 * eshell/esh-var.el (eshell-get-variable): Respect eshell--local-vars.
17243 2013-09-14 Glenn Morris <rgm@gnu.org>
17245 * eshell/esh-var.el (eshell-variable-aliases-list): Fix doc typo.
17247 2013-09-13 Glenn Morris <rgm@gnu.org>
17249 * dired-x.el (dired-guess-shell-alist-user): Doc fix.
17250 (dired-guess-default): Make `file' available in the env. (Bug#15363)
17252 2013-09-13 Dmitry Antipov <dmantipov@yandex.ru>
17254 * frame.el (x-focus-frame): Mark as declared in frame.c.
17256 2013-09-13 Stefan Monnier <monnier@iro.umontreal.ca>
17258 * ls-lisp.el: Use advice-add.
17259 (original-insert-directory): Remove.
17260 (ls-lisp--insert-directory): Rename from insert-directory; add
17261 `orig-fun' argument.
17262 (insert-directory): Advise.
17264 2013-09-13 Eli Zaretskii <eliz@gnu.org>
17266 * term.el (term-emulate-terminal): Decode the command string
17267 before passing it to term-command-hook. (Bug#15337)
17269 2013-09-13 Glenn Morris <rgm@gnu.org>
17271 * eshell/esh-util.el (ange-cache): Move declaration earlier.
17273 * eshell/esh-ext.el (eshell-search-path): Declare.
17275 * eshell/em-prompt.el (eshell/pwd): Autoload it.
17276 Otherwise an error occurs if eshell-dirs module not loaded.
17278 * progmodes/gdb-mi.el (gud-cont, gud-step): Declare.
17280 2013-09-13 Michael Albinus <michael.albinus@gmx.de>
17282 * net/tramp.el (tramp-check-proper-method-and-host): Rename it from
17283 `tramp-check-proper-host'. Check for a valid method name.
17285 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
17286 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
17287 * net/tramp-sh.el (tramp-maybe-open-connection):
17288 * net/tramp-smb.el (tramp-smb-maybe-open-connection): Call it.
17290 * net/tramp-cache.el (tramp-cache-print): Don't print text properties
17291 also for hash values.
17293 2013-09-12 Stefan Monnier <monnier@iro.umontreal.ca>
17295 * term/ns-win.el (parameters): Don't declare as dynamic.
17296 (before-make-frame-hook): Don't add ineffective function.
17298 * eshell/*.el: Use lexical-binding (bug#15231).
17300 2013-09-12 Kenichi Handa <handa@gnu.org>
17302 * composite.el (compose-gstring-for-graphic): Handle enclosing mark.
17304 2013-09-12 Glenn Morris <rgm@gnu.org>
17306 * vc/vc-svn.el (vc-svn-dir-status-files, vc-svn-dir-extra-headers)
17307 (vc-svn-ignore, vc-svn-retrieve-tag): Mark unused arguments.
17309 * subr.el (do-after-load-evaluation): Also give compiler warnings
17310 when obsolete files are used (except by obsolete files).
17312 * vc/vc-svn.el (vc-svn-parse-status): If there are multiple files
17313 in the status output, assume `filename' is the first. (Bug#15322)
17315 * vc/vc.el (vc-deduce-fileset): Doc fix.
17317 * calc/calc-help.el (Info-goto-node):
17318 * progmodes/cperl-mode.el (Info-find-node):
17319 * vc/ediff.el (Info-goto-node): Update declarations.
17321 * vc/vc-dispatcher.el (vc-dir-refresh): Declare.
17323 * vc/vc-bzr.el (vc-compilation-mode): Declare.
17324 (vc-bzr-pull): Require vc-dispatcher.
17325 * vc/vc-git.el (vc-compilation-mode): Declare.
17326 (vc-git-pull): Require vc-dispatcher.
17328 * progmodes/ruby-mode.el (ruby-syntax-propertize-function): Declare.
17330 * progmodes/octave.el (help-button-action): Declare.
17332 * shell.el (shell-directory-tracker): Output error as a message
17333 rather than just returning it as a string.
17334 (shell-process-pushd): Remove useless use of message.
17336 * dframe.el (dframe-timer-fn):
17337 * files.el (dir-locals-read-from-file):
17338 * mpc.el (mpc--status-timer-run, mpc--status-idle-timer-run)
17340 * reveal.el (reveal-post-command):
17341 * saveplace.el (load-save-place-alist-from-file):
17342 * shell.el (shell-resync-dirs):
17343 * w32-common-fns.el (x-get-selection-value):
17344 * emacs-lisp/copyright.el (copyright-find-copyright):
17345 * emacs-lisp/eldoc.el (eldoc-print-current-symbol-info):
17346 * emulation/tpu-edt.el (tpu-copy-keyfile):
17347 * play/bubbles.el (bubbles--mark-neighbourhood):
17348 * progmodes/executable.el
17349 (executable-make-buffer-file-executable-if-script-p):
17350 * term/pc-win.el (x-get-selection-value): Use with-demoted-errors.
17352 2013-09-12 Stefan Monnier <monnier@iro.umontreal.ca>
17354 Cleanup Eshell to rely less on dynamic scoping.
17355 * eshell/esh-opt.el (eshell-eval-using-options): Don't bind usage-msg,
17356 last-value, and ext-command here. Bind `args' closer to `body'.
17357 (temp-args, last-value, usage-msg, ext-command, args): Don't defvar.
17358 (eshell--args): Declare new dynamic var.
17359 (eshell-do-opt): Add argument `args'. Bind our own usage-msg,
17360 last-value, and ext-command. Pass `args' to `body'.
17361 (eshell-process-args): Bind eshell--args.
17362 (eshell-set-option): Use eshell--args.
17363 * eshell/eshell.el (eshell): Use derived-mode-p.
17364 * eshell/esh-var.el (eshell-parse-variable): Use backquote.
17365 (eshell-parse-variable-ref): Remove unused vars `end' and `err'.
17366 (eshell-glob-function): Declare.
17367 * eshell/esh-util.el: Require cl-lib.
17368 (eshell-read-hosts-file): Avoid add-to-list.
17369 * eshell/esh-cmd.el (eshell-parse-lisp-argument): Remove unused var
17371 * eshell/em-unix.el (compilation-scroll-output, locate-history-list):
17373 (eshell/diff): Remove unused var `err'.
17374 * eshell/em-rebind.el (eshell-delete-backward-char): Remove unused arg
17376 * eshell/em-pred.el (eshell-parse-modifiers): Remove unused var `err'.
17377 * eshell/em-ls.el (eshell-ls-highlight-alist): Move defvars before
17379 * eshell/em-glob.el (eshell-glob-matches, message-shown):
17380 Move declaration before first use.
17381 * eshell/em-alias.el (eshell-maybe-replace-by-alias): Use backquotes.
17382 * autorevert.el (auto-revert-notify-handler): Use `cl-dolist' since we
17385 2013-09-12 Glenn Morris <rgm@gnu.org>
17387 * term/ns-win.el (global-map): Remove binding for ispell-next,
17388 deleted 1999-05-29. (Bug#15357)
17390 2013-09-11 Glenn Morris <rgm@gnu.org>
17392 * echistory.el (electric-command-history): Remove call to deleted func.
17394 * play/landmark.el (landmark-mode): Fix typos.
17396 * vc/vc-cvs.el (cvs-append-to-ignore): Fix arg spec.
17397 Check cvs-sort-ignore-file is bound.
17399 * savehist.el: No need for cl when compiling on Emacs.
17401 2013-09-11 Stefan Monnier <monnier@iro.umontreal.ca>
17403 * eshell/esh-mode.el (eshell-mode-syntax-table): Fix up initialization
17405 (eshell-self-insert-command, eshell-send-invisible):
17406 Remove unused argument.
17407 (eshell-handle-control-codes): Remove unused var `orig'.
17408 Avoid delete-backward-char.
17410 * files.el (set-auto-mode): Simplify a bit further.
17412 2013-09-11 Glenn Morris <rgm@gnu.org>
17414 * files.el (interpreter-mode-alist): Remove \\` \\' parts.
17415 (set-auto-mode): Don't regexp-quote elements.
17416 * progmodes/python.el (interpreter-mode-alist): Remove \\` \\'.
17417 * progmodes/cc-mode.el (interpreter-mode-alist):
17418 * progmodes/ruby-mode.el (interpreter-mode-alist):
17419 Revert previous change.
17421 2013-09-11 Stefan Monnier <monnier@iro.umontreal.ca>
17423 * play/snake.el (snake-mode):
17424 * play/mpuz.el (mpuz-mode):
17425 * play/landmark.el (lm-mode):
17426 * play/blackbox.el (blackbox-mode):
17427 * play/5x5.el (5x5-mode):
17428 * obsolete/options.el (Edit-options-mode):
17429 * net/quickurl.el (quickurl-list-mode):
17430 * net/newst-treeview.el (newsticker-treeview-mode):
17431 * mail/rmailsum.el (rmail-summary-mode):
17432 * mail/mspools.el (mspools-mode):
17433 * locate.el (locate-mode):
17434 * ibuffer.el (ibuffer-mode):
17435 * emulation/ws-mode.el (wordstar-mode):
17436 * emacs-lisp/debug.el (debugger-mode):
17437 * array.el (array-mode):
17438 * net/eudc.el (eudc-mode): Use define-derived-mode.
17439 * net/mairix.el (mairix-searches-mode-font-lock-keywords):
17440 Move initialization into declaration.
17441 (mairix-searches-mode): Use define-derived-mode.
17442 * net/eudc-hotlist.el (eudc-hotlist-mode): Use define-derived-mode.
17443 (eudc-edit-hotlist): Use dolist.
17444 * man.el (Man-mode-syntax-table): Rename from man-mode-syntax-table.
17445 (Man-mode): Use define-derived-mode.
17446 * info.el (Info-edit-mode-map): Rename from Info-edit-map.
17447 (Info-edit-mode): Use define-derived-mode.
17448 (Info-cease-edit): Use Info-mode.
17449 * eshell/esh-mode.el (eshell-mode-syntax-table): Move initialization
17451 (eshell-mode): Use define-derived-mode.
17452 * chistory.el (command-history-mode-map): Rename from
17453 command-history-map.
17454 (command-history-mode): Use define-derived-mode.
17455 (Command-history-setup): Remove function.
17456 * calc/calc.el (calc-trail-mode-map): New var.
17457 (calc-trail-mode): Use define-derived-mode.
17458 (calc-trail-buffer): Set calc-main-buffer manually.
17459 * bookmark.el (bookmark-insert-annotation): New function.
17460 (bookmark-edit-annotation): Use it.
17461 (bookmark-edit-annotation-mode): Make it a proper major mode.
17462 (bookmark-send-edited-annotation): Use derived-mode-p.
17463 * arc-mode.el (archive-mode): Move kill-all-local-variables a tiny bit
17464 closer to its ideal place. Use \' to match EOS.
17466 * profiler.el (profiler-calltree-find): Use function-equal.
17468 2013-09-10 Glenn Morris <rgm@gnu.org>
17470 * files.el (interpreter-mode-alist): Convert to regexps.
17471 (set-auto-mode): Adapt for this. (Bug#15306)
17472 * progmodes/cperl-mode.el (cperl-clobber-mode-lists):
17473 Comment out unused variable.
17474 * progmodes/cc-mode.el (interpreter-mode-alist):
17475 * progmodes/python.el (interpreter-mode-alist):
17476 * progmodes/ruby-mode.el (interpreter-mode-alist): Convert to regexps.
17477 * progmodes/sh-script.el (sh-set-shell):
17478 No longer use interpreter-mode-alist to get list of shells.
17480 * progmodes/cc-mode.el (awk-mode): Remove duplicate autoload.
17482 2013-09-10 Stefan Monnier <monnier@iro.umontreal.ca>
17484 * simple.el: Use set-temporary-overlay-map for universal-argument.
17485 (universal-argument-map): Don't use default-bindings (bug#15317).
17486 Bind switch-frame explicitly. Replace universal-argument-minus with
17487 a conditional binding.
17488 (universal-argument-num-events, saved-overriding-map): Remove.
17489 (restore-overriding-map): Remove.
17490 (universal-argument--mode): Rename from save&set-overriding-map,
17492 (universal-argument, universal-argument-more, negative-argument)
17493 (digit-argument): Adjust accordingly.
17494 (universal-argument-minus): Remove.
17495 (universal-argument-other-key): Remove.
17497 * subr.el (with-demoted-errors): Add `format' argument.
17499 2013-09-10 Michael Albinus <michael.albinus@gmx.de>
17501 * net/tramp.el (tramp-cleanup): Remove. Functionality added to
17502 `tramp-cleanup-connection'.
17504 * net/tramp-cmds.el (tramp-cleanup-connection): Add optional
17505 parameters KEEP-DEBUG and KEEP-PASSWORD.
17507 * net/tramp.el (tramp-file-name-handler):
17508 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
17509 * net/tramp-sh.el (tramp-open-connection-setup-interactive-shell)
17510 (tramp-maybe-open-connection):
17511 * net/tramp-smb.el (tramp-smb-maybe-open-connection):
17512 Use `tramp-cleanup-connection'.
17514 * net/tramp-sh.el (tramp-maybe-open-connection):
17515 Catch 'uname-changed inside the progress reporter.
17517 2013-09-10 Glenn Morris <rgm@gnu.org>
17519 * simple.el (read-minibuffer): Unbreak it. (Bug#15318)
17521 * dired-x.el (dired-mark-sexp): Unbreak for systems where ls
17522 returns "alternate access method" in mode (eg "-rw-r--r--.").
17524 2013-09-08 Glenn Morris <rgm@gnu.org>
17526 * saveplace.el (load-save-place-alist-from-file):
17527 Demote errors. (Bug#15305)
17529 2013-09-08 Michael Albinus <michael.albinus@gmx.de>
17531 Improve compatibility with older Emacsen, and XEmacs.
17533 * net/tramp.el (tramp-find-method, tramp-find-user): Call `propertize'
17534 only if it is bound. It isn't for XEmacs.
17535 (with-tramp-progress-reporter): Do not let-bind `result'.
17536 This yields to scoping errors in XEmacs.
17537 (tramp-handle-make-auto-save-file-name): New function, moved from
17540 * net/tramp-adb.el (tramp-adb-file-name-handler-alist): Add handler
17541 for `make-auto-save-file-name'.
17542 (tramp-adb--gnu-switches-to-ash):
17543 Use `tramp-compat-replace-regexp-in-string'.
17545 * net/tramp-cache.el (tramp-cache-print): Call
17546 `substring-no-properties' only if it is bound. It isn't for XEmacs.
17548 * net/tramp-cmds.el (tramp-bug): Call `propertize' only if it is
17549 bound. It isn't for XEmacs.
17551 * net/tramp-compat.el (tramp-compat-copy-file):
17552 Catch `wrong-number-of-arguments' error.
17553 (tramp-compat-replace-regexp-in-string): New defun.
17555 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist): Add handler
17556 for `make-auto-save-file-name'.
17557 (tramp-gvfs-handle-copy-file): Use `tramp-compat-funcall' for
17559 (tramp-gvfs-file-gvfs-monitor-file-process-filter)
17560 (tramp-gvfs-file-name): Use `tramp-compat-replace-regexp-in-string'.
17561 (tramp-synce-list-devices): Use `push' instead of `pushnew'.
17563 * net/tramp-gw.el (tramp-gw-open-network-stream):
17564 Use `tramp-compat-replace-regexp-in-string'.
17566 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
17567 Call `tramp-handle-make-auto-save-file-name'.
17568 (tramp-sh-handle-make-auto-save-file-name): Move to tramp.el.
17569 (tramp-sh-file-gvfs-monitor-dir-process-filter)
17570 (tramp-sh-file-inotifywait-process-filter):
17571 Use `tramp-compat-replace-regexp-in-string'.
17572 (tramp-compute-multi-hops): Use `push' instead of `pushnew'.
17574 * net/tramp-smb.el (tramp-smb-file-name-handler-alist): Add handler
17575 for `make-auto-save-file-name'.
17576 (tramp-smb-handle-copy-directory):
17577 Call `tramp-compat-replace-regexp-in-string'.
17578 (tramp-smb-get-file-entries): Use `push' instead of `pushnew'.
17579 (tramp-smb-handle-copy-file): Improve error message.
17580 (tramp-smb-handle-rename-file): Rename directly only in case
17581 `newname' does not exist yet. This is a restriction of smbclient.
17582 (tramp-smb-maybe-open-connection): Rerun the function only when
17583 `auth-sources' is non-nil.
17585 2013-09-08 Kenichi Handa <handa@gnu.org>
17587 * international/characters.el: Set category "^" (Combining) for
17590 2013-09-07 Alan Mackenzie <acm@muc.de>
17592 Correctly fontify Java class constructors.
17593 * progmodes/cc-langs.el (c-type-decl-suffix-key): Now matches ")"
17595 (c-recognize-typeless-decls): Set the Java value to t.
17596 * progmodes/cc-engine.el (c-forward-decl-or-cast-1):
17597 While handling a "(", add a check for, effectively, Java, and handle a
17598 "typeless" declaration there.
17600 2013-09-07 Roland Winkler <winkler@gnu.org>
17602 * textmodes/bibtex.el (bibtex-biblatex-entry-alist): Add optional
17603 field subtitle for entry type book.
17605 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
17607 * minibuffer.el: Make minibuffer-complete call completion-in-region
17608 rather than other way around.
17609 (completion--some, completion-pcm--find-all-completions):
17610 Don't delay signals when debugging.
17611 (minibuffer-completion-contents): Beware fields within the
17612 minibuffer contents.
17613 (completion-all-sorted-completions): Use defvar-local.
17614 (completion--do-completion, completion--cache-all-sorted-completions)
17615 (completion-all-sorted-completions, minibuffer-force-complete):
17616 Add args `beg' and `end'.
17617 (completion--in-region-1): New fun, extracted from minibuffer-complete.
17618 (minibuffer-complete): Use completion-in-region.
17619 (completion-complete-and-exit): New fun, extracted from
17620 minibuffer-complete-and-exit.
17621 (minibuffer-complete-and-exit): Use it.
17622 (completion--complete-and-exit): Rename from
17623 minibuffer--complete-and-exit.
17624 (completion-in-region--single-word): New function, extracted from
17625 minibuffer-complete-word.
17626 (minibuffer-complete-word): Use it.
17627 (display-completion-list): Make `common-substring' argument obsolete.
17628 (completion--in-region): Call completion--in-region-1 instead of
17629 minibuffer-complete.
17630 (completion-help-at-point): Pass boundaries to
17631 minibuffer-completion-help as args rather than via an overlay.
17632 (completion-pcm--string->pattern): Use `any-delim'.
17633 (completion-pcm--optimize-pattern): New function.
17634 (completion-pcm--pattern->regex): Handle `any-delim'.
17635 * icomplete.el (icomplete-forward-completions)
17636 (icomplete-backward-completions, icomplete-completions):
17637 Adjust calls to completion-all-sorted-completions and
17638 completion--cache-all-sorted-completions.
17639 (icomplete-with-completion-tables): Default to t.
17640 * emacs-lisp/crm.el (crm--current-element): Rename from
17641 crm--select-current-element. Don't put an overlay but return the
17642 boundaries instead.
17643 (crm--completion-command): Take two new args to bind to the boundaries.
17644 (crm-completion-help): Adjust accordingly.
17645 (crm-complete): Use completion-in-region.
17646 (crm-complete-word): Use completion-in-region--single-word.
17647 (crm-complete-and-exit): Use completion-complete-and-exit.
17649 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
17651 * dired-x.el (dired-mark-sexp): Bind the vars lexically rather
17654 2013-09-06 Juri Linkov <juri@jurta.org>
17656 * info.el (Info-display-images-node): When image file doesn't exist
17657 display text version of the image if it's provided in the Info file.
17658 Otherwise, display the location of missing image from SRC attribute.
17659 Add help-echo text property from ALT attribute. (Bug#15279)
17661 2013-09-06 Stefan Monnier <monnier@iro.umontreal.ca>
17663 * abbrev.el (edit-abbrevs-mode-map): Rename from edit-abbrevs-map.
17664 (edit-abbrevs-mode): Use define-derived-mode.
17666 * epa.el (epa--encode-coding-string, epa--decode-coding-string)
17667 (epa--select-safe-coding-system, epa--derived-mode-p): Make it obvious
17669 (epa-key-list-mode, epa-key-mode, epa-info-mode):
17670 Use define-derived-mode.
17672 * epg.el (epg-start-encrypt): Minor CSE simplification.
17674 2013-09-06 William Xu <william.xwl@gmail.com>
17676 * arc-mode.el: Add support for 7za (bug#15264).
17677 (archive-7z-program): New var.
17678 (archive-zip-extract, archive-zip-expunge, archive-zip-update)
17679 (archive-zip-update-case, archive-7z-extract, archive-7z-expunge)
17680 (archive-7z-update, archive-zip-extract, archive-7z-summarize): Use it.
17682 2013-09-06 Michael Albinus <michael.albinus@gmx.de>
17686 * net/tramp.el (tramp-syntax, tramp-prefix-format)
17687 (tramp-postfix-method-format, tramp-prefix-ipv6-format)
17688 (tramp-postfix-ipv6-format, tramp-prefix-port-format)
17689 (tramp-postfix-host-format, tramp-file-name-regexp)
17690 (tramp-completion-file-name-regexp)
17691 (tramp-completion-dissect-file-name)
17692 (tramp-handle-substitute-in-file-name): Remove 'url case.
17693 (tramp-file-name-regexp-url)
17694 (tramp-completion-file-name-regexp-url): Remove constants.
17696 2013-09-06 Glenn Morris <rgm@gnu.org>
17698 * replace.el (replace-string): Doc fix re start/end. (Bug#15275)
17700 2013-09-05 Dmitry Gutov <dgutov@yandex.ru>
17702 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Move "Perl-ish
17703 keywords" below "here-doc beginnings" (Bug#15270).
17705 2013-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
17707 * subr.el (pop): Use `car-safe'.
17708 * emacs-lisp/byte-opt.el (byte-optimize-form-code-walker): Remove hack
17709 to detect unused `pop' return value.
17711 * progmodes/python.el (python-nav-beginning-of-block): Remove unused
17712 var `block-regexp'.
17713 (python-nav--forward-sexp): Remove unused var `re-search-fn'.
17714 (python-fill-string): Remove unused var `marker'.
17715 (python-skeleton-add-menu-items): Remove unused var `items'.
17717 * international/mule-cmds.el: Require CL.
17718 (find-coding-systems-for-charsets): Avoid add-to-list.
17719 (sanitize-coding-system-list): New function, extracted from
17720 select-safe-coding-system-interactively.
17721 (select-safe-coding-system-interactively): Use it.
17722 (read-input-method-name): Accept symbols for `default'.
17724 * emacs-lisp/advice.el (defadvice): Add indent rule.
17726 2013-09-05 Daniel Hackney <dan@haxney.org>
17730 * net/browse-url.el:
17733 * net/eudcb-ldap.el:
17737 * vc/ediff-diff.el:
17738 * vc/ediff-init.el:
17739 * vc/ediff-merg.el:
17740 * vc/ediff-mult.el:
17741 * vc/ediff-util.el:
17742 * vc/ediff-wind.el:
17746 * vc/vc-annotate.el: Prefix unused arguments with `_' to silence
17747 byte compiler. Remove some unused let-bound variables.
17749 2013-09-05 Stefan Monnier <monnier@iro.umontreal.ca>
17751 * emacs-lisp/cconv.el: Use `car-safe' rather than `car' to access
17752 a "ref-cell", since it gets better optimized (bug#14883).
17754 2013-09-05 Glenn Morris <rgm@gnu.org>
17756 * progmodes/cc-awk.el (c-forward-sws): Declare.
17758 2013-09-04 Glenn Morris <rgm@gnu.org>
17760 * generic-x.el [rul-generic-mode]: Require cc-mode.
17761 (c++-mode-syntax-table): Declare.
17762 (rul-generic-mode-syntax-table): Init in the defvar.
17764 2013-09-04 Stefan Monnier <monnier@iro.umontreal.ca>
17766 * vc/vc-dispatcher.el (vc-run-delayed): New macro.
17767 (vc-do-command, vc-set-async-update):
17768 * vc/vc-mtn.el (vc-mtn-dir-status):
17769 * vc/vc-hg.el (vc-hg-dir-status, vc-hg-dir-status-files)
17770 (vc-hg-pull, vc-hg-merge-branch):
17771 * vc/vc-git.el (vc-git-dir-status-goto-stage, vc-git-pull)
17772 (vc-git-merge-branch):
17773 * vc/vc-cvs.el (vc-cvs-print-log, vc-cvs-dir-status)
17774 (vc-cvs-dir-status-files):
17775 * vc/vc-bzr.el (vc-bzr-pull, vc-bzr-merge-branch, vc-bzr-dir-status)
17776 (vc-bzr-dir-status-files):
17777 * vc/vc-arch.el (vc-arch-dir-status): Use vc-run-delayed.
17778 * vc/vc-annotate.el: Use lexical-binding.
17779 (vc-annotate-display-select, vc-annotate): Use vc-run-delayed.
17780 (vc-sentinel-movepoint): Declare.
17781 (vc-annotate): Don't use `goto-line'.
17782 * vc/vc.el (vc-diff-internal): Prefer a closure to `(lambda...).
17783 (vc-diff-internal, vc-log-internal-common): Use vc-run-delayed.
17784 (vc-sentinel-movepoint): Declare.
17785 * vc/vc-svn.el: Use lexical-binding.
17786 (vc-svn-dir-status, vc-svn-dir-status-files): Use vc-run-delayed.
17788 * vc/vc-rcs.el: Use lexical-binding.
17790 * autorevert.el (auto-revert-notify-handler): Explicitly ignore
17791 `deleted'. Don't drop errors silently.
17793 * emacs-lisp/gv.el (gv-get): Warn about CL-compiled places.
17795 2013-09-04 Xue Fuqiao <xfq.free@gmail.com>
17797 * vc/vc.el (vc-ignore): Rewrite.
17798 (vc-default-ignore): New function.
17799 (vc-default-ignore-completion-table): Use find-ignore-file.
17801 * vc/vc-bzr.el (vc-bzr-ignore, vc-bzr-ignore-completion-table):
17802 * vc/vc-git.el (vc-git-ignore, vc-git-ignore-completion-table):
17803 * vc/vc-hg.el (vc-hg-ignore, vc-hg-ignore-completion-table):
17804 Remove. Most code moved to vc.el.
17806 2013-09-03 Stefan Monnier <monnier@iro.umontreal.ca>
17808 * net/tramp-gvfs.el (tramp-gvfs-mount-spec, tramp-synce-list-devices):
17809 * net/tramp-smb.el (tramp-smb-get-file-entries):
17810 * net/tramp-sh.el (tramp-sh-handle-insert-directory)
17811 (tramp-compute-multi-hops): Fix misuses of `add-to-list'.
17813 * net/eww.el (eww-display-raw): Remove unused argument `charset'.
17815 (eww-change-select): Remove unused var `properties'.
17816 (eww-make-unique-file-name): Remove unused var `base'.
17818 * finder.el (finder-compile-keywords): Don't mess with windows.
17820 * calculator.el (calculator-funcall): Fix typo in last change.
17822 * vc/vc-git.el (vc-git-checkin): Make it possible to commit a merge.
17824 * emacs-lisp/package.el (package-activate-1): Don't let a missing
17825 <pkg>-autoloads.el file stop us.
17827 * net/tramp.el (with-parsed-tramp-file-name): Silence compiler
17828 warnings, and factor out common code.
17830 2013-09-03 Dmitry Gutov <dgutov@yandex.ru>
17832 * progmodes/ruby-mode.el (ruby-calculate-indent): Consider
17833 two-character operators and whether the character preceding them
17834 changes their meaning (Bug#15208).
17836 2013-09-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
17838 Format code sent to Python shell for robustness.
17839 * progmodes/python.el (python-shell-buffer-substring):
17841 (python-shell-send-region, python-shell-send-buffer): Use it.
17843 2013-09-02 Michael Albinus <michael.albinus@gmx.de>
17845 * net/tramp-compat.el (tramp-compat-user-error): Move it ...
17846 * net/tramp.el (tramp-user-error): ... here.
17847 (tramp-find-method, tramp-check-proper-host)
17848 (tramp-dissect-file-name, tramp-debug-message)
17849 (tramp-handle-shell-command):
17850 * net/tramp-adb.el (tramp-adb-handle-shell-command):
17851 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler): Adapt callees.
17853 * net/tramp-cache.el (tramp-cache-print): Don't print text properties.
17855 2013-09-02 Martin Rudalics <rudalics@gmx.at>
17857 * avoid.el (mouse-avoidance-point-position)
17858 (mouse-avoidance-too-close-p): Handle case where posn-at-point
17861 2013-09-02 Fabián Ezequiel Gallina <fgallina@gnu.org>
17863 * progmodes/python.el (python-shell-completion-get-completions):
17864 Drop use of deleted `comint-last-prompt-overlay'.
17865 (python-nav-if-name-main): New command.
17867 2013-09-01 Glenn Morris <rgm@gnu.org>
17869 * Makefile.in (setwins, setwins_almost, setwins_for_subdirs):
17870 Avoid leading space in $wins. Otherwise the sed command used by
17871 eg compile-main ends up containing "/*.el". (Bug#15170)
17873 * frame.el (frame-background-mode): Doc fix. (Bug#15226)
17875 2013-08-30 Glenn Morris <rgm@gnu.org>
17877 * emacs-lisp/bytecomp.el (byte-recompile-directory):
17878 Fix is-this-a-directory logic. (Bug#15220)
17880 2013-08-29 Stefan Monnier <monnier@iro.umontreal.ca>
17882 * textmodes/css-mode.el: Use SMIE.
17883 (css-smie-grammar): New var.
17884 (css-smie--forward-token, css-smie--backward-token)
17885 (css-smie-rules): New functions.
17886 (css-mode): Use them.
17887 (css-navigation-syntax-table): Remove var.
17888 (css-backward-sexp, css-forward-sexp, css-indent-calculate-virtual)
17889 (css-indent-calculate, css-indent-line): Remove functions.
17891 Misc changes to reduce use of `(lambda...); and other cleanups.
17892 * cus-edit.el: Use lexical-binding.
17893 (customize-push-and-save, customize-apropos)
17894 (custom-buffer-create-internal): Use closures.
17895 * progmodes/bat-mode.el (bat-mode-syntax-table): "..." are strings.
17896 * progmodes/ada-xref.el: Use setq.
17897 * net/tramp.el (with-tramp-progress-reporter): Avoid setq.
17898 * dframe.el: Use lexical-binding.
17899 (dframe-frame-mode): Fix calling convention for hooks. Use a closure.
17900 * speedbar.el (speedbar-frame-mode): Adjust call accordingly.
17901 * descr-text.el: Use lexical-binding.
17902 (describe-text-widget, describe-text-sexp, describe-property-list):
17904 * comint.el (comint-history-isearch-push-state): Use a closure.
17905 * calculator.el: Use lexical-binding.
17906 (calculator-number-to-string): Make it work with lexical-binding.
17907 (calculator-funcall): Same and use cl-letf.
17909 * emacs-lisp/lisp.el (lisp--company-doc-buffer)
17910 (lisp--company-doc-string, lisp--company-location): New functions.
17911 (lisp-completion-at-point): Use them to improve Company support.
17913 * progmodes/ruby-mode.el (ruby-smie-grammar): Add rule for formal
17914 params of lambda expressions.
17915 (ruby-smie--implicit-semi-p): Refine rule (bug#15208).
17916 (ruby-smie--opening-pipe-p): New function.
17917 (ruby-smie--forward-token, ruby-smie--backward-token): Handle Ruby
17918 symbols and matched |...| for formal params.
17919 (ruby-smie-rules): Don't let the formal params of a "do" prevent it
17920 from being treated as hanging. Handle "rescue".
17922 2013-08-29 Glenn Morris <rgm@gnu.org>
17924 * progmodes/cc-engine.el (c-pull-open-brace):
17925 Move definition before use.
17927 2013-08-29 Stefan Monnier <monnier@iro.umontreal.ca>
17929 * emacs-lisp/cl-macs.el (cl-defsubst): Make it clear that args
17930 are immutable. Don't use `unsafe' any more.
17931 (cl--defsubst-expand): Don't substitute at the same time as keeping
17932 a residual unused let-binding. Don't use `unsafe' any more.
17934 2013-08-29 Glenn Morris <rgm@gnu.org>
17936 * calendar/cal-china.el (calendar-chinese-year-cache):
17939 * nxml/nxml-util.el (nxml-debug-clear-inside):
17940 Use cl-loop rather than loop.
17942 * net/eww.el (eww-mode-map): Lower-case menu bar entries look bad.
17944 * progmodes/sh-script.el (sh-builtins) <bash>: Add some bash4-isms.
17946 2013-08-28 Glenn Morris <rgm@gnu.org>
17948 * progmodes/antlr-mode.el: No need to require cc-mode twice.
17950 * progmodes/cc-bytecomp.el (cc-require): Handle uncompiled case.
17952 * progmodes/cc-mode.el (c-define-abbrev-table): Handle NAME unbound.
17954 2013-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
17956 * simple.el (repeat-complex-command--called-interactively-skip):
17958 (repeat-complex-command): Use it (bug#14136).
17960 * progmodes/cc-mode.el: Minor cleanup of var declarations.
17961 (c-define-abbrev-table): Add `doc' argument.
17962 (c-mode-abbrev-table, c++-mode-abbrev-table)
17963 (objc-mode-abbrev-table, java-mode-abbrev-table)
17964 (idl-mode-abbrev-table, pike-mode-abbrev-table)
17965 (awk-mode-abbrev-table): Use it.
17966 (c-mode-syntax-table, c-mode-map, c++-mode-syntax-table)
17967 (c++-mode-map, objc-mode-syntax-table, objc-mode-map)
17968 (java-mode-syntax-table, java-mode-map, idl-mode-syntax-table)
17969 (idl-mode-map, pike-mode-syntax-table, pike-mode-map, awk-mode-map):
17970 Move initialization into the declaration; and remove any
17973 * epg.el (epg--process-filter): Use with-current-buffer, save-excursion
17974 and dynamic let binding.
17976 * vc/smerge-mode.el: Remove redundant :group args.
17978 * emacs-lisp/package.el (package-activate-1): Don't add unnecessarily
17981 2013-08-28 Juri Linkov <juri@jurta.org>
17983 * isearch.el (isearch-reread-key-sequence-naturally): Use non-nil
17984 arg DONT-DOWNCASE-LAST of `read-key-sequence'.
17985 (isearch-other-meta-char): Handle an undefined shifted printing
17986 character by downshifting it. (Bug#15200)
17988 2013-08-28 Juri Linkov <juri@jurta.org>
17990 * isearch.el (isearch-search): Change regexp error message for
17991 non-regexp searches. (Bug#15166)
17993 2013-08-28 Paul Eggert <eggert@cs.ucla.edu>
17995 * Makefile.in (SHELL): Now @SHELL@, not /bin/sh,
17996 for portability to hosts where /bin/sh has problems.
17998 2013-08-28 Stefan Monnier <monnier@iro.umontreal.ca>
18000 * emacs-lisp/cconv.el (cconv--analyse-function): Improve warning.
18002 2013-08-27 Juri Linkov <juri@jurta.org>
18004 * isearch.el (isearch-other-meta-char): Don't store kmacro commands
18005 in the keyboard macro. (Bug#15126)
18007 2013-08-27 Juri Linkov <juri@jurta.org>
18009 * isearch.el (isearch-quote-char): Comment out converting unibyte
18010 to multibyte, thus syncing with its `quoted-insert' counterpart.
18013 2013-08-27 Martin Rudalics <rudalics@gmx.at>
18015 * window.el (display-buffer-use-some-window): Add missing
18016 argument in call of get-largest-window (Bug#15185).
18017 Reported by Stephen Leake.
18019 2013-08-27 Glenn Morris <rgm@gnu.org>
18021 * emacs-lisp/package.el (package-buffer-info): Fix message typo.
18023 2013-08-27 Stefan Monnier <monnier@iro.umontreal.ca>
18025 * progmodes/python.el (python-font-lock-keywords): Don't return nil
18026 from a matcher-function unless there's no more matches (bug#15161).
18028 2013-08-26 Michael Albinus <michael.albinus@gmx.de>
18030 * minibuffer.el: Revert change from 2013-08-20.
18032 * net/tramp.el (tramp-find-method, tramp-find-user): Mark result
18033 with text property `tramp-default', if appropriate.
18034 (tramp-check-proper-host): New defun.
18035 (tramp-dissect-file-name): Do not check hostname. Revert change
18037 (tramp-backtrace): Make VEC-OR-PROC optional.
18039 * net/tramp-adb.el (tramp-adb-maybe-open-connection):
18040 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
18041 * net/tramp-sh.el (tramp-maybe-open-connection):
18042 * net/tramp-smb.el (tramp-smb-maybe-open-connection):
18043 Apply `tramp-check-proper-host'.
18045 2013-08-26 Tassilo Horn <tsdh@gnu.org>
18047 * epa-hook.el (epa-file-encrypt-to): Quote `safe-local-variable'
18048 lambda expression in order to have `describe-variable' display it.
18050 2013-08-26 Michael Albinus <michael.albinus@gmx.de>
18052 * net/tramp-sh.el (tramp-sh-handle-verify-visited-file-modtime):
18053 BUF can be optional. (Bug#15186)
18055 2013-08-25 Xue Fuqiao <xfq.free@gmail.com>
18057 * progmodes/flymake.el (flymake-get-real-file-name-function):
18058 Fix broken customization. (Bug#15184)
18060 2013-08-25 Alan Mackenzie <acm@muc.de>
18062 Improve indentation of bracelists defined by macros (without "=").
18064 * progmodes/cc-engine.el (c-inside-bracelist-p): When a macro
18065 expansion begins with "{", regard it as bracelist when it doesn't
18068 Parse C++ inher-intro when there's a template split over 2 lines.
18070 * progmodes/cc-engine.el (c-guess-basic-syntax CASE 5C): Code more
18071 rigorously the search for "class" etc. followed by ":".
18073 * progmodes/cc-langs.el (c-opt-<>-sexp-key): Make the value for
18074 random languages a regexp which never matches rather than nil.
18076 Handle "/"s more accurately in test for virtual semicolons (AWK Mode).
18078 * progmodes/cc-awk.el (c-awk-one-line-possibly-open-string-re)
18079 (c-awk-regexp-one-line-possibly-open-char-list-re)
18080 (c-awk-one-line-possibly-open-regexp-re)
18081 (c-awk-one-line-non-syn-ws*-re): Remove.
18082 (c-awk-possibly-open-string-re, c-awk-non-/-syn-ws*-re)
18083 (c-awk-space*-/-re, c-awk-space*-regexp-/-re)
18084 (c-awk-space*-unclosed-regexp-/-re): New constants.
18085 (c-awk-at-vsemi-p): Reformulate better to recognize "/"s which
18086 aren't regexp delimiters.
18088 * progmodes/cc-engine.el (c-crosses-statement-barrier-p): Add in
18089 handling for a rare situation in AWK Mode involving unterminated
18092 2013-08-23 Glenn Morris <rgm@gnu.org>
18094 * files.el (auto-mode-alist): Use sh-mode for .bash_history.
18096 * files.el (interpreter-mode-alist): Use tcl-mode for expect scripts.
18098 * files.el (create-file-buffer): If the result would begin with
18099 spaces, prepend a "|" instead of removing them. (Bug#15162)
18101 2013-08-23 Stefan Monnier <monnier@iro.umontreal.ca>
18103 * textmodes/fill.el (fill-match-adaptive-prefix): Don't throw away
18104 text-properties (bug#15155).
18106 * calc/calc-keypd.el (calc-keypad-execute): `x-flush-mouse-queue' doesn't
18108 (calc-keypad-redraw): Remove unused var `pad'.
18109 (calc-keypad-press): Remove unused var `menu'.
18111 2013-08-23 Martin Rudalics <rudalics@gmx.at>
18113 * window.el (display-buffer-pop-up-frame):
18114 Call pop-up-frame-function with BUFFER current so `make-frame' will
18115 use it as the new frame's buffer (Bug#15133).
18117 2013-08-22 Stefan Monnier <monnier@iro.umontreal.ca>
18119 * calendar/timeclock.el: Minor cleanups.
18120 (timeclock-ask-before-exiting, timeclock-use-display-time):
18122 (timeclock-modeline-display): Define as alias before the
18124 (timeclock-mode-line-display): Use define-minor-mode.
18125 (timeclock-day-list-template): Make it a function, add an argument.
18126 (timeclock-day-list-required, timeclock-day-list-length)
18127 (timeclock-day-list-debt, timeclock-day-list-span)
18128 (timeclock-day-list-break): Adjust calls accordingly.
18130 2013-08-21 Stefan Monnier <monnier@iro.umontreal.ca>
18132 * emacs-lisp/pp.el (pp-eval-expression, pp-macroexpand-expression):
18133 Use read--expression so that completion works again.
18135 2013-08-21 Sam Steingold <sds@gnu.org>
18137 Add rudimentary inferior shell interaction
18138 * progmodes/sh-script.el (sh-shell-process): New buffer-local variable.
18139 (sh-set-shell): Reset it.
18140 (sh-show-shell, sh-cd-here, sh-send-line-or-region-and-step):
18141 New commands (bound to C-c C-z, C-c C-d, and C-c C-n).
18143 2013-08-20 Stefan Monnier <monnier@iro.umontreal.ca>
18145 * align.el: Use lexical-binding.
18146 (align-region): Simplify accordingly.
18148 2013-08-20 Michael Albinus <michael.albinus@gmx.de>
18150 * minibuffer.el (completion--sifn-requote): Bind `non-essential'.
18152 * rfn-eshadow.el (rfn-eshadow-update-overlay): Move binding of
18153 `non-essential' up.
18155 2013-08-17 Michael Albinus <michael.albinus@gmx.de>
18158 * net/tramp-adb.el:
18159 * net/tramp-cmds.el:
18160 * net/tramp-ftp.el:
18161 * net/tramp-gvfs.el:
18163 * net/tramp-sh.el: Don't wrap external variable declarations by
18164 `eval-when-compile'.
18166 2013-08-16 Lars Magne Ingebrigtsen <larsi@gnus.org>
18168 * net/shr.el (shr-rescale-image): Use ImageMagick even for GIFs
18169 now that Emacs supports ImageMagick animations.
18171 2013-08-16 Michael Albinus <michael.albinus@gmx.de>
18173 * net/tramp-cmds.el (top): Don't declare `buffer-name'.
18174 (tramp-append-tramp-buffers): Rewrite buffer local variables part.
18176 2013-08-16 Martin Rudalics <rudalics@gmx.at>
18178 * window.el (mouse-autoselect-window-select): Do autoselect when
18179 mouse pointer is on margin.
18181 2013-08-16 William Parsons <wbparsons@alum.mit.edu> (tiny change)
18183 * net/ange-ftp.el (ange-ftp-skip-msgs): Add 500 EPSV. (Bug#1972)
18185 2013-08-16 Glenn Morris <rgm@gnu.org>
18187 * net/ange-ftp.el (ange-ftp-good-msgs, ange-ftp-get-pwd):
18188 Handle "Remote Directory" response of some clients. (Bug#15058)
18190 * emacs-lisp/bytecomp.el (byte-compile-make-variable-buffer-local):
18191 Tweak warning. (Bug#14926)
18193 * menu-bar.el (send-mail-item-name, read-mail-item-name): Remove.
18194 (menu-bar-tools-menu): Simplify news and mail items. (Bug#15095)
18196 * image-mode.el (image-mode-map): Add menu items to reverse,
18197 increase, decrease, reset animation speed.
18198 (image--set-speed, image-increase-speed, image-decrease-speed)
18199 (image-reverse-speed, image-reset-speed): New functions.
18200 (image-mode-map): Add bindings for speed commands.
18202 * image.el (image-animate-get-speed, image-animate-set-speed):
18204 (image-animate-timeout): Respect image :speed property.
18206 2013-08-15 Stefan Monnier <monnier@iro.umontreal.ca>
18208 * emacs-lisp/debug.el (debugger-setup-buffer): Put point on the
18209 previous line (bug#15101).
18210 (debugger-eval-expression, debugger-record-expression):
18211 Use read--expression (bug#15102).
18213 2013-08-15 Michael Albinus <michael.albinus@gmx.de>
18215 Remove byte compiler warnings, visible when compiling with
18216 `byte-compile-force-lexical-warnings' set to t.
18218 * net/tramp.el (tramp-debug-message, tramp-message, tramp-error)
18219 (tramp-error-with-buffer): Rename ARGS to ARGUMENTS and BUFFER to BUF.
18220 (tramp-handle-unhandled-file-name-directory)
18221 (tramp-handle-file-notify-add-watch, tramp-action-login)
18222 (tramp-action-succeed, tramp-action-permission-denied)
18223 (tramp-action-terminal, tramp-action-process-alive): Prefix unused
18224 arguments with "_".
18226 * net/tramp-adb.el (tramp-adb-parse-device-names)
18227 (tramp-adb-handle-insert-directory, tramp-adb-handle-delete-file)
18228 (tramp-adb-handle-copy-file): Prefix unused arguments with "_".
18229 (tramp-adb-handle-file-truename): Remove unused arguments.
18231 * net/tramp-cache.el (tramp-flush-directory-property)
18232 (tramp-flush-connection-property, tramp-list-connections)
18233 (tramp-parse-connection-properties): Prefix unused arguments with "_".
18235 * net/tramp-compat.el (tramp-compat-make-temp-file):
18236 Rename FILENAME to F.
18238 * net/tramp-gvfs.el (tramp-gvfs-handle-file-notify-add-watch)
18239 (tramp-gvfs-handle-write-region, tramp-bluez-parse-device-names)
18240 (tramp-zeroconf-parse-workstation-device-names)
18241 (tramp-zeroconf-parse-webdav-device-names)
18242 (tramp-synce-parse-device-names): Prefix unused arguments with "_".
18244 * net/tramp-gw.el (tramp-gw-gw-proc-sentinel)
18245 (tramp-gw-aux-proc-sentinel): Prefix unused arguments with "_".
18247 * net/tramp-sh.el (tramp-sh-handle-file-truename): Remove unused
18249 (tramp-sh-handle-copy-file, tramp-sh-handle-dired-compress-file)
18250 (tramp-sh-handle-insert-file-contents-literally)
18251 (tramp-sh-handle-file-notify-add-watch): Prefix unused arguments
18253 (tramp-do-copy-or-rename-file, tramp-barf-if-no-shell-prompt):
18254 Remove unused variables.
18256 * net/tramp-smb.el (tramp-smb-handle-copy-directory)
18257 (tramp-smb-handle-copy-file, tramp-smb-handle-delete-file)
18258 (tramp-smb-read-file-entry): Prefix unused arguments with "_".
18260 * net/tramp-uu.el (tramp-uu-b64-alphabet, tramp-uu-b64-char-to-byte):
18261 Make them a defconst.
18262 (tramp-uuencode-region): Remove unused variable.
18264 2013-08-14 Juanma Barranquero <lekktu@gmail.com>
18266 * frameset.el (frameset--prop-setter): New function.
18267 (frameset-prop): Add gv-setter declaration.
18268 (frameset-filter-minibuffer): Deal with the case that the minibuffer
18269 parameter was already set in FILTERED. Doc fix.
18270 (frameset--record-minibuffer-relationships): Allow saving a
18271 minibufferless frame without its corresponding minibuffer frame.
18272 (frameset--reuse-frame): Accept a match from an orphaned minibufferless
18273 frame, if the frame id matches.
18274 (frameset--minibufferless-last-p): Sort non-orphaned minibufferless
18275 frames before orphaned ones.
18276 (frameset-restore): Warn about orphaned windows, instead of error out.
18278 2013-08-14 Martin Rudalics <rudalics@gmx.at>
18280 * window.el (window-make-atom): Don't overwrite parameter
18282 (display-buffer-in-atom-window): Handle special case where we
18283 split an already atomic window.
18284 (window--major-non-side-window, display-buffer-in-side-window)
18285 (window--side-check): Ignore minibuffer window when walking
18287 (window-deletable-p): Return 'frame only if no other frame uses
18288 our minibuffer window.
18289 (record-window-buffer): Run buffer-list-update-hook.
18290 (split-window): Make sure window--check-frame won't destroy an
18291 existing atomic window in case the new window gets nested
18293 (display-buffer-at-bottom): Ignore minibuffer window when
18294 walking window tree. Don't split a side window.
18295 (pop-to-buffer): Don't set-buffer here, the select-window call
18297 (mouse-autoselect-window-select): Autoselect only if we are in the
18298 text portion of the window.
18300 2013-08-13 Lars Magne Ingebrigtsen <larsi@gnus.org>
18302 * net/shr.el (shr-parse-image-data): New function to grab both the
18303 data itself and the Content-Type.
18304 (shr-put-image): Use it.
18306 * net/eww.el (eww-display-image): Ditto.
18308 * image.el (image-content-type-suffixes): New variable.
18310 2013-08-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
18312 * progmodes/python.el (python-imenu--build-tree)
18313 (python-imenu--put-parent): Simplify and Fix (GH bug 146).
18315 2013-08-13 Xue Fuqiao <xfq.free@gmail.com>
18317 * simple.el (backward-word): Mention the optional argument.
18319 2013-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
18321 * frameset.el (frameset--make): Rename constructor from make-frameset.
18322 (frameset-p, frameset-valid-p): Don't autoload.
18323 (frameset-valid-p): Use normal accessors.
18325 2013-08-13 Glenn Morris <rgm@gnu.org>
18327 * progmodes/compile.el (compile-command): Tweak example in doc.
18328 * obsolete/scribe.el (scribe-mode):
18329 * progmodes/mixal-mode.el (mixal-mode): Quote buffer name. (Bug#15053)
18331 * mail/feedmail.el (feedmail-confirm-outgoing)
18332 (feedmail-display-full-frame, feedmail-deduce-bcc-where): Fix types.
18334 * cus-start.el (truncate-partial-width-windows): Fix type.
18336 * emulation/viper-init.el (viper-search-scroll-threshold): Fix type.
18338 * net/shr.el (shr-table-horizontal-line): Fix custom type.
18340 2013-08-13 Stefan Monnier <monnier@iro.umontreal.ca>
18342 * emacs-lisp/timer.el (timer--time-setter): New function.
18343 (timer--time): Use it as gv-setter.
18345 * emacs-lisp/gv.el (gv-define-simple-setter): Output warning when
18346 setter is not a symbol.
18348 2013-08-12 Grégoire Jadi <daimrod@gmail.com>
18350 * mail/sendmail.el (sendmail-send-it): Don't kill the error buffer
18351 if sending fails. This makes debugging easier.
18353 2013-08-12 Juanma Barranquero <lekktu@gmail.com>
18355 * xml.el (xml-parse-tag-1): Use looking-at (this reverts change in
18356 2013-08-11T00:07:48Z!lekktu@gmail.com, which breaks the test suite).
18357 https://lists.gnu.org/archive/html/emacs-devel/2013-08/msg00263.html
18359 2013-08-12 Eli Zaretskii <eliz@gnu.org>
18361 * term/w32-win.el (dynamic-library-alist): Add DLLs for zlib.
18363 2013-08-12 Glenn Morris <rgm@gnu.org>
18365 * format.el (format-annotate-function):
18366 Handle read-only text properties in the source. (Bug#14887)
18368 2013-08-11 Lars Magne Ingebrigtsen <larsi@gnus.org>
18370 * net/eww.el (eww-display-html): Ignore coding system errors.
18371 One web site uses "utf-8lias" as the coding system.
18373 2013-08-11 Juanma Barranquero <lekktu@gmail.com>
18375 * frameset.el (frameset-valid-p): Fix check; STATES can indeed be nil.
18377 2013-08-10 Juanma Barranquero <lekktu@gmail.com>
18379 * tutorial.el (tutorial--describe-nonstandard-key): Use string-match-p.
18380 (tutorial--detailed-help): Remove unused local variables.
18381 (tutorial--save-tutorial-to): Use ignore-errors.
18382 (help-with-tutorial): Use looking-at-p.
18384 * view.el (view-buffer-other-window, view-buffer-other-frame):
18385 Mark unused arguments.
18387 * woman.el (woman-parse-colon-path, woman-parse-colon-path)
18388 (woman-select-symbol-fonts, woman, woman-find-file)
18389 (woman-insert-file-contents, woman-non-underline-faces):
18390 Use string-match-p.
18391 (woman1-unquote): Move declaration.
18393 * xml.el (xml-parse-tag-1, xml-parse-string): Use looking-at-p.
18394 (xml-parse-dtd): Use looking-at-p, string-match-p. Mark unused
18395 argument. Remove unused local variable.
18396 (xml-parse-elem-type): Use string-match-p.
18397 (xml-substitute-numeric-entities): Use ignore-errors.
18399 * calculator.el (calculator): Mark unused argument.
18400 (calculator-paste, calculator-quit, calculator-integer-p):
18402 (calculator-string-to-number, calculator-decimal, calculator-exp)
18403 (calculator-op-or-exp): Use string-match-p.
18405 * dired.el (dired-buffer-more-recently-used-p): Declare.
18406 (dired-insert-set-properties, dired-insert-old-subdirs):
18409 * dired-aux.el (dired-compress): Use ignore-errors.
18410 (dired-do-chxxx, dired-do-chmod, dired-trample-file-versions)
18411 (dired-do-async-shell-command, dired-do-shell-command)
18412 (dired-shell-stuff-it, dired-compress-file, dired-insert-subdir)
18413 (dired-insert-subdir-validate): Use string-match-p.
18414 (dired-map-dired-file-lines, dired-subdir-hidden-p): Use looking-at-p.
18415 (dired-add-entry): Use string-match-p, looking-at-p.
18416 (dired-insert-subdir-newpos): Remove unused local variable.
18418 * filenotify.el (file-notify-callback): Remove unused local variable.
18420 * filesets.el (filesets-error): Mark unused argument.
18421 (filesets-which-command-p, filesets-filter-dir-names)
18422 (filesets-directory-files, filesets-get-external-viewer)
18423 (filesets-ingroup-get-data): Use string-match-p.
18425 * find-file.el (ff-other-file-name, ff-other-file-name)
18426 (ff-find-the-other-file, ff-cc-hh-converter):
18427 Remove unused local variables.
18428 (ff-get-file-name): Use string-match-p.
18429 (ff-all-dirs-under): Use ignore-errors.
18431 * follow.el (follow-comint-scroll-to-bottom): Mark unused argument.
18432 (follow-select-if-visible): Remove unused local variable.
18434 * forms.el (read-file-filter): Move declaration.
18435 (forms--make-format, forms--make-parser, forms-insert-record):
18436 Quote function with #'.
18437 (forms--update): Use string-match-p. Quote function with #'.
18439 * help-mode.el (help-dir-local-var-def): Mark unused argument.
18440 (help-make-xrefs): Use looking-at-p.
18441 (help-xref-on-pp): Use looking-at-p, ignore-errors.
18443 * ibuffer.el (ibuffer-ext-visible-p): Declare.
18444 (ibuffer-confirm-operation-on): Use string-match-p.
18446 * msb.el (msb-item-handler, msb-dired-item-handler):
18447 Mark unused arguments.
18449 * ses.el (ses-decode-cell-symbol)
18450 (ses-kill-override): Remove unused local variable.
18451 (ses-create-cell-variable, ses-relocate-formula): Use string-match-p.
18452 (ses-load): Use ignore-errors, looking-at-p.
18453 (ses-jump-safe): Use ignore-errors.
18454 (ses-export-tsv, ses-export-tsf, ses-unsafe): Mark unused arguments.
18456 * tabify.el (untabify, tabify): Mark unused arguments.
18458 * thingatpt.el (thing-at-point--bounds-of-well-formed-url):
18459 Mark unused argument.
18460 (bounds-of-thing-at-point, thing-at-point-bounds-of-list-at-point)
18461 (thing-at-point-newsgroup-p, form-at-point): Use ignore-errors.
18463 * emacs-lisp/timer.el (timer--time): Define setter with
18464 gv-define-setter to avoid deprecation warning.
18466 * completion.el: Remove stuff unused since revno:3176 (1993-05-27).
18467 (*record-cmpl-statistics-p*): Remove (was commented out).
18468 (cmpl-statistics-block): Remove (body was commented out).
18469 All callers changed.
18470 (add-completions-from-buffer, load-completions-from-file):
18471 Remove unused variables.
18473 2013-08-09 Juanma Barranquero <lekktu@gmail.com>
18475 * filecache.el (file-cache-delete-file-list):
18476 Print message only when told so.
18477 (file-cache-files-matching): Use #' in mapconcat argument.
18479 * ffap.el (ffap-url-at-point): Fix reference to variable
18480 thing-at-point-default-mail-uri-scheme.
18482 2013-08-09 Stefan Monnier <monnier@iro.umontreal.ca>
18484 * subr.el (define-error): New function.
18485 * progmodes/ada-xref.el (ada-error-file-not-found): Rename from
18486 error-file-not-found and define with define-error.
18487 * emacs-lisp/cl-lib.el (cl-assertion-failed): Move here from subr.el
18488 and define with define-error.
18489 * userlock.el (file-locked, file-supersession):
18490 * simple.el (mark-inactive):
18491 * progmodes/js.el (js-moz-bad-rpc, js-js-error):
18492 * progmodes/ada-mode.el (ada-mode-errors):
18493 * play/life.el (life-extinct):
18494 * nxml/xsd-regexp.el (xsdre-invalid-regexp, xsdre-parse-error):
18495 * nxml/xmltok.el (xmltok-markup-declaration-parse-error):
18496 * nxml/rng-util.el (rng-error):
18497 * nxml/rng-uri.el (rng-uri-error):
18498 * nxml/rng-match.el (rng-compile-error):
18499 * nxml/rng-cmpct.el (rng-c-incorrect-schema):
18500 * nxml/nxml-util.el (nxml-error, nxml-file-parse-error):
18501 * nxml/nxml-rap.el (nxml-scan-error):
18502 * nxml/nxml-outln.el (nxml-outline-error):
18503 * net/soap-client.el (soap-error):
18504 * net/gnutls.el (gnutls-error):
18505 * net/ange-ftp.el (ftp-error):
18506 * mpc.el (mpc-proc-error):
18507 * json.el (json-error, json-readtable-error, json-unknown-keyword)
18508 (json-number-format, json-string-escape, json-string-format)
18509 (json-key-format, json-object-format):
18510 * jka-compr.el (compression-error):
18511 * international/quail.el (quail-error):
18512 * international/kkc.el (kkc-error):
18513 * emacs-lisp/ert.el (ert-test-failed):
18514 * calc/calc.el (calc-error, inexact-result, math-overflow)
18516 * bookmark.el (bookmark-error-no-filename):
18517 * epg.el (epg-error): Define with define-error.
18519 * time.el (display-time-event-handler)
18520 (display-time-next-load-average): Don't call sit-for since it seems
18521 unnecessary (bug#15045).
18523 * emacs-lisp/checkdoc.el: Remove redundant :group keywords.
18524 Use #' instead of ' to quote functions.
18525 (checkdoc-output-mode): Use setq-local.
18526 (checkdoc-spellcheck-documentation-flag, checkdoc-ispell-lisp-words)
18527 (checkdoc-verb-check-experimental-flag, checkdoc-proper-noun-regexp)
18528 (checkdoc-common-verbs-regexp): Mark safe-local-variable (bug#15010).
18529 (checkdoc-ispell, checkdoc-ispell-current-buffer)
18530 (checkdoc-ispell-interactive, checkdoc-ispell-message-interactive)
18531 (checkdoc-ispell-message-text, checkdoc-ispell-start)
18532 (checkdoc-ispell-continue, checkdoc-ispell-comments)
18533 (checkdoc-ispell-defun): Remove unused arg `take-notes'.
18535 * ido.el (ido-completion-help): Fix up compiler warning.
18537 2013-08-09 Juanma Barranquero <lekktu@gmail.com>
18539 * frameset.el (frameset-p): Add autoload cookie.
18540 (frameset--jump-to-register): New function, based on code moved from
18542 (frameset-to-register): Move from register.el. Adapt to `registerv'.
18544 * register.el (frameset-frame-id, frameset-frame-with-id, frameset-p)
18545 (frameset-restore, frameset-save, frameset-session-filter-alist):
18546 Remove declarations.
18547 (register-alist): Doc fix.
18548 (frameset-to-register): Move to frameset.el.
18549 (jump-to-register, describe-register-1): Remove frameset-specific code.
18551 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
18553 * allout-widgets.el (allout-widgets-pre-command-business)
18554 (allout-widgets-post-command-business)
18555 (allout-widgets-after-change-handler)
18556 (allout-decorate-item-and-context, allout-set-boundary-marker)
18557 (allout-body-modification-handler)
18558 (allout-graphics-modification-handler): Mark ignored arguments.
18559 (allout-widgets-post-command-business)
18560 (allout-widgets-exposure-change-processor)
18561 (allout-widgets-exposure-undo-processor)
18562 (allout-decorate-item-and-context, allout-redecorate-visible-subtree)
18563 (allout-parse-item-at-point, allout-decorate-item-guides)
18564 (allout-decorate-item-cue, allout-item-span): Remove unused variables.
18565 * allout.el (epa-passphrase-callback-function): Declare.
18566 (allout-overlay-insert-in-front-handler)
18567 (allout-overlay-interior-modification-handler)
18568 (allout-isearch-end-handler, allout-chart-siblings)
18569 (allout-up-current-level, allout-end-of-level, allout-reindent-body)
18570 (allout-yank-processing, allout-process-exposed)
18571 (allout-latex-verb-quote, allout-latexify-one-item, outlineify-sticky)
18572 (allout-latex-verbatim-quote-curr-line): Remove unused variables.
18573 * emacs-lisp/lisp-mode.el (lisp-eval-defun, last-sexp-toggle-display)
18574 (lisp-indent-defform): Mark ignored arguments.
18575 (lisp-indent-line): Mark ignored arguments. Remove unused variables.
18576 (calculate-lisp-indent): Remove unused variables.
18577 * international/characters.el (indian-2-column, arabic-2-column)
18578 (tibetan): Mark ignored arguments.
18579 (use-cjk-char-width-table): Mark ignored arguments.
18580 Remove unused variables.
18581 * international/fontset.el (build-default-fontset-data)
18582 (x-compose-font-name, create-fontset-from-fontset-spec):
18583 Mark ignored arguments.
18584 (fontset-plain-name): Remove unused variables.
18585 * international/mule.el (charset-id, charset-bytes, generic-char-p)
18586 (keyboard-coding-system): Mark ignored arguments.
18587 (find-auto-coding): Remove unused variables. Use `ignore-errors'.
18588 * help.el (resize-temp-buffer-window):
18589 * window.el (display-buffer-in-major-side-window)
18590 (display-buffer-in-side-window, display-buffer-in-previous-window):
18591 Remove unused variables.
18592 * isearch.el (isearch-forward-symbol):
18593 * version.el (emacs-bzr-version-bzr):
18594 * international/mule-cmds.el (current-language-environment):
18595 * term/common-win.el (x-handle-iconic, x-handle-geometry)
18596 (x-handle-display):
18597 * term/pc-win.el (x-list-fonts, x-display-planes)
18598 (x-display-color-cells, x-server-max-request-size, x-server-vendor)
18599 (x-server-version, x-display-screens, x-display-mm-height)
18600 (x-display-mm-width, x-display-backing-store, x-display-visual-class)
18601 (x-selection-owner-p, x-own-selection-internal)
18602 (x-disown-selection-internal, x-get-selection-internal)
18603 (msdos-initialize-window-system):
18604 * term/tty-colors.el (tty-color-alist, tty-color-clear):
18605 * term/x-win.el (x-handle-no-bitmap-icon):
18606 * vc/vc-hooks.el (vc-mode, vc-default-make-version-backups-p)
18607 (vc-default-find-file-hook, vc-default-extra-menu):
18608 Mark ignored arguments.
18610 2013-08-08 Stefan Monnier <monnier@iro.umontreal.ca>
18612 * emacs-lisp/edebug.el (edebug-debugger): Use edebug-eval to run the
18613 break-condition in the context of the debugged code (bug#12685).
18615 2013-08-08 Christopher Schmidt <christopher@ch.ristopher.com>
18618 Do not use an overlay to highlight the last prompt. (Bug#14744)
18619 (comint-mode): Make comint-last-prompt buffer local.
18620 (comint-last-prompt): New variable.
18621 (comint-last-prompt-overlay): Remove. Superseded by
18622 comint-last-prompt.
18623 (comint-snapshot-last-prompt, comint-output-filter):
18624 Use comint-last-prompt.
18626 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
18628 * frameset.el (frameset-valid-p): Check vector length. Doc fix.
18629 (frameset-save): Check validity of the resulting frameset.
18631 2013-08-08 Xue Fuqiao <xfq.free@gmail.com>
18633 * ido.el (ido-record-command): Add doc string.
18635 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
18637 * frameset.el (frameset): Do not disable creation of the default
18638 frameset-p predicate. Doc fix.
18639 (frameset-valid-p): New function, copied from the old predicate-p.
18640 Add additional checks.
18641 (frameset-restore): Check with frameset-valid-p.
18642 (frameset-p, frameset-version, frameset-timestamp, frameset-app)
18643 (frameset-name, frameset-description, frameset-properties)
18644 (frameset-states): Add docstring.
18645 (frameset-session-filter-alist, frameset-persistent-filter-alist)
18646 (frameset-filter-alist): Doc fixes.
18648 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
18650 * frameset.el (frameset-p, frameset-prop): Doc fixes.
18652 2013-08-08 Stefan Monnier <monnier@iro.umontreal.ca>
18654 * emacs-lisp/bytecomp.el (byte-compile-function-warn): New function,
18655 extracted from byte-compile-callargs-warn and byte-compile-normal-call.
18656 (byte-compile-callargs-warn, byte-compile-function-form): Use it.
18657 (byte-compile-normal-call): Remove obsolescence check.
18659 2013-08-08 Juanma Barranquero <lekktu@gmail.com>
18661 * frameset.el (frameset-restore): Doc fix.
18663 * register.el (frameset-frame-id, frameset-frame-with-id)
18664 (frameset-p, frameset-restore, frameset-save): Declare.
18665 (register-alist): Document framesets.
18666 (frameset-session-filter-alist): Declare.
18667 (frameset-to-register): New function.
18668 (jump-to-register): Implement jumping to framesets. Doc fix.
18669 (describe-register-1): Describe framesets.
18671 * bindings.el (ctl-x-r-map): Bind ?f to frameset-to-register.
18673 2013-08-07 Juanma Barranquero <lekktu@gmail.com>
18675 * desktop.el (desktop-save-frameset): Use new frameset-save args.
18676 Use lexical-binding.
18678 * frameset.el (frameset): Use type vector, not list (incompatible
18679 change). Do not declare a new constructor, use the default one.
18680 Upgrade suggested properties `app', `name' and `desc' to slots `app',
18681 `name' and `description', respectively, and add read-only slot
18682 `timestamp'. Doc fixes.
18683 (frameset-copy, frameset-persistent-filter-alist)
18684 (frameset-filter-alist, frameset-switch-to-gui-p)
18685 (frameset-switch-to-tty-p, frameset-filter-tty-to-GUI)
18686 (frameset-filter-sanitize-color, frameset-filter-minibuffer)
18687 (frameset-filter-iconified, frameset-keep-original-display-p):
18689 (frameset-filter-shelve-param, frameset-filter-unshelve-param):
18690 Rename from frameset-filter-(save|restore)-param. All callers changed.
18692 (frameset-p): Adapt to change to vector and be more thorough.
18693 Change arg name to OBJECT. Doc fix.
18694 (frameset-prop): Rename arg PROP to PROPERTY. Doc fix.
18695 (frameset-session-filter-alist): Rename from frameset-live-filter-alist.
18696 All callers changed.
18697 (frameset-frame-with-id): Rename from frameset-locate-frame-id.
18698 All callers changed.
18699 (frameset--record-minibuffer-relationships): Rename from
18700 frameset--process-minibuffer-frames. All callers changed.
18701 (frameset-save): Add new keyword arguments APP, NAME and DESCRIPTION.
18702 Use new default constructor (again). Doc fix.
18703 (frameset--find-frame-if): Rename from `frameset--find-frame'.
18704 All callers changed.
18705 (frameset--reuse-frame): Rename arg FRAME-CFG to PARAMETERS.
18706 (frameset--initial-params): Rename arg FRAME-CFG to PARAMETERS.
18708 (frameset--restore-frame): Rename args FRAME-CFG and WINDOW-CFG to
18709 PARAMETERS and WINDOW-STATE, respectively.
18710 (frameset-restore): Add new keyword argument PREDICATE.
18711 Reset frameset--target-display to nil. Doc fix.
18713 2013-08-07 Stefan Monnier <monnier@iro.umontreal.ca>
18715 * progmodes/bat-mode.el (bat--syntax-propertize): New var.
18716 (bat-mode): Use it.
18717 (bat-mode-syntax-table): Mark \n as end-of-comment.
18718 (bat-font-lock-keywords): Remove comment rule.
18720 * progmodes/bat-mode.el: Rename from dos.el. Use "bat-" prefix.
18721 (dos-mode-help): Remove. Use describe-mode (C-h m) instead.
18723 * emacs-lisp/bytecomp.el: Check existence of f in #'f.
18724 (byte-compile-callargs-warn): Use `push'.
18725 (byte-compile-arglist-warn): Ignore higher-order "calls".
18726 (byte-compile-file-form-autoload): Use `pcase'.
18727 (byte-compile-function-form): If quoting a symbol, check that it exists.
18729 2013-08-07 Eli Zaretskii <eliz@gnu.org>
18731 * progmodes/dos.el (dos-font-lock-keywords): Rename LINUX to UNIX
18732 and add a few popular commands found in batch files.
18733 (dos, dos-label-face, dos-cmd-help, dos-run, dos-run-args)
18734 (dos-mode): Doc fixes.
18736 2013-08-07 Stefan Monnier <monnier@iro.umontreal.ca>
18738 * progmodes/dos.el (auto-mode-alist): Add entries for dos-mode.
18739 (dos-mode): Use setq-local. Add space after "rem".
18740 (dos-mode-syntax-table): Don't use "w" for symbol chars.
18741 (dos-font-lock-keywords): Try to adjust font-lock rules accordingly.
18743 2013-08-07 Arni Magnusson <arnima@hafro.is>
18745 * progmodes/dos.el: New file.
18746 * generic-x.el (bat-generic-mode): Redefine as an obsolete alias to
18749 2013-08-06 Glenn Morris <rgm@gnu.org>
18751 * calendar/calendar.el: Add new faces, and day-header-array.
18752 (calendar-weekday-header, calendar-weekend-header)
18753 (calendar-month-header): New faces.
18754 (calendar-day-header-construct): New function.
18755 (calendar-day-header-width): Also :set calendar-day-header-array.
18756 (calendar-american-month-header, calendar-european-month-header)
18757 (calendar-iso-month-header): Use calendar- faces.
18758 (calendar-generate-month):
18759 Use calendar-day-header-array for day headers; apply faces to them.
18760 (calendar-mode): Check calendar-font-lock-keywords non-nil.
18761 (calendar-abbrev-construct): Add optional maxlen argument.
18762 (calendar-day-name-array): Doc fix.
18763 (calendar-day-name-array, calendar-abbrev-length)
18764 (calendar-day-abbrev-array):
18765 Also :set calendar-day-header-array, and maybe redraw.
18766 (calendar-day-header-array): New option. (Bug#15007)
18767 (calendar-font-lock-keywords): Set to nil and make obsolete.
18768 (calendar-day-name): Add option to use header array.
18770 2013-08-06 Lars Magne Ingebrigtsen <larsi@gnus.org>
18772 * net/shr.el (shr-render-td): Remove debugging.
18773 (shr-render-td): Make width computation consistent by defaulting
18774 all zero-width columns to 10 characters. This may not be optimal,
18775 but it's at least consistent.
18776 (shr-make-table-1): Redo last change to fix the real problem in
18779 2013-08-06 Dmitry Antipov <dmantipov@yandex.ru>
18781 * files.el (cache-long-line-scans):
18782 Make obsolete alias to `cache-long-scans'.
18784 2013-08-06 Juanma Barranquero <lekktu@gmail.com>
18786 * frameset.el (frameset, frameset-filter-alist)
18787 (frameset-filter-params, frameset-save, frameset--reuse-frame)
18788 (frameset--minibufferless-last-p, frameset-restore): Doc fixes.
18789 (frameset-compute-pos): Rename from frameset--compute-pos,
18791 (frameset-move-onscreen): Use frameset-compute-pos.
18792 Most changes suggested by Drew Adams <drew.adams@oracle.com>.
18794 * find-lisp.el (find-lisp-line-indent, find-lisp-find-dired-filter):
18795 Fix typos in docstrings.
18797 2013-08-06 Dmitry Antipov <dmantipov@yandex.ru>
18799 * frame.el (get-other-frame): Tiny cleanup.
18801 2013-08-06 Juanma Barranquero <lekktu@gmail.com>
18803 * vc/vc.el (vc-default-ignore-completion-table):
18804 Silence byte-compiler warning.
18806 * frameset.el (frameset-p): Don't check non-nullness of the `properties'
18807 slot, which can indeed be nil.
18808 (frameset-live-filter-alist, frameset-persistent-filter-alist):
18809 Move entry for `left' from persistent to live filter alist.
18810 (frameset-filter-alist, frameset--minibufferless-last-p, frameset-save):
18812 (frameset-filter-params): When restoring a frame, copy items added to
18813 `filtered', to avoid unwittingly modifying the original parameters.
18814 (frameset-move-onscreen): Rename from frameset--move-onscreen. Doc fix.
18815 (frameset--restore-frame): Fix reference to frameset-move-onscreen.
18817 * dired.el (dired-insert-directory): Revert change in 2013-06-21T12:24:37Z!lekktu@gmail.com
18818 to use looking-at-p instead of looking-at. (Bug#15028)
18820 2013-08-05 Stefan Monnier <monnier@iro.umontreal.ca>
18822 Revert introduction of isearch-filter-predicates (bug#14714).
18823 Rely on add-function instead.
18824 * isearch.el (isearch-filter-predicates): Rename it back to
18825 isearch-filter-predicate.
18826 (isearch-message-prefix): Use advice-function-mapc and advice
18827 properties to get the isearch-message-prefix.
18828 (isearch-search, isearch-lazy-highlight-search): Revert to funcall
18829 instead of run-hook-with-args-until-failure.
18830 (isearch-filter-visible): Not obsolete any more.
18831 * loadup.el: Preload nadvice.
18832 * replace.el (perform-replace): Revert to funcall
18833 instead of run-hook-with-args-until-failure.
18834 * wdired.el (wdired-change-to-wdired-mode): Use add-function.
18835 * dired-aux.el (dired-isearch-filenames-mode): Rename from
18836 dired-isearch-filenames-toggle; make it into a proper minor mode.
18837 Use add/remove-function.
18838 (dired-isearch-filenames-setup, dired-isearch-filenames-end):
18839 Call the minor-mode rather than add/remove-hook.
18840 (dired-isearch-filter-filenames):
18841 Remove isearch-message-prefix property.
18842 * info.el (Info--search-loop): New function, extracted from Info-search.
18843 Funcall isearch-filter-predicate instead of
18844 run-hook-with-args-until-failure isearch-filter-predicates.
18845 (Info-search): Use it.
18846 (Info-mode): Use isearch-filter-predicate instead of
18847 isearch-filter-predicates.
18849 2013-08-05 Dmitry Antipov <dmantipov@yandex.ru>
18851 Do not call to `selected-window' where it is assumed by default.
18852 Affected functions are `window-minibuffer-p', `window-dedicated-p',
18853 `window-hscroll', `window-width', `window-height', `window-buffer',
18854 `window-frame', `window-start', `window-point', `next-window'
18855 and `window-display-table'.
18856 * abbrev.el (abbrev--default-expand):
18857 * bs.el (bs--show-with-configuration):
18858 * buff-menu.el (Buffer-menu-mouse-select):
18859 * calc/calc.el (calc):
18860 * calendar/calendar.el (calendar-generate-window):
18861 * calendar/diary-lib.el (diary-simple-display, diary-show-all-entries)
18862 (diary-make-entry):
18863 * comint.el (send-invisible, comint-dynamic-complete-filename)
18864 (comint-dynamic-simple-complete, comint-dynamic-list-completions):
18865 * completion.el (complete):
18866 * dabbrev.el (dabbrev-expand, dabbrev--make-friend-buffer-list):
18867 * disp-table.el (describe-current-display-table):
18868 * doc-view.el (doc-view-insert-image):
18869 * ebuff-menu.el (Electric-buffer-menu-mouse-select):
18870 * ehelp.el (with-electric-help):
18871 * emacs-lisp/easy-mmode.el (easy-mmode-define-navigation):
18872 * emacs-lisp/edebug.el (edebug-two-window-p, edebug-pop-to-buffer):
18873 * emacs-lisp/helper.el (Helper-help-scroller):
18874 * emulation/cua-base.el (cua--post-command-handler-1):
18875 * eshell/esh-mode.el (eshell-output-filter):
18876 * ffap.el (ffap-gnus-wrapper):
18877 * help-macro.el (make-help-screen):
18878 * hilit-chg.el (highlight-compare-buffers):
18879 * hippie-exp.el (hippie-expand, try-expand-dabbrev-visible):
18880 * hl-line.el (global-hl-line-highlight):
18881 * icomplete.el (icomplete-simple-completing-p):
18882 * isearch.el (isearch-done):
18883 * jit-lock.el (jit-lock-stealth-fontify):
18884 * mail/rmailsum.el (rmail-summary-scroll-msg-up):
18885 * mouse-drag.el (mouse-drag-should-do-col-scrolling):
18886 * mpc.el (mpc-tagbrowser, mpc):
18887 * net/rcirc.el (rcirc-any-buffer):
18888 * play/gomoku.el (gomoku-max-width, gomoku-max-height):
18889 * play/landmark.el (landmark-max-width, landmark-max-height):
18890 * play/zone.el (zone):
18891 * progmodes/compile.el (compilation-goto-locus):
18892 * progmodes/ebrowse.el (ebrowse-view/find-file-and-search-pattern):
18893 * progmodes/etags.el (find-tag-other-window):
18894 * progmodes/fortran.el (fortran-column-ruler):
18895 * progmodes/gdb-mi.el (gdb-mouse-toggle-breakpoint-fringe):
18896 * progmodes/verilog-mode.el (verilog-point-text):
18897 * reposition.el (reposition-window):
18898 * rot13.el (toggle-rot13-mode):
18899 * server.el (server-switch-buffer):
18900 * shell.el (shell-dynamic-complete-command)
18901 (shell-dynamic-complete-environment-variable):
18902 * simple.el (insert-buffer, set-selective-display)
18903 (delete-completion-window):
18904 * speedbar.el (speedbar-timer-fn, speedbar-center-buffer-smartly)
18905 (speedbar-recenter):
18906 * startup.el (fancy-splash-head):
18907 * textmodes/ispell.el (ispell-command-loop):
18908 * textmodes/makeinfo.el (makeinfo-compilation-sentinel-region):
18909 * tutorial.el (help-with-tutorial):
18910 * vc/add-log.el (add-change-log-entry):
18911 * vc/compare-w.el (compare-windows):
18912 * vc/ediff-help.el (ediff-indent-help-message):
18913 * vc/ediff-util.el (ediff-setup-control-buffer, ediff-position-region):
18914 * vc/ediff-wind.el (ediff-skip-unsuitable-frames)
18915 (ediff-setup-control-frame):
18916 * vc/emerge.el (emerge-position-region):
18917 * vc/pcvs-util.el (cvs-bury-buffer):
18918 * window.el (walk-windows, mouse-autoselect-window-select):
18919 * winner.el (winner-set-conf, winner-undo): Related users changed.
18921 2013-08-05 Juanma Barranquero <lekktu@gmail.com>
18923 * frameset.el (frameset--set-id): Doc fix.
18924 (frameset-frame-id, frameset-frame-id-equal-p)
18925 (frameset-locate-frame-id): New functions.
18926 (frameset--process-minibuffer-frames, frameset--reuse-frame)
18927 (frameset-restore): Use them.
18929 2013-08-05 Dmitry Antipov <dmantipov@yandex.ru>
18931 Do not call to `selected-frame' where it is assumed by default.
18932 Affected functions are `raise-frame', `redraw-frame',
18933 `frame-first-window', `frame-terminal' and `delete-frame'.
18934 * calendar/appt.el (appt-disp-window):
18935 * epg.el (epg-wait-for-completion):
18936 * follow.el (follow-delete-other-windows-and-split)
18937 (follow-avoid-tail-recenter):
18938 * international/mule.el (set-terminal-coding-system):
18939 * mail/rmail.el (rmail-mail-return):
18940 * net/newst-plainview.el (newsticker--buffer-set-uptodate):
18941 * progmodes/f90.el (f90-add-imenu-menu):
18942 * progmodes/idlw-toolbar.el (idlwave-toolbar-toggle):
18943 * server.el (server-switch-buffer):
18944 * simple.el (delete-completion-window):
18946 * term/xterm.el (terminal-init-xterm-modify-other-keys)
18947 (xterm-turn-on-modify-other-keys, xterm-remove-modify-other-keys):
18948 * vc/ediff-util.el (ediff-status-info, ediff-show-diff-output):
18949 * vc/ediff.el (ediff-documentation): Related users changed.
18950 * frame.el (selected-terminal): Remove the leftover.
18952 2013-08-05 Glenn Morris <rgm@gnu.org>
18954 * calendar/calendar.el (calendar-generate-month):
18955 Fix for calendar-column-width != 1 + calendar-day-digit-width.
18956 (calendar-generate-month, calendar-font-lock-keywords):
18957 Fix for calendar-day-header-width > length of any day name.
18959 2013-08-05 Juanma Barranquero <lekktu@gmail.com>
18961 * desktop.el (desktop-clear): Use new name of sort predicate.
18963 * frameset.el (frameset): Add docstring. Move :version property to its
18964 own `version' slot.
18965 (frameset-copy): Rename from copy-frameset.
18966 (frameset-p): Check more thoroughly.
18967 (frameset-prop): Do not check for :version, which is no longer a prop.
18968 (frameset-live-filter-alist, frameset-persistent-filter-alist):
18969 Use new :never value instead of t.
18970 (frameset-filter-alist): Expand and clarify docstring.
18971 (frameset-filter-tty-to-GUI, frameset-filter-sanitize-color)
18972 (frameset-filter-minibuffer, frameset-filter-save-param)
18973 (frameset-filter-restore-param, frameset-filter-iconified):
18974 Add pointer to docstring of frameset-filter-alist.
18975 (frameset-filter-params): Rename filter values to be more meaningful:
18976 :never instead of t, and reverse the meanings of :save and :restore.
18977 (frameset--process-minibuffer-frames): Clarify error message.
18978 (frameset-save): Avoid unnecessary and confusing call to framep.
18979 Use new BOA constructor for framesets.
18980 (frameset--reuse-list): Doc fix.
18981 (frameset--restore-frame): Rename from frameset--get-frame. Doc fix.
18982 (frameset--minibufferless-last-p): Rename from frameset--sort-states.
18983 (frameset-minibufferless-first-p): Doc fix.
18984 Rename from frameset-sort-frames-for-deletion.
18985 (frameset-restore): Doc fixes. Use new function names.
18986 Most changes suggested by Drew Adams <drew.adams@oracle.com>.
18988 2013-08-04 Juanma Barranquero <lekktu@gmail.com>
18990 * desktop.el (desktop-restore-forces-onscreen)
18991 (desktop-restore-reuses-frames): Document :keyword constant values.
18992 (desktop-filter-parameters-alist): Remove, now identical to
18993 frameset-filter-alist.
18994 (desktop--filter-tty*): Remove, moved to frameset.el.
18995 (desktop-save-frameset, desktop-restore-frameset):
18996 Do not pass :filters argument.
18998 * frameset.el (frameset-live-filter-alist)
18999 (frameset-persistent-filter-alist): New variables.
19000 (frameset-filter-alist): Use them. Add autoload cookie.
19001 (frameset-filter-tty-to-GUI): Move from desktop.el and rename.
19002 (frameset--set-id, frameset--reuse-frame): Rename `frame-id' to
19003 `frameset--id' (it's supposed to be internal to frameset.el).
19004 (frameset--process-minibuffer-frames): Ditto. Doc fix.
19005 (frameset--initial-params): New function.
19006 (frameset--get-frame): Use it. Doc fix.
19007 (frameset--move-onscreen): Accept new PRED value for FORCE-ONSCREEN.
19008 Accept :all, not 'all.
19009 (frameset-restore): Add new predicate values for FORCE-ONSCREEN and
19010 FORCE-DISPLAY. Use :keywords for constant arguments to avoid collision
19011 with fbound symbols. Fix frame id matching, and remove matching ids if
19012 the frame being restored is deleted. Obey :delete.
19014 2013-08-04 Stefan Monnier <monnier@iro.umontreal.ca>
19016 * subr.el (macrop): New function.
19017 (text-clone--maintaining): New var.
19018 (text-clone--maintain): Rename from text-clone-maintain. Use it
19019 instead of inhibit-modification-hooks.
19021 * emacs-lisp/nadvice.el (advice--normalize): For aliases to macros, use
19022 a proxy, so as handle autoloads and redefinitions of the target.
19023 (advice--defalias-fset, advice-remove): Use advice--symbol-function.
19025 * emacs-lisp/pcase.el (pcase-mutually-exclusive-predicates):
19026 Remove bogus (arrayp . stringp) pair. Add entries for `vectorp'.
19027 (pcase--mutually-exclusive-p): New function.
19028 (pcase--split-consp): Use it.
19029 (pcase--split-pred): Use it. Optimize the case where `pat' is a qpat
19030 mutually exclusive with the current predicate.
19032 * emacs-lisp/edebug.el (edebug-lookup-function): Remove function.
19033 (edebug-macrop): Remove. Use `macrop' instead.
19034 * emacs-lisp/advice.el (ad-subr-p): Remove. Use `subrp' instead.
19036 * eshell/esh-cmd.el (eshell-macrop):
19037 * apropos.el (apropos-macrop): Remove. Use `macrop' instead.
19039 2013-08-04 Stefan Monnier <monnier@iro.umontreal.ca>
19041 * emacs-lisp/nadvice.el (advice-function-mapc): Rename from advice-mapc.
19042 (advice-mapc): New function, using it.
19043 (advice-function-member-p): New function.
19044 (advice--normalize): Store the cdr in advice--saved-rewrite since
19045 that's the part that will be changed.
19046 (advice--symbol-function): New function.
19047 (advice-remove): Handle removal before the function is defined.
19048 Adjust to new advice--saved-rewrite.
19049 (advice-member-p): Use advice-function-member-p and
19050 advice--symbol-function.
19052 2013-08-04 Juanma Barranquero <lekktu@gmail.com>
19054 * frameset.el (frameset-p, frameset-save): Fix autoload cookies.
19055 (frameset-filter-minibuffer): Doc fix.
19056 (frameset-restore): Fix autoload cookie. Fix typo in docstring.
19057 (frameset--set-id, frameset--process-minibuffer-frames)
19058 (frameset-restore): Rename parameter `frameset-id' to `frame-id'.
19059 (frameset--reuse-frame): Pass correct frame-id to frameset--find-frame.
19061 * desktop.el (desktop-clear): Only delete frames when called
19062 interactively and desktop-restore-frames is non-nil. Doc fix.
19063 (desktop-read): Set desktop-saved-frameset to nil.
19065 2013-08-04 Xue Fuqiao <xfq.free@gmail.com>
19067 * vc/vc.el (vc-ignore): Rewrite.
19068 (vc-default-ignore-completion-table, vc--read-lines)
19069 (vc--add-line, vc--remove-regexp): New functions.
19071 * vc/vc-svn.el (vc-svn-ignore): Doc fix.
19072 (vc-svn-ignore-completion-table): New function.
19074 * vc/vc-hg.el (vc-hg-ignore): Rewrite.
19075 (vc-hg-ignore-completion-table)
19076 (vc-hg-find-ignore-file): New functions.
19078 * vc/vc-git.el (vc-git-ignore): Rewrite.
19079 (vc-git-ignore-completion-table)
19080 (vc-git-find-ignore-file): New functions.
19082 * vc/vc-dir.el (vc-dir-menu-map): Add menu for vc-dir-ignore.
19084 * vc/vc-bzr.el (vc-bzr-ignore): Rewrite.
19085 (vc-bzr-ignore-completion-table)
19086 (vc-bzr-find-ignore-file): New functions.
19088 2013-08-03 Juanma Barranquero <lekktu@gmail.com>
19090 * frameset.el (frameset-prop): New function and setter.
19091 (frameset-save): Do not modify frame list passed by the caller.
19093 2013-08-03 Stefan Monnier <monnier@iro.umontreal.ca>
19095 * emacs-lisp/package.el (package-desc-from-define): Ignore unknown keys.
19097 2013-08-02 Stefan Monnier <monnier@iro.umontreal.ca>
19099 * emacs-lisp/easy-mmode.el (define-globalized-minor-mode)
19100 (easy-mmode-define-navigation): Avoid ((lambda (..) ..) ...).
19102 * custom.el (custom-initialize-default, custom-initialize-set)
19103 (custom-initialize-reset, custom-initialize-changed): Affect the
19104 toplevel-default-value (bug#6275, bug#14586).
19105 * emacs-lisp/advice.el (ad-compile-function): Undo previous workaround
19108 2013-08-02 Juanma Barranquero <lekktu@gmail.com>
19110 * emacs-lisp/lisp-mode.el (lisp-imenu-generic-expression):
19111 Add cl-def* expressions.
19113 * frameset.el (frameset-filter-params): Fix order of arguments.
19115 2013-08-02 Juanma Barranquero <lekktu@gmail.com>
19117 Move code related to saving frames to frameset.el.
19118 * desktop.el: Require frameset.
19119 (desktop-restore-frames): Doc fix.
19120 (desktop-restore-reuses-frames): Rename from
19121 desktop-restoring-reuses-frames.
19122 (desktop-saved-frameset): Rename from desktop-saved-frame-states.
19123 (desktop-clear): Clear frames too.
19124 (desktop-filter-parameters-alist): Set from frameset-filter-alist.
19125 (desktop--filter-tty*, desktop-save, desktop-read):
19126 Use frameset functions.
19127 (desktop-before-saving-frames-functions, desktop--filter-*-color)
19128 (desktop--filter-minibuffer, desktop--filter-restore-desktop-parm)
19129 (desktop--filter-save-desktop-parm, desktop--filter-iconified-position)
19130 (desktop-restore-in-original-display-p, desktop--filter-frame-parms)
19131 (desktop--process-minibuffer-frames, desktop-save-frames)
19132 (desktop--reuse-list, desktop--compute-pos, desktop--move-onscreen)
19133 (desktop--find-frame, desktop--select-frame, desktop--make-frame)
19134 (desktop--sort-states, desktop-restoring-frames-p)
19135 (desktop-restore-frames): Remove. Most code moved to frameset.el.
19136 (desktop-restoring-frameset-p, desktop-restore-frameset)
19137 (desktop--check-dont-save, desktop-save-frameset): New functions.
19138 (desktop--app-id): New constant.
19139 (desktop-first-buffer, desktop-buffer-ok-count)
19140 (desktop-buffer-fail-count): Move before first use.
19141 * frameset.el: New file.
19143 2013-08-01 Stefan Monnier <monnier@iro.umontreal.ca>
19145 * files.el: Use lexical-binding.
19146 (dir-locals-read-from-file): Remove unused `err' variable.
19147 (hack-dir-local-variables--warned-coding): New var.
19148 (hack-dir-local-variables): Use it to avoid repeated warnings.
19149 (make-backup-file-name--default-function): New function.
19150 (make-backup-file-name-function): Use it as default.
19151 (buffer-stale--default-function): New function.
19152 (buffer-stale-function): Use it as default.
19153 (revert-buffer-insert-file-contents--default-function): New function.
19154 (revert-buffer-insert-file-contents-function): Use it as default.
19155 (insert-directory): Avoid add-to-list.
19157 * autorevert.el (auto-revert-handler): Simplify.
19158 Use buffer-stale--default-function.
19160 2013-08-01 Tassilo Horn <tsdh@gnu.org>
19162 * speedbar.el (speedbar-query-confirmation-method): Doc fix.
19164 * whitespace.el (whitespace-ensure-local-variables): New function.
19165 (whitespace-cleanup-region): Call it.
19166 (whitespace-turn-on): Call it.
19168 2013-08-01 Michael Albinus <michael.albinus@gmx.de>
19170 Complete file name handlers.
19172 * net/tramp.el (tramp-handle-set-visited-file-modtime)
19173 (tramp-handle-verify-visited-file-modtime)
19174 (tramp-handle-file-notify-rm-watch): New functions.
19175 (tramp-call-process): Do not bind `default-directory'.
19177 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
19178 Order alphabetically.
19179 <access-file, add-name-to-file, dired-call-process>:
19180 <dired-compress-file, file-acl, file-notify-rm-watch>:
19181 <file-ownership-preserved-p, file-selinux-context>:
19182 <make-directory-internal, make-symbolic-link, set-file-acl>:
19183 <set-file-selinux-context, set-visited-file-modtime>:
19184 <verify-visited-file-modtime>: Add handler.
19185 (tramp-adb-handle-write-region): Apply `set-visited-file-modtime'.
19187 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist)
19188 <file-notify-add-watch, file-notify-rm-watch>:
19189 <set-file-times, set-visited-file-modtime>:
19190 <verify-visited-file-modtime>: Add handler.
19191 (with-tramp-gvfs-error-message)
19192 (tramp-gvfs-handle-set-visited-file-modtime)
19193 (tramp-gvfs-fuse-file-name): Remove.
19194 (tramp-gvfs-handle-file-notify-add-watch)
19195 (tramp-gvfs-file-gvfs-monitor-file-process-filter): New defuns.
19196 (tramp-gvfs-handle-write-region): Fix error in moving tmpfile.
19198 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
19199 Order alphabetically.
19200 <file-notify-rm-watch>: Use default Tramp handler.
19201 <executable-find>: Remove private handler.
19202 (tramp-do-copy-or-rename-file-out-of-band): Do not bind
19203 `default-directory'.
19204 (tramp-sh-handle-executable-find)
19205 (tramp-sh-handle-file-notify-rm-watch): Remove functions.
19206 (tramp-sh-file-gvfs-monitor-dir-process-filter)
19207 (tramp-sh-file-inotifywait-process-filter, tramp-set-remote-path):
19208 Do not use `format' in `tramp-message'.
19210 * net/tramp-smb.el (tramp-smb-file-name-handler-alist)
19211 <file-notify-rm-watch, set-visited-file-modtime>:
19212 <verify-visited-file-modtime>: Add handler.
19213 (tramp-smb-call-winexe): Do not bind `default-directory'.
19215 2013-08-01 Xue Fuqiao <xfq.free@gmail.com>
19217 * vc/vc-hooks.el (vc-menu-map): Fix menu entry for vc-ignore.
19219 2013-07-31 Dmitry Gutov <dgutov@yandex.ru>
19221 * vc/log-view.el (log-view-diff): Extract `log-view-diff-common',
19223 (log-view-diff-changeset): Same.
19224 (log-view-diff-common): Call backend command `previous-revision'
19225 to find out the previous revision, in both cases. Swap the
19226 variables `to' and `fr', so that `fr' usually refers to the
19227 earlier revision (Bug#14989).
19229 2013-07-31 Kan-Ru Chen <kanru@kanru.info>
19231 * ibuf-ext.el (ibuffer-filter-by-filename):
19232 Make it work with dired buffers too.
19234 2013-07-31 Dmitry Antipov <dmantipov@yandex.ru>
19236 * emacs-lisp/re-builder.el (reb-color-display-p):
19237 * files.el (save-buffers-kill-terminal):
19238 * net/browse-url.el (browse-url):
19239 * server.el (server-save-buffers-kill-terminal):
19240 * textmodes/reftex-toc.el (reftex-toc, reftex-toc-revert):
19241 Prefer nil to selected-frame for the first arg of frame-parameter.
19243 2013-07-31 Xue Fuqiao <xfq.free@gmail.com>
19245 * vc/vc-hooks.el (vc-menu-map): Add menu entry for vc-ignore.
19247 2013-07-30 Stephen Berman <stephen.berman@gmx.net>
19249 * minibuffer.el (completion--twq-all): Try and preserve each
19250 completion's case choice (bug#14907).
19252 2013-07-30 Lars Magne Ingebrigtsen <larsi@gnus.org>
19254 * net/network-stream.el (open-network-stream): Mention the new
19255 :nogreeting parameter.
19256 (network-stream-open-starttls): Use the :nogreeting parameter
19259 * net/shr.el (shr-mouse-browse-url): Remove and use `shr-browse-url'.
19261 * net/eww.el (eww-setup-buffer): Switching to the buffer seems
19262 more natural than popping.
19264 * net/shr.el (shr-urlify): Put `follow-link' on URLs (bug#14815).
19265 (shr-urlify): Highlight under mouse.
19267 2013-07-30 Xue Fuqiao <xfq.free@gmail.com>
19269 * vc/vc-hooks.el (vc-prefix-map): Add key binding for vc-ignore.
19271 * vc/vc-dir.el (vc-dir-mode-map): Change key binding for vc-dir-ignore.
19273 * vc/vc-svn.el (vc-svn-ignore): Remove `interactive'. Use `*vc*'
19276 * vc/vc-hg.el (vc-hg-ignore): Remove `interactive'. Do not assume
19277 point-min==1. Fix search string. Fix parentheses missing.
19279 * vc/vc-git.el (vc-git-ignore): Remove `interactive'. Do not
19280 assume point-min==1. Fix search string. Fix parentheses missing.
19282 * vc/vc-cvs.el (vc-cvs-ignore): Remove `interactive'.
19284 * vc/vc-bzr.el (vc-bzr-ignore): Remove `interactive'. Use `*vc*'
19287 2013-07-29 Eli Zaretskii <eliz@gnu.org>
19289 * frame.el (frame-notice-user-settings): Avoid inflooping when the
19290 initial frame is minibuffer-less. (Bug#14841)
19292 2013-07-29 Michael Albinus <michael.albinus@gmx.de>
19294 * net/tramp.el (tramp-use-ssh-controlmaster-options): New customer
19297 * net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band)
19298 (tramp-maybe-open-connection): Use it.
19300 2013-07-28 Juanma Barranquero <lekktu@gmail.com>
19302 * desktop.el (desktop--make-frame): Include `minibuffer' in the
19303 minimal set of parameters passed when creating a frame, because
19304 the minibuffer status of a frame cannot be changed later.
19306 2013-07-28 Stephen Berman <stephen.berman@gmx.net>
19308 * calendar/todo-mode.el (todo-rename-file): Fix incorrect use of
19309 replace-regexp-in-string and inadvertent omissions in previous change.
19310 (todo-filter-items): Ensure only file names are comma-separated in
19311 name of filtered items buffer.
19313 2013-07-28 Juanma Barranquero <lekktu@gmail.com>
19315 * desktop.el: Optionally force offscreen frames back onscreen.
19316 (desktop-restoring-reuses-frames): New option.
19317 (desktop--compute-pos, desktop--move-onscreen): New functions.
19318 (desktop--make-frame): Use desktop--move-onscreen.
19320 2013-07-27 Alan Mackenzie <acm@muc.de>
19322 Fontify a Java generic method as a function.
19323 * progmodes/cc-langs.el (c-recognize-<>-arglists): Set the Java
19326 2013-07-27 Stephen Berman <stephen.berman@gmx.net>
19328 * calendar/todo-mode.el: Add command to rename todo files.
19329 (todo-rename-file): New command.
19330 (todo-key-bindings-t): Add key binding for it. Change the
19331 bindings of todo-filter-regexp-items(-multifile) to use `x'
19332 instead of `r', since the latter is better suited to the new
19335 2013-07-27 Alan Mackenzie <acm@muc.de>
19337 Make Java try-with-resources statement parse properly.
19338 * progmodes/cc-langs.el (c-block-stmt-1-2-kwds)
19339 (c-block-stmt-1-2-key): New language constants/variables.
19340 * progmodes/cc-engine.el (c-beginning-of-statement-1)
19341 (c-after-conditional): Adapt to deal with c-block-stmt-1-2-key.
19342 * progmodes/cc-fonts.el (c-font-lock-declarations): Adapt to deal
19343 with c-block-stmt-1-2-key.
19345 2013-07-27 Juanma Barranquero <lekktu@gmail.com>
19347 * desktop.el (desktop--make-frame): Apply most frame parameters after
19348 creating the frame to force (partially or totally) offscreen frames to
19349 be restored as such.
19351 2013-07-26 Xue Fuqiao <xfq.free@gmail.com>
19353 * vc/vc-dir.el (vc-dir-mode-map): Add binding for vc-root-diff.
19356 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
19358 * emacs-lisp/nadvice.el (advice--called-interactively-skip): Use the new
19359 `base' arg of backtrace-frame.
19361 2013-07-26 Eli Zaretskii <eliz@gnu.org>
19363 * simple.el (list-processes): Doc fix.
19365 2013-07-26 Juanma Barranquero <lekktu@gmail.com>
19367 * desktop.el (desktop--select-frame):
19368 Try harder to reuse existing frames.
19370 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
19372 * emacs-lisp/edebug.el: Use backtrace-eval to handle lexical variables.
19373 (edebug-eval): Use backtrace-eval.
19374 (edebug--display, edebug--recursive-edit): Don't let-bind the
19375 edebug-outer-* vars that keep track of variables we locally let-bind.
19376 (edebug-outside-excursion): Don't restore outside values of locally
19378 (edebug--display): Use user-error.
19379 (cl-lexical-debug, cl-debug-env): Remove.
19381 2013-07-26 Juanma Barranquero <lekktu@gmail.com>
19383 * desktop.el (desktop-restore-frames): Call `sit-for' once all frames
19384 are restored to be sure that they are visible before deleting any
19387 2013-07-26 Matthias Meulien <orontee@gmail.com>
19389 * vc/vc-dir.el (vc-dir-mode-map): Add binding for
19390 vc-print-root-log. (Bug#14948)
19392 2013-07-26 Richard Stallman <rms@gnu.org>
19394 Add aliases for encrypting mail.
19395 * epa.el (epa-mail-aliases): New option.
19396 * epa-mail.el (epa-mail-encrypt): Rewrite to be callable from programs.
19397 Bind inhibit-read-only so read-only text doesn't ruin everything.
19398 (epa-mail-default-recipients): New subroutine broken out.
19399 Handle epa-mail-aliases.
19401 2013-07-26 Stefan Monnier <monnier@iro.umontreal.ca>
19403 Add support for lexical variables to the debugger's `e' command.
19404 * emacs-lisp/debug.el (debug): Don't let-bind the debugger-outer-*
19405 vars, except for debugger-outer-match-data.
19406 (debugger-frame-number): Move check for "on a function call" from
19407 callers into it. Add `skip-base' argument.
19408 (debugger-frame, debugger-frame-clear): Simplify accordingly.
19409 (debugger-env-macro): Only reset the state stored in non-variables,
19410 i.e. current-buffer and match-data.
19411 (debugger-eval-expression): Rewrite using backtrace-eval.
19412 * subr.el (internal--called-interactively-p--get-frame): Remove.
19413 (called-interactively-p):
19414 * emacs-lisp/edebug.el (edebug--called-interactively-skip): Use the new
19415 `base' arg of backtrace-frame instead.
19417 2013-07-26 Glenn Morris <rgm@gnu.org>
19419 * align.el (align-regexp): Doc fix. (Bug#14857)
19420 (align-region): Explicit error if subexpression missing/does not match.
19422 * simple.el (global-visual-line-mode):
19423 Do not duplicate the mode lighter. (Bug#14858)
19425 2013-07-25 Martin Rudalics <rudalics@gmx.at>
19427 * window.el (display-buffer): In display-buffer bind
19428 split-window-keep-point to t, bug#14829.
19430 2013-07-25 Juanma Barranquero <lekktu@gmail.com>
19432 * desktop.el: Rename internal "desktop-X" frame params to "desktop--X".
19433 (desktop-filter-parameters-alist, desktop--filter-restore-desktop-parm)
19434 (desktop--filter-save-desktop-parm, desktop--process-minibuffer-frames)
19435 (desktop--select-frame, desktop--sort-states, desktop-restore-frames):
19436 Change accordingly.
19437 (desktop--select-frame, desktop--sort-states, desktop-restore-frames):
19438 Use pcase-let, pcase-let* to deobfuscate access to desktop--mini values.
19440 2013-07-25 Glenn Morris <rgm@gnu.org>
19442 * dired-x.el (dired-mark-extension): Convert comment to doc string.
19444 2013-07-25 Juanma Barranquero <lekktu@gmail.com>
19446 * desktop.el (desktop--make-frame): Do not pass the `fullscreen'
19447 parameter to modify-frame-parameters if the value has not changed;
19448 this is a workaround for bug#14949.
19449 (desktop--make-frame): On cl-delete-if call, check parameter name,
19450 not full parameter.
19452 2013-07-30 Xue Fuqiao <xfq.free@gmail.com>
19454 * vc/vc.el (vc-ignore): New function.
19456 * vc/vc-svn.el (vc-svn-ignore): New function.
19458 * vc/vc-hg.el (vc-hg-ignore): New function.
19460 * vc/vc-git.el (vc-git-ignore): New function.
19462 * vc/vc-dir.el (vc-dir-mode-map): Add key binding for vc-dir-ignore
19463 (vc-dir-ignore): New function.
19465 * vc/vc-cvs.el (vc-cvs-ignore): New function.
19466 (cvs-append-to-ignore): Move here from pcvs.el.
19468 * vc/vc-bzr.el (vc-bzr-ignore): New function.
19470 * vc/pcvs.el (vc-cvs): Require 'vc-cvs.
19472 2013-07-24 Juanma Barranquero <lekktu@gmail.com>
19474 * desktop.el (desktop-restoring-frames-p): Return a true boolean.
19475 (desktop-restore-frames): Warn when deleting an existing frame failed.
19477 2013-07-24 Glenn Morris <rgm@gnu.org>
19479 * ffap.el (ffap-machine-p): Handle "not known" response. (Bug#14929)
19481 2013-07-24 Michael Albinus <michael.albinus@gmx.de>
19483 * filenotify.el (file-notify-supported-p):
19484 * net/tramp-sh.el (tramp-sh-handle-file-notify-supported-p):
19487 * autorevert.el (auto-revert-use-notify)
19488 (auto-revert-notify-add-watch):
19489 * net/tramp.el (tramp-file-name-for-operation):
19490 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
19491 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
19492 * net/tramp-sh.el (tramp-sh-file-name-handler-alist):
19493 * net/tramp-smb.el (tramp-smb-file-name-handler-alist):
19494 Remove `file-notify-supported-p' entry.
19496 2013-07-24 Glenn Morris <rgm@gnu.org>
19498 * printing.el: Replace all uses of deleted ps-windows-system,
19499 ps-lp-system, ps-flatten-list with lpr- versions.
19501 2013-07-24 Stefan Monnier <monnier@iro.umontreal.ca>
19503 * emacs-lisp/pcase.el (pcase--u1): Verify if self-quoting values can be
19504 checked with memq (bug#14935).
19506 * files.el (revert-buffer-function): Use a non-nil default.
19507 (revert-buffer-preserve-modes): Declare var to
19508 provide access to the `preserve-modes' argument.
19509 (revert-buffer): Let-bind it.
19510 (revert-buffer--default): New function, extracted from revert-buffer.
19512 2013-07-24 Stefan Monnier <monnier@iro.umontreal.ca>
19514 * lpr.el: Signal print errors more prominently.
19515 (print-region-function): Don't default to nil.
19516 (lpr-print-region): New function, extracted from print-region-1.
19517 Check lpr's return value and signal an error in case of problem.
19518 (print-region-1): Use it.
19519 * ps-print.el (ps-windows-system, ps-lp-system): Remove. Use the lpr-*
19521 (ps-printer-name): Default to nil.
19522 (ps-printer-name-option): Default to lpr-printer-switch.
19523 (ps-print-region-function): Don't default to nil.
19524 (ps-postscript-code-directory): Simplify default.
19525 (ps-do-despool): Use lpr-print-region to properly check the outcome.
19526 (ps-string-list, ps-eval-switch, ps-flatten-list)
19527 (ps-flatten-list-1): Remove.
19528 (ps-multibyte-buffer): Avoid setq.
19529 * dos-w32.el (direct-print-region-helper): Use proper regexp operators.
19530 (print-region-function, ps-print-region-function): Don't set them here.
19532 2013-07-24 Xue Fuqiao <xfq.free@gmail.com>
19534 * ido.el (ido-fractionp, ido-cache-ftp-work-directory-time)
19535 (ido-max-prospects, ido-mode, ido-max-file-prompt-width)
19536 (ido-unc-hosts-cache, ido-max-directory-size, ido-max-dir-file-cache)
19537 (ido-decorations): Doc fix.
19539 * ansi-color.el: Fix old URL.
19541 2013-07-23 Michael R. Mauger <michael@mauger.com>
19543 * progmodes/sql.el: Version 3.3
19544 (sql-product-alist): Improve oracle :prompt-cont-regexp.
19545 (sql-starts-with-prompt-re, sql-ends-with-prompt-re): New functions.
19546 (sql-interactive-remove-continuation-prompt): Rewrite, use
19547 functions above. Fix continuation prompt and complete output line
19549 (sql-redirect-one, sql-execute): Use `read-only-mode' on
19550 redirected output buffer.
19551 (sql-mode): Restore deleted code (Bug#13591).
19553 2013-07-23 Juanma Barranquero <lekktu@gmail.com>
19555 * desktop.el (desktop-clear, desktop-list*): Fix previous change.
19557 2013-07-23 Michael Albinus <michael.albinus@gmx.de>
19559 * net/tramp.el (tramp-handle-file-notify-add-watch): New defun.
19561 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
19562 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
19563 * net/tramp-smb.el (tramp-smb-file-name-handler-alist): Use it.
19565 2013-07-23 Juanma Barranquero <lekktu@gmail.com>
19567 * desktop.el (desktop-clear): Simplify; remove useless checks
19568 against invalid buffer names.
19569 (desktop-list*): Use cl-list*.
19570 (desktop-buffer-info, desktop-create-buffer): Simplify.
19572 2013-07-23 Leo Liu <sdl.web@gmail.com>
19574 * bookmark.el (bookmark-make-record): Restore NAME as a default
19577 2013-07-22 Stefan Monnier <monnier@iro.umontreal.ca>
19579 * emacs-lisp/autoload.el (autoload--setup-output): New function,
19580 extracted from autoload--insert-text.
19581 (autoload--insert-text): Remove.
19582 (autoload--print-cookie-text): New function, extracted from
19583 autoload--insert-cookie-text.
19584 (autoload--insert-cookie-text): Remove.
19585 (autoload-generate-file-autoloads): Adjust calls accordingly.
19587 * winner.el (winner-hook-installed-p): Remove.
19588 (winner-mode): Simplify accordingly.
19590 * subr.el (add-to-list): Fix compiler-macro when `append' is
19591 not constant. Don't use `cl-member' for the base case.
19593 * progmodes/subword.el: Fix boundary case (bug#13758).
19594 (subword-forward-regexp): Make it a constant. Wrap optional \\W in its
19596 (subword-backward-regexp): Make it a constant.
19597 (subword-forward-internal): Don't treat a trailing capital as the
19598 beginning of a word.
19600 2013-07-22 Ari Roponen <ari.roponen@gmail.com> (tiny change)
19602 * emacs-lisp/package.el (package-menu-mode): Don't modify the
19603 global value of tabulated-list-revert-hook (bug#14930).
19605 2013-07-22 Juanma Barranquero <lekktu@gmail.com>
19607 * desktop.el: Require 'cl-lib.
19608 (desktop-before-saving-frames-functions): New hook.
19609 (desktop--process-minibuffer-frames): Set desktop-mini parameter only
19610 for frames being saved. Rename from desktop--save-minibuffer-frames.
19611 (desktop-save-frames): Run hook desktop-before-saving-frames-functions.
19612 Do not save frames with non-nil `desktop-dont-save' parameter.
19613 Filter out deleted frames.
19614 (desktop--find-frame): Use cl-find-if.
19615 (desktop--select-frame): Use cl-(first|second|third) to access values
19617 (desktop--make-frame): Use cl-delete-if.
19618 (desktop--sort-states): Fix sorting of minibuffer-owning frames.
19619 (desktop-restore-frames): Use cl-(first|second|third) to access values
19620 of desktop-mini. Look for visible frame at the end, not while
19623 * dired-x.el (dired-mark-unmarked-files, dired-virtual)
19624 (dired-guess-default, dired-mark-sexp, dired-filename-at-point):
19625 Use string-match-p, looking-at-p (bug#14927).
19627 2013-07-21 Juanma Barranquero <lekktu@gmail.com>
19629 * desktop.el (desktop-saved-frame-states):
19630 Rename from desktop--saved-states; all users changed.
19631 (desktop-save-frames): Rename from desktop--save-frames.
19632 Do not save state to desktop file.
19633 (desktop-save): Save desktop-saved-frame-states to desktop file
19635 (desktop-restoring-frames-p): New function.
19636 (desktop-restore-frames): Use it. Rename from desktop--restore-frames.
19637 (desktop-read): Use desktop-restoring-frames-p. Do not try to fix
19638 buffer-lists when restoring frames. Suggested by Martin Rudalics.
19640 * desktop.el: Correctly restore iconified frames.
19641 (desktop--filter-iconified-position): New function.
19642 (desktop-filter-parameters-alist): Add entries for `top' and `left'.
19644 2013-07-20 Glenn Morris <rgm@gnu.org>
19646 * progmodes/gdb-mi.el (gdb-delete-handler, gdb-stopped):
19647 Let `message' do the formatting.
19648 (def-gdb-preempt-display-buffer): Add explicit format.
19650 * image-dired.el (image-dired-track-original-file):
19651 Use with-current-buffer.
19652 (image-dired-track-thumbnail): Use with-current-buffer.
19653 Avoid changing point of wrong window.
19655 * image-dired.el (image-dired-track-original-file):
19656 Avoid changing point of wrong window. (Bug#14909)
19658 2013-07-20 Richard Copley <rcopley@gmail.com> (tiny change)
19660 * progmodes/gdb-mi.el (gdb-done-or-error):
19661 Guard against "%" in gdb output. (Bug#14127)
19663 2013-07-20 Andreas Schwab <schwab@linux-m68k.org>
19665 * progmodes/sh-script.el (sh-read-variable): Remove interactive spec.
19668 * international/mule.el (coding-system-iso-2022-flags): Fix last
19671 2013-07-20 Kenichi Handa <handa@gnu.org>
19673 * international/mule.el (coding-system-iso-2022-flags):
19674 Add `8-bit-level-4'. (Bug#8522)
19676 2013-07-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
19678 * net/shr.el (shr-mouse-browse-url): New command and keystroke
19681 * net/eww.el (eww-process-text-input): Allow inputting when the
19682 point is at the start of the line, as the properties aren't
19685 * net/shr.el (shr-make-table-1): Ensure that we don't infloop on
19688 2013-07-19 Richard Stallman <rms@gnu.org>
19690 * epa.el (epa-popup-info-window): Doc fix.
19692 * subr.el (split-string): New arg TRIM.
19694 2013-07-18 Juanma Barranquero <lekktu@gmail.com>
19696 * frame.el (blink-cursor-timer-function, blink-cursor-suspend):
19697 Add check for W32 (followup to 2013-07-16T11:41:06Z!jan.h.d@swipnet.se).
19699 2013-07-18 Michael Albinus <michael.albinus@gmx.de>
19701 * filenotify.el (file-notify--library): Rename from
19702 `file-notify-support'. Do not autoload. Adapt all uses.
19703 (file-notify-supported-p): New defun.
19705 * autorevert.el (auto-revert-use-notify):
19706 Use `file-notify-supported-p' instead of `file-notify-support'.
19708 (auto-revert-notify-add-watch): Use `file-notify-supported-p'.
19710 * net/tramp.el (tramp-file-name-for-operation):
19711 Add `file-notify-supported-p'.
19713 * net/tramp-sh.el (tramp-sh-handle-file-notify-supported-p):
19715 (tramp-sh-file-name-handler-alist): Add it as handler for
19716 `file-notify-supported-p '.
19718 * net/tramp-adb.el (tramp-adb-file-name-handler-alist):
19719 * net/tramp-gvfs.el (tramp-gvfs-file-name-handler-alist):
19720 * net/tramp-smb.el (tramp-smb-file-name-handler-alist):
19721 Add `ignore' as handler for `file-notify-*' functions.
19723 2013-07-17 Eli Zaretskii <eliz@gnu.org>
19725 * simple.el (line-move-partial, line-move): Don't start vscroll or
19726 scroll-up if the current line is not taller than the window.
19729 2013-07-16 Dmitry Gutov <dgutov@yandex.ru>
19731 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Do not
19732 highlight question marks in the method names as strings.
19733 (ruby-block-beg-keywords): Inline.
19734 (ruby-font-lock-keyword-beg-re): Extract from
19735 `ruby-font-lock-keywords'.
19737 2013-07-16 Jan Djärv <jan.h.d@swipnet.se>
19739 * frame.el (blink-cursor-blinks): New defcustom.
19740 (blink-cursor-blinks-done): New defvar.
19741 (blink-cursor-start): Set blink-cursor-blinks-done to 1.
19742 (blink-cursor-timer-function): Check if number of blinks has been
19744 (blink-cursor-suspend, blink-cursor-check): New defuns.
19746 2013-07-15 Glenn Morris <rgm@gnu.org>
19748 * edmacro.el (edmacro-format-keys): Fix previous change.
19750 2013-07-15 Paul Eggert <eggert@cs.ucla.edu>
19752 * shell.el (explicit-bash-args): Remove obsolete hack for Bash 1.x.
19753 The hack didn't work outside English locales anyway.
19755 2013-07-15 Juanma Barranquero <lekktu@gmail.com>
19757 * simple.el (define-alternatives): Rename from alternatives-define,
19758 per RMS' suggestion.
19760 2013-07-14 Juanma Barranquero <lekktu@gmail.com>
19762 * desktop.el (desktop-restore-frames): Change default to t.
19763 (desktop-restore-in-current-display): Now offer more options.
19764 (desktop-restoring-reuses-frames): New customization option.
19765 (desktop--saved-states): Doc fix.
19766 (desktop-filter-parameters-alist): New variable, renamed and expanded
19767 from desktop--excluded-frame-parameters.
19768 (desktop--target-display): New variable.
19769 (desktop-switch-to-gui-p, desktop-switch-to-tty-p)
19770 (desktop--filter-tty*, desktop--filter-*-color)
19771 (desktop--filter-minibuffer, desktop--filter-restore-desktop-parm)
19772 (desktop--filter-save-desktop-parm)
19773 (desktop-restore-in-original-display-p): New functions.
19774 (desktop--filter-frame-parms): Use new desktop-filter-parameters-alist.
19775 (desktop--save-minibuffer-frames): New function, inspired by a similar
19776 function from Martin Rudalics.
19777 (desktop--save-frames): Call it; play nice with desktop-globals-to-save.
19778 (desktop--restore-in-this-display-p): Remove.
19779 (desktop--find-frame): Rename from desktop--find-frame-in-display
19780 and add predicate argument.
19781 (desktop--make-full-frame): Remove, integrated into desktop--make-frame.
19782 (desktop--reuse-list): New variable.
19783 (desktop--select-frame, desktop--make-frame, desktop--sort-states):
19785 (desktop--restore-frames): Add support for "minibuffer-special" frames.
19787 2013-07-14 Michael Albinus <michael.albinus@gmx.de>
19789 * net/tramp-sh.el (tramp-sh-handle-vc-registered): Use `ignore-error'.
19791 2013-07-13 Dmitry Gutov <dgutov@yandex.ru>
19793 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
19794 Highlight conversion methods on Kernel.
19796 2013-07-13 Alan Mackenzie <acm@muc.de>
19798 * progmodes/cc-engine.el (c-forward-decl-or-cast-1): Label CASE 13
19799 and comment it out. This out-commenting enables certain C++
19800 declarations to be parsed correctly.
19802 2013-07-13 Eli Zaretskii <eliz@gnu.org>
19804 * international/mule.el (define-coding-system): Doc fix.
19806 * simple.el (default-font-height): Don't call font-info if the
19807 frame's default font didn't change since the frame was created.
19810 2013-07-13 Leo Liu <sdl.web@gmail.com>
19812 * ido.el (ido-read-file-name): Guard against non-symbol value.
19814 2013-07-13 Fabián Ezequiel Gallina <fgallina@gnu.org>
19816 * progmodes/python.el (python-imenu--build-tree): Fix corner case
19819 2013-07-13 Leo Liu <sdl.web@gmail.com>
19821 * ido.el (ido-exhibit): Handle ido-enter-matching-directory before
19822 ido-set-matches call. (Bug#6852)
19824 2013-07-12 Dmitry Gutov <dgutov@yandex.ru>
19826 * progmodes/ruby-mode.el (ruby-percent-literals-beg-re)
19827 (ruby-syntax-expansion-allowed-p): Support array of symbols, for
19829 (ruby-font-lock-keywords): Distinguish calls to functions with
19830 module-like names from module references. Highlight character
19833 2013-07-12 Sergio Durigan Junior <sergiodj@riseup.net> (tiny change)
19835 * progmodes/gdb-mi.el (gdb-strip-string-backslash): New function.
19836 (gdb-send): Handle continued commands. (Bug#14847)
19838 2013-07-12 Juanma Barranquero <lekktu@gmail.com>
19840 * desktop.el (desktop--v2s): Remove unused local variable.
19841 (desktop-save-buffer): Make defvar-local; adjust docstring.
19842 (desktop-auto-save-timeout, desktop-owner): Use ignore-errors.
19843 (desktop-clear, desktop-save-buffer-p): Use string-match-p.
19845 2013-07-12 Andreas Schwab <schwab@linux-m68k.org>
19847 * emacs-lisp/map-ynp.el (map-y-or-n-p): Fix last change.
19849 2013-07-12 Eli Zaretskii <eliz@gnu.org>
19851 * simple.el (next-line, previous-line): Document TRY-VSCROLL and ARG.
19854 2013-07-12 Glenn Morris <rgm@gnu.org>
19856 * doc-view.el: Require cl-lib at runtime too.
19857 (doc-view-remove-if): Remove.
19858 (doc-view-search-next-match, doc-view-search-previous-match):
19861 * edmacro.el: Require cl-lib at runtime too.
19862 (edmacro-format-keys, edmacro-parse-keys): Use cl-mismatch, cl-subseq.
19863 (edmacro-mismatch, edmacro-subseq): Remove.
19865 * shadowfile.el: Require cl-lib.
19866 (shadow-remove-if): Remove.
19867 (shadow-set-cluster, shadow-shadows-of-1, shadow-remove-from-todo):
19870 * wid-edit.el: Require cl-lib.
19871 (widget-choose): Use cl-remove-if.
19872 (widget-remove-if): Remove.
19874 * progmodes/ebrowse.el: Require cl-lib at runtime too.
19875 (ebrowse-delete-if-not): Remove.
19876 (ebrowse-browser-buffer-list, ebrowse-member-buffer-list)
19877 (ebrowse-tree-buffer-list, ebrowse-same-tree-member-buffer-list):
19878 Use cl-delete-if-not.
19880 2013-07-12 Juanma Barranquero <lekktu@gmail.com>
19882 * emacs-lisp/cl-macs.el (cl-multiple-value-bind, cl-multiple-value-setq)
19883 (cl-the, cl-declare, cl-defstruct): Fix typos in docstrings.
19885 2013-07-12 Leo Liu <sdl.web@gmail.com>
19887 * ido.el (dired-do-copy, dired): Set 'ido property. (Bug#11954)
19889 2013-07-11 Glenn Morris <rgm@gnu.org>
19891 * emacs-lisp/edebug.el: Require cl-lib at run-time too.
19892 (edebug-gensym-index, edebug-gensym):
19893 Remove reimplementation of cl-gensym.
19894 (edebug-make-enter-wrapper, edebug-make-form-wrapper): Use cl-gensym.
19896 * thumbs.el: Require cl-lib at run-time too.
19897 (thumbs-gensym-counter, thumbs-gensym):
19898 Remove reimplementation of cl-gensym.
19899 (thumbs-temp-file): Use cl-gensym.
19901 * emacs-lisp/ert.el: Require cl-lib at runtime too.
19902 (ert--cl-do-remf, ert--remprop, ert--remove-if-not)
19903 (ert--intersection, ert--set-difference, ert--set-difference-eq)
19904 (ert--union, ert--gensym-counter, ert--gensym-counter)
19905 (ert--coerce-to-vector, ert--remove*, ert--string-position)
19906 (ert--mismatch, ert--subseq): Remove reimplementations of cl funcs.
19907 (ert-make-test-unbound, ert--expand-should-1)
19908 (ert--expand-should, ert--should-error-handle-error)
19909 (should-error, ert--explain-equal-rec)
19910 (ert--plist-difference-explanation, ert-select-tests)
19911 (ert--make-stats, ert--remove-from-list, ert--string-first-line):
19912 Use cl-lib functions rather than reimplementations.
19914 2013-07-11 Michael Albinus <michael.albinus@gmx.de>
19916 * net/tramp.el (tramp-methods): Extend docstring.
19917 (tramp-connection-timeout): New defcustom.
19918 (tramp-error-with-buffer): Reset timestamp only when appropriate.
19919 (with-tramp-progress-reporter): Simplify.
19920 (tramp-process-actions): Improve messages.
19922 * net/tramp-gvfs.el (tramp-gvfs-maybe-open-connection):
19923 * net/tramp-sh.el (tramp-maybe-open-connection):
19924 Use `tramp-connection-timeout'.
19925 (tramp-methods) <su, sudo, ksu>: Add method specific timeouts.
19928 2013-07-11 Leo Liu <sdl.web@gmail.com>
19930 * ido.el (ido-read-file-name): Conform to the requirements of
19931 read-file-name. (Bug#11861)
19932 (ido-read-directory-name): Conform to the requirements of
19933 read-directory-name.
19935 2013-07-11 Juanma Barranquero <lekktu@gmail.com>
19937 * subr.el (delay-warning): New function.
19939 2013-07-10 Eli Zaretskii <eliz@gnu.org>
19941 * simple.el (default-line-height): New function.
19942 (line-move-partial, line-move): Use it instead of computing the
19943 line height inline.
19944 (line-move-partial): Always compute ROWH. If the last line is
19945 partially-visible, but its text is completely visible, allow
19946 cursor to enter such a partially-visible line.
19948 2013-07-10 Michael Albinus <michael.albinus@gmx.de>
19950 Improve error messages. (Bug#14808)
19952 * net/tramp.el (tramp-current-connection): New defvar, moved from
19954 (tramp-message-show-progress-reporter-message): Remove, not
19956 (tramp-error-with-buffer): Show message in minibuffer.
19957 Discard input before waiting. Reset connection timestamp.
19958 (with-tramp-progress-reporter): Improve messages.
19959 (tramp-process-actions): Use progress reporter. Delete process in
19960 case of error. Improve messages.
19962 * net/tramp-sh.el (tramp-barf-if-no-shell-prompt): Use condition-case.
19963 Call `tramp-error-with-buffer' with vector and buffer.
19964 (tramp-current-connection): Remove.
19965 (tramp-maybe-open-connection): The car of
19966 `tramp-current-connection' are the first 3 slots of the vector.
19968 2013-07-10 Teodor Zlatanov <tzz@lifelogs.com>
19970 * progmodes/cfengine.el (cfengine3-indent-line): Do not indent
19971 inside continued strings.
19973 2013-07-10 Paul Eggert <eggert@cs.ucla.edu>
19975 Timestamp fixes for undo (Bug#14824).
19976 * files.el (clear-visited-file-modtime): Move here from fileio.c.
19978 2013-07-10 Leo Liu <sdl.web@gmail.com>
19980 * files.el (require-final-newline): Allow safe local value.
19983 2013-07-09 Leo Liu <sdl.web@gmail.com>
19985 * ido.el (ido-read-directory-name): Handle fallback.
19986 (ido-read-file-name): Update DIR to ido-current-directory.
19988 (ido-add-virtual-buffers-to-list): Robustify. (Bug#14552)
19990 2013-07-09 Dmitry Gutov <dgutov@yandex.ru>
19992 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Remove extra
19993 "autoload". Remove "warn lower camel case" section, previously
19994 commented out. Highlight negation char. Do not highlight the
19995 target in singleton method definitions.
19997 2013-07-08 Stefan Monnier <monnier@iro.umontreal.ca>
19999 * faces.el (tty-setup-hook): Declare the hook.
20001 * emacs-lisp/pcase.el (pcase--split-pred): Add `vars' argument to try
20002 and detect when a guard/pred depends on local vars (bug#14773).
20003 (pcase--u1): Adjust caller.
20005 2013-07-08 Eli Zaretskii <eliz@gnu.org>
20007 * simple.el (line-move-partial, line-move): Account for
20009 (line-move-partial): Avoid setting vscroll when the last
20010 partially-visible line in window is of default height.
20012 2013-07-08 Lars Magne Ingebrigtsen <larsi@gnus.org>
20014 * net/shr.el (shr-map): Reinstate the `u' key binding, since it's
20017 2013-07-07 Juanma Barranquero <lekktu@gmail.com>
20019 * subr.el (read-quoted-char): Remove unused local variable `char'.
20021 2013-07-07 Michael Kifer <kifer@cs.stonybrook.edu>
20023 * vc/ediff.el (ediff-version): Version update.
20024 (ediff-files-command, ediff3-files-command, ediff-merge-command)
20025 (ediff-merge-with-ancestor-command, ediff-directories-command)
20026 (ediff-directories3-command, ediff-merge-directories-command)
20027 (ediff-merge-directories-with-ancestor-command): New functions.
20028 All are command-line interfaces to ediff: to facilitate calling
20029 Emacs with the appropriate ediff functions invoked.
20031 * emulation/viper-cmd.el (viper-del-forward-char-in-insert):
20033 (viper-save-kill-buffer): Check if buffer is modified.
20035 * emulation/viper.el (viper-version): Version update.
20036 (viper-emacs-state-mode-list): Add egg-status-buffer-mode.
20038 2013-07-07 Stefan Monnier <monnier@iro.umontreal.ca>
20040 * faces.el (tty-run-terminal-initialization): Run new tty-setup-hook.
20041 * emulation/viper-cmd.el (viper-envelop-ESC-key): Remove function.
20042 (viper-intercept-ESC-key): Simplify.
20043 * emulation/viper-keym.el (viper-ESC-key): Make it a constant,
20045 * emulation/viper.el (viper--tty-ESC-filter, viper--lookup-key)
20046 (viper-catch-tty-ESC, viper-uncatch-tty-ESC)
20047 (viper-setup-ESC-to-escape): New functions.
20048 (viper-go-away, viper-set-hooks): Call viper-setup-ESC-to-escape.
20049 (viper-set-hooks): Do not modify flyspell-mode-hook. (Bug#13793)
20051 2013-07-07 Eli Zaretskii <eliz@gnu.org>
20053 * simple.el (default-font-height, window-screen-lines):
20055 (line-move, line-move-partial): Use them instead of
20056 frame-char-height and window-text-height. This makes scrolling
20057 text smoother when the buffer's default face uses a font that is
20058 different from the frame's default font.
20060 2013-07-06 Jan Djärv <jan.h.d@swipnet.se>
20062 * files.el (write-file): Do not display confirm dialog for NS,
20063 it does its own dialog, which can't be canceled (Bug#14578).
20065 2013-07-06 Eli Zaretskii <eliz@gnu.org>
20067 * simple.el (line-move-partial): Adjust the row returned by
20068 posn-at-point for the current window-vscroll. (Bug#14567)
20070 2013-07-06 Michael Albinus <michael.albinus@gmx.de>
20072 * net/tramp-sh.el (tramp-sh-file-gvfs-monitor-dir-process-filter)
20073 (tramp-sh-file-inotifywait-process-filter): Handle file names with
20076 2013-07-06 Martin Rudalics <rudalics@gmx.at>
20078 * window.el (window-state-put-stale-windows): New variable.
20079 (window--state-put-2): Save list of windows without matching buffer.
20080 (window-state-put): Remove "bufferless" windows if possible.
20082 2013-07-06 Juanma Barranquero <lekktu@gmail.com>
20084 * simple.el (alternatives-define): Remove leftover :group keyword.
20087 2013-07-06 Leo Liu <sdl.web@gmail.com>
20089 * ido.el (ido-use-virtual-buffers): Allow new value 'auto.
20090 (ido-enable-virtual-buffers): New variable.
20091 (ido-buffer-internal, ido-toggle-virtual-buffers)
20092 (ido-make-buffer-list): Use it.
20093 (ido-exhibit): Support turning on and off virtual buffers
20096 2013-07-06 Juanma Barranquero <lekktu@gmail.com>
20098 * simple.el (alternatives-define): New macro.
20100 2013-07-06 Stefan Monnier <monnier@iro.umontreal.ca>
20102 * subr.el (read-quoted-char): Use read-key.
20103 (sit-for): Let read-event decode tty input (bug#14782).
20105 2013-07-05 Stephen Berman <stephen.berman@gmx.net>
20107 * calendar/todo-mode.el: Add handling of file deletion, both by
20108 mode command and externally. Fix various related bugs.
20109 Clarify Commentary and improve some documentation strings and code.
20110 (todo-delete-file): New command.
20111 (todo-check-file): New function.
20112 (todo-show): Handle external deletion of the file we're trying to
20113 show (bug#14688). Replace called-interactively-p by an optional
20114 prefix argument to avoid problematic interaction with catch form
20115 when byte compiled (bug#14702).
20116 (todo-quit): Handle external deletion of the archive's todo file.
20117 Make sure the buffer that was visiting the archive file is still
20118 live before trying to bury it.
20119 (todo-category-completions): Handle external deletion of any
20120 category completion files.
20121 (todo-jump-to-category, todo-basic-insert-item): Recalculate list
20122 of todo files, in case of external deletion.
20123 (todo-add-file): Replace unnecessary setq by let-binding.
20124 (todo-find-archive): Check whether there are any archives.
20125 Replace unnecessary setq by let-binding.
20126 (todo-archive-done-item): Use find-file-noselect to get the
20127 archive buffer whether or not the archive already exists.
20128 Remove superfluous code. Use file size instead of buffer-file-name to
20129 check if the archive is new; if it is, update list of archives.
20130 (todo-default-todo-file): Allow nil to be a valid value for when
20131 there are no todo files.
20132 (todo-reevaluate-default-file-defcustom): Use corrected definition
20133 of todo-default-todo-file.
20134 (todo-key-bindings-t+a+f): Add key binding for todo-delete-file.
20135 (todo-delete-category, todo-show-categories-table)
20136 (todo-category-number): Clarify comment.
20137 (todo-filter-items): Clarify documentation string.
20138 (todo-show-current-file, todo-display-as-todo-file)
20139 (todo-reset-and-enable-done-separator): Tweak documentation string.
20140 (todo-done-separator): Make separator length window-width, since
20141 bug#2749 is now fixed.
20143 2013-07-05 Michael Albinus <michael.albinus@gmx.de>
20145 * net/tramp-sh.el (tramp-sh-handle-file-notify-add-watch):
20146 Support both "gvfs-monitor-dir" and "inotifywait".
20147 (tramp-sh-file-inotifywait-process-filter): Rename from
20148 `tramp-sh-file-notify-process-filter'.
20149 (tramp-sh-file-gvfs-monitor-dir-process-filter)
20150 (tramp-get-remote-gvfs-monitor-dir): New defuns.
20152 2013-07-05 Leo Liu <sdl.web@gmail.com>
20154 * autoinsert.el (auto-insert-alist): Default to lexical-binding.
20156 2013-07-04 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
20158 * frame.el (display-pixel-height, display-pixel-width)
20159 (display-mm-height, display-mm-width): Mention behavior on
20160 multi-monitor setups in docstrings.
20161 (w32-display-monitor-attributes-list): Declare function.
20162 (display-monitor-attributes-list): Use it.
20164 2013-07-04 Michael Albinus <michael.albinus@gmx.de>
20166 * filenotify.el: New package.
20168 * autorevert.el (top): Require filenotify.el.
20169 (auto-revert-notify-enabled): Remove. Use `file-notify-support'
20171 (auto-revert-notify-rm-watch, auto-revert-notify-add-watch)
20172 (auto-revert-notify-handler): Use `file-notify-*' functions.
20174 * subr.el (file-notify-handle-event): Move function to filenotify.el.
20176 * net/tramp.el (tramp-file-name-for-operation):
20177 Handle `file-notify-add-watch' and `file-notify-rm-watch'.
20179 * net/tramp-sh.el (tramp-sh-file-name-handler-alist): Add handler
20180 for `file-notify-add-watch' and `file-notify-rm-watch'.
20181 (tramp-process-sentinel): Improve trace.
20182 (tramp-sh-handle-file-notify-add-watch)
20183 (tramp-sh-file-notify-process-filter)
20184 (tramp-sh-handle-file-notify-rm-watch)
20185 (tramp-get-remote-inotifywait): New defuns.
20187 2013-07-03 Juri Linkov <juri@jurta.org>
20189 * buff-menu.el (Buffer-menu-multi-occur): Add args and move the
20190 call of `occur-read-primary-args' to interactive spec.
20192 * ibuffer.el (ibuffer-mode-map): Bind "M-s a C-o" to
20193 `ibuffer-do-occur' like in buff-menu.el. (Bug#14673)
20195 2013-07-03 Matthias Meulien <orontee@gmail.com>
20197 * buff-menu.el (Buffer-menu-mode-map): Bind "M-s a C-o" to
20198 `Buffer-menu-multi-occur'. Add it to the menu.
20199 (Buffer-menu-mode): Document it in docstring.
20200 (Buffer-menu-multi-occur): New command. (Bug#14673)
20202 2013-07-03 Dmitry Gutov <dgutov@yandex.ru>
20204 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Highlight more
20205 keywords and built-ins.
20207 2013-07-03 Glenn Morris <rgm@gnu.org>
20209 * subr.el (y-or-n-p): Handle empty prompts. (Bug#14770)
20211 Make info-xref checks case-sensitive by default
20212 * info.el (Info-find-node, Info-find-in-tag-table)
20213 (Info-find-node-in-buffer, Info-find-node-2, Info-goto-node):
20214 Add option for exact case matching of nodes.
20215 * info-xref.el (info-xref): New custom group.
20216 (info-xref-case-fold): New option.
20217 (info-xref-goto-node-p): Pass info-xref-case-fold to Info-goto-node.
20219 2013-07-03 Leo Liu <sdl.web@gmail.com>
20221 * ido.el (ido-delete-file-at-head): Respect delete-by-moving-to-trash.
20223 2013-07-03 Dmitry Gutov <dgutov@yandex.ru>
20225 * progmodes/ruby-mode.el (ruby-move-to-block): When we're at a
20226 middle of block statement initially, lower the depth. Remove
20227 FIXME comment, not longer valid. Remove middle of block statement
20228 detection, no need to do that anymore since we've been using
20229 `ruby-parse-region' here.
20231 2013-07-02 Jan Djärv <jan.h.d@swipnet.se>
20233 * term/ns-win.el (display-format-alist): Use .* (Bug#14765).
20235 2013-07-01 Katsumi Yamaoka <yamaoka@jpl.org>
20237 * wid-edit.el (widget-default-get): Don't modify widget (Bug#14738).
20239 2013-07-01 Juanma Barranquero <lekktu@gmail.com>
20241 * desktop.el (desktop-restore-frames): Rename from desktop-save-windows.
20242 (desktop-restore-in-current-display): New customization option.
20243 (desktop--excluded-frame-parameters): Add `font'.
20244 (desktop--save-frames): Rename from desktop--save-windows.
20245 (desktop--restore-in-this-display-p): New function.
20246 (desktop--make-full-frame): Remove unwanted width/height from
20247 full(width|height) frames.
20248 (desktop--restore-frames): Rename from desktop--restore-windows.
20249 Obey desktop-restore-current-display. Do not delete old frames or
20250 select a new frame unless we were able to restore at least one frame.
20252 2013-06-30 Michal Nazarewicz <mina86@mina86.com>
20254 * files.el (find-file-noselect): Simplify conditional expression.
20256 * textmodes/remember.el (remember-append-to-file):
20257 Don't mix `find-buffer-visiting' and `get-file-buffer'.
20259 Add `remember-notes' function to store random notes across Emacs
20261 * textmodes/remember.el (remember-data-file): Add :set callback to
20262 affect notes buffer (if any).
20263 (remember-notes): New command.
20264 (remember-notes-buffer-name, bury-remember-notes-on-kill):
20265 New defcustoms for the `remember-notes' function.
20266 (remember-notes-save-and-bury-buffer): New command.
20267 (remember-notes-mode-map): New variable.
20268 (remember-mode): New minor mode.
20269 (remember-notes--kill-buffer-query): New function.
20270 * startup.el (initial-buffer-choice): Add notes to custom type.
20272 2013-06-30 Eli Zaretskii <eliz@gnu.org>
20274 * bindings.el (right-char, left-char): Don't call sit-for, this is
20275 no longer needed. Use arithmetic comparison only for numerical
20278 * international/mule-cmds.el (select-safe-coding-system):
20279 Handle the case of FROM being a string correctly. (Bug#14755)
20281 2013-06-30 Lars Magne Ingebrigtsen <larsi@gnus.org>
20283 * net/shr.el (shr-make-table-1): Add a sanity check that allows
20284 progression on degenerate tables.
20285 (shr-rescale-image): ImageMagick animated images currently don't work.
20287 2013-06-30 Juanma Barranquero <lekktu@gmail.com>
20289 Some fixes and improvements for desktop frame restoration.
20290 It is still experimental and disabled by default.
20291 * desktop.el (desktop--save-windows): Put the selected frame at
20292 the head of the list.
20293 (desktop--make-full-frame): New function.
20294 (desktop--restore-windows): Try to re-select the frame that was
20295 selected upon saving. Do not abort if some frames fail to restore,
20296 just show an error message and continue. Set up maximized frames
20297 so they have default non-maximized dimensions.
20299 2013-06-30 Dmitry Gutov <dgutov@yandex.ru>
20301 * progmodes/ruby-mode.el (ruby-syntax-propertize-function):
20302 Don't start heredoc inside a string or comment.
20304 2013-06-29 Eli Zaretskii <eliz@gnu.org>
20306 * bindings.el (visual-order-cursor-movement): New defcustom.
20307 (right-char, left-char): Provide visual-order cursor motion by
20308 calling move-point-visually. Update the doc strings.
20310 2013-06-28 Kenichi Handa <handa@gnu.org>
20312 * international/mule.el (define-coding-system): New coding system
20313 properties :inhibit-null-byte-detection,
20314 :inhibit-iso-escape-detection, and :prefer-utf-8.
20315 (set-buffer-file-coding-system): If :charset-list property of
20316 CODING-SYSTEM is `emacs', do not check if CODING-SYSTEM is
20317 appropriate for setting.
20319 * international/mule-cmds.el (select-safe-coding-system):
20320 If DEFAULT-CODING-SYSTEM is prefer-utf-8 and the buffer contains
20321 multibyte characters, return utf-8 (or one of its siblings).
20323 * international/mule-conf.el (prefer-utf-8): New coding system.
20324 (file-coding-system-alist): Use prefer-utf-8 as default for Elisp
20327 2013-06-28 Ivan Kanis <ivan@kanis.fr>
20329 * net/shr.el (shr-render-region): New function.
20331 * net/eww.el: Autoload `eww-browse-url'.
20333 2013-06-27 Dmitry Gutov <dgutov@yandex.ru>
20335 * emacs-lisp/package-x.el (package-upload-buffer-internal):
20336 Adapt to `package-desc-version' being a list.
20337 Use `package--ac-desc-version' to retrieve version from a package
20340 2013-06-27 Juanma Barranquero <lekktu@gmail.com>
20342 New experimental feature to save&restore window and frame setup.
20343 * desktop.el (desktop-save-windows): New defcustom.
20344 (desktop--saved-states): New var.
20345 (desktop--excluded-frame-parameters): New defconst.
20346 (desktop--filter-frame-parms, desktop--find-frame-in-display)
20347 (desktop--restore-windows, desktop--save-windows): New functions.
20348 (desktop-save): Call `desktop--save-windows'.
20349 (desktop-read): Call `desktop--restore-windows'.
20351 2013-06-27 Lars Magne Ingebrigtsen <larsi@gnus.org>
20353 * net/shr.el (add-face-text-property): Remove compat definition.
20355 2013-06-27 Stephen Berman <stephen.berman@gmx.net>
20357 * info.el (Info-try-follow-nearest-node): Move search for footnote
20358 above search for node name to prevent missing a footnote (bug#14717).
20360 2013-06-27 Stephen Berman <stephen.berman@gmx.net>
20362 * obsolete/otodo-mode.el: Add obsolescence info to file header.
20364 2013-06-27 Leo Liu <sdl.web@gmail.com>
20366 * net/eww.el (eww-read-bookmarks): Check file size.
20368 2013-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
20370 * emacs-lisp/nadvice.el (advice--defalias-fset): Move advice back to
20371 advice--pending if newdef is nil or an autoload (bug#13820).
20372 (advice-mapc): New function.
20374 2013-06-26 Lars Magne Ingebrigtsen <larsi@gnus.org>
20376 * net/eww.el (eww-mode): Undo isn't necessary in eww buffers,
20378 (eww-mode-map): Add a menu bar.
20379 (eww-add-bookmark): New command.
20380 (eww-bookmark-mode): New mode and commands.
20381 (eww-add-bookmark): Remove newlines from the title.
20382 (eww-bookmark-browse): Don't bug out if it's the only window.
20384 2013-06-26 Glenn Morris <rgm@gnu.org>
20386 * htmlfontify.el (hfy-triplet): Handle unspecified-fg, bg.
20387 (hfy-size): Handle ttys. (Bug#14668)
20389 * info-xref.el: Update for Texinfo 5 change in *note format.
20390 (info-xref-node-re, info-xref-note-re): New constants.
20391 (info-xref-check-buffer): Use info-xref-note-re.
20393 2013-06-26 Stefan Monnier <monnier@iro.umontreal.ca>
20395 * simple.el (set-variable): Use read-from-minibuffer (bug#14710).
20397 * emacs-lisp/package.el (package--add-to-archive-contents): Add missing
20398 nil terminate the loop (bug#14718).
20400 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
20402 * net/eww.el: Rework history traversal. When going forward/back,
20403 put these actions into the history, too, so that they can be
20405 (eww-render): Move the history reset to the correct buffer.
20407 2013-06-25 Juri Linkov <juri@jurta.org>
20409 * files-x.el (modify-dir-local-variable): Change the header comment
20410 in the file with directory local variables. (Bug#14692)
20412 * files-x.el (read-file-local-variable-value): Add `default'.
20415 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
20417 * net/eww.el (eww-make-unique-file-name): Create a unique file
20418 name before saving to entering `y' accidentally asynchronously.
20420 2013-06-25 Ivan Kanis <ivan@kanis.fr>
20422 * net/eww.el (eww-download): New command and keystroke.
20424 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
20426 * net/eww.el (eww-copy-page-url): Change name of command.
20428 * net/shr.el (shr-map): Change `shr-copy-url' from `u' to `w' to
20429 be more consistent with Info and dired.
20431 * net/eww.el (eww-mode-map): Ditto.
20433 2013-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
20435 * emacs-lisp/package.el: Use lexical-binding. Include obsolete
20436 packages from archives.
20437 (package-archive-contents): Change format; include obsolete packages.
20438 (package-desc): Use `dir' to mark builtin packages.
20439 (package--from-builtin): Set the `dir' field to `builtin'.
20440 (generated-autoload-file, version-control): Declare.
20441 (package-compute-transaction): Change first arg and return value to be
20442 lists of package-descs. Adjust to new package-archive-contents format.
20443 (package--add-to-archive-contents): Adjust to new
20444 package-archive-contents format.
20445 (package-download-transaction): Arg is now a list of package-descs.
20446 (package-install): If `pkg' is a package name, pass it as
20447 a requirement, so it is subject to the usual (e.g. disabled) checks.
20448 (describe-package): Accept package-desc as well.
20449 (describe-package-1): Describe a specific package-desc. Add links to
20450 other package-descs for the same package name.
20451 (package-menu-describe-package): Pass the actual package-desc.
20452 (package-menu-mode): Add to tabulated-list-revert-hook so revert-buffer
20454 (package-desc-status): New function.
20455 (package-menu--refresh): New function, extracted
20456 from package-menu--generate.
20457 (package-menu--generate): Use it.
20458 (package-delete): Update package-alist.
20459 (package-menu-execute): Don't call package-initialize.
20461 * progmodes/idlw-toolbar.el, progmodes/idlw-shell.el,
20462 progmodes/idlw-help.el, progmodes/idlw-complete-structtag.el,
20463 progmodes/ebnf-yac.el, progmodes/ebnf-otz.el, progmodes/ebnf-iso.el,
20464 progmodes/ebnf-ebx.el, progmodes/ebnf-dtd.el, progmodes/ebnf-bnf.el,
20465 progmodes/ebnf-abn.el, emacs-lisp/package-x.el, emacs-lisp/cl-seq.el,
20466 emacs-lisp/cl-macs.el: Neuter the "Version:" header.
20468 2013-06-25 Martin Rudalics <rudalics@gmx.at>
20470 * window.el (window--state-get-1): Workaround for bug#14527.
20471 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00941.html
20473 2013-06-25 Lars Magne Ingebrigtsen <larsi@gnus.org>
20475 * net/eww.el (eww-back-url): Implement the history by stashing all
20476 the data into a list.
20477 (eww-forward-url): Allow going forward in the history, too.
20479 2013-06-25 Stefan Monnier <monnier@iro.umontreal.ca>
20481 * files-x.el (read-file-local-variable-value): Use read-from-minibuffer
20482 for values and use read--expression for expressions (bug#14710).
20483 (read-file-local-variable): Avoid setq.
20484 (read-file-local-variable-mode): Use minor-mode-list.
20486 2013-06-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
20488 * textmodes/bibtex.el (bibtex-generate-url-list): Add support
20491 2013-06-25 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
20493 * textmodes/bibtex.el (bibtex-mode, bibtex-set-dialect):
20494 Update imenu-support when dialect changes.
20496 2013-06-25 Leo Liu <sdl.web@gmail.com>
20498 * ido.el (ido-read-internal): Allow forward slash on windows.
20500 2013-06-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
20502 * net/eww.el (eww): Start of strings is \\`, not ^.
20504 2013-06-24 Ivan Kanis <ivan@kanis.fr>
20506 * net/shr.el (shr-browse-url): Fix interactive spec.
20508 * net/eww.el (eww): Add a trailing slash to domain names.
20510 2013-06-24 Juanma Barranquero <lekktu@gmail.com>
20512 * faces.el (face-spec-recalc): Revert part of 2013-06-23T20:29:18Z!lekktu@gmail.com (bug#14705).
20514 2013-06-24 Lars Magne Ingebrigtsen <larsi@gnus.org>
20516 * net/shr.el (shr-browse-url): Use an external browser if given a
20519 * net/eww.el (eww-external-browser): Move to shr.
20521 2013-06-24 Ivan Kanis <ivan@kanis.fr>
20523 * net/eww.el (eww): Work more correctly for file: URLs.
20524 (eww-detect-charset): Allow quoted charsets.
20525 (eww-yank-page-url): New command and keystroke.
20527 2013-06-24 Daiki Ueno <ueno@gnu.org>
20529 * epg.el (epg-make-context): Check if PROTOCOL is valid; embed the
20530 file name of gpg executable.
20531 (epg-context-program): New function.
20532 (epg-context-home-directory): New function.
20533 (epg-context-set-program): New function.
20534 (epg-context-set-home-directory): New function.
20535 (epg--start): Use `epg-context-program' instead of
20537 (epg--list-keys-1): Likewise.
20539 2013-06-24 Leo Liu <sdl.web@gmail.com>
20541 * ido.el (ido-read-internal): Fix bug#14620.
20543 2013-06-23 Juanma Barranquero <lekktu@gmail.com>
20545 * faces.el (face-documentation): Simplify.
20546 (read-face-attribute, tty-find-type, x-resolve-font-name):
20547 Use `string-match-p'.
20548 (list-faces-display): Use `string-match-p'. Simplify.
20549 (face-spec-recalc): Check face to avoid face alias loops.
20550 (read-color): Use `string-match-p' and non-capturing parenthesis.
20552 2013-06-23 Lars Magne Ingebrigtsen <larsi@gnus.org>
20554 * net/shr.el (shr-rescale-image): Use the new
20555 :max-width/:max-height functionality.
20557 2013-06-23 Ivan Kanis <ivan@kanis.fr>
20559 * net/eww.el (eww-search-prefix): New variable.
20561 (eww-external-browser): New variable.
20562 (eww-mode-map): New keystroke.
20563 (eww-browse-with-external-browser): New command.
20565 * net/eww.el: Bind `C-c C-c' to "submit" in all form keymaps.
20567 2013-06-23 Juanma Barranquero <lekktu@gmail.com>
20569 * emacs-lisp/tabulated-list.el (tabulated-list-init-header):
20570 Don't skip aligning the next header field when padding is 0;
20571 otherwise, field width is not respected unless the title is as
20574 2013-06-22 Stefan Monnier <monnier@iro.umontreal.ca>
20576 * emacs-lisp/package.el (package-el-version): Remove.
20577 (package-process-define-package): Fix inf-loop.
20578 (package-install): Allow symbols as arguments again.
20580 2013-06-22 Dmitry Gutov <dgutov@yandex.ru>
20582 * progmodes/ruby-mode.el (ruby-font-lock-keywords): Move `catch',
20583 add some more keyword-like methods.
20584 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00911.html
20586 2013-06-22 Juanma Barranquero <lekktu@gmail.com>
20588 * bs.el (bs-buffer-show-mark): Make defvar-local.
20589 (bs-mode): Use setq-local.
20591 * emacs-lock.el (emacs-lock-mode, emacs-lock--old-mode)
20592 (emacs-lock--try-unlocking): Make defvar-local.
20594 2013-06-22 Glenn Morris <rgm@gnu.org>
20596 * play/cookie1.el (cookie-apropos): Minor simplification.
20598 * progmodes/gdb-mi.el (gdb-mapcar*): Remove, replace with cl-mapcar.
20600 2013-06-22 Dmitry Gutov <dgutov@yandex.ru>
20602 * progmodes/ruby-mode.el (auto-mode-alist): Do not use
20603 `regexp-opt', it breaks the build during dumping.
20605 2013-06-21 Dmitry Gutov <dgutov@yandex.ru>
20607 * progmodes/ruby-mode.el (ruby-font-lock-keywords):
20608 Highlight keyword-like methods on Kernel and Module with
20609 font-lock-builtin-face.
20610 (auto-mode-alist): Consolidate different entries into one regexp
20611 and add more *file-s.
20613 2013-06-21 Stephen Berman <stephen.berman@gmx.net>
20615 * obsolete/otodo-mode.el: Move and rename from calendar/todo-mode.el.
20617 * calendar/diary-lib.el (diary-goto-entry-function): New variable.
20618 (diary-entry): Use it in the action of this button type instead of
20621 * calendar/todo-mode.el: New version.
20622 (todo-add-category): Append new category to end of file and give
20623 it the highest number, instead of putting it at the beginning and
20624 giving it 0. Incorporate noninteractive functionality.
20625 (todo-forward-category): Adapt to 1-based category numbering.
20626 Allow skipping over archived categories.
20627 (todo-backward-category): Derive from todo-forward-category.
20628 (todo-backward-item, todo-forward-item): Make noninteractive and
20629 delegate interactive part to new commands. Make sensitive to done items.
20630 (todo-categories): Make value an alist of category names and
20631 vectors of item counts.
20632 (todo-category-beg): Make a defconst.
20633 (todo-category-number): Use 1 instead of 0 as initial value.
20634 (todo-category-select): Make sensitive to overlays, optional item
20635 highlighting and done items.
20636 (todo-delete-item): Make sensitive to overlays and marked and done items.
20637 (todo-edit-item): Make sensitive to overlays and editing of
20638 date/time header optional. Add format checks.
20639 (todo-edit-multiline): Rename to todo-edit-multiline-item. Make a
20640 no-op if point is not on an item. Advertise using todo-edit-quit.
20641 (todo-edit-mode): Make sensitive to new format, font-locking, and
20642 multiple todo files.
20643 (todo-insert-item, todo-insert-item-here): Derive from
20644 todo-basic-insert-item and extend functionality.
20645 (todo-item-end, todo-item-start): Make sensitive to done items.
20646 (todo-item-string): Don't return text properties. Restore point.
20647 (todo-jump-to-category): Make sensitive to multiple todo files and
20648 todo archives. Use extended category completion.
20649 (todo-lower-item, todo-raise-item): Rename to *-priority and
20650 derive from todo-set-item-priority.
20651 (todo-mode): Derive from special-mode. Make sensitive to new
20652 format, font-locking and multiple todo files. Make read-only.
20653 (todo-mode-map): Don't suppress digit keys, so they can supply
20654 prefix arguments. Add many new key bindings.
20655 (todo-prefix): Insert as an overlay instead of file text.
20656 Change semantics from diary date expression to purely visual mark.
20657 (todo-print): Rename to todo-print-buffer. Make buffer display
20658 features printable. Remove option to restrict number of items
20659 printed. Add option to print to file.
20660 (todo-print-function): Rename to todo-print-buffer-function.
20661 (todo-quit): Extend to handle exiting new todo modes.
20662 (todo-remove-item): Make sensitive to overlays.
20663 (todo-save): Extend to buffers of filtered items.
20664 (todo-show): Make sensitive to done items, multiple todo files and
20665 new todo modes. Offer to convert legacy todo file before creating
20666 first new todo file.
20667 (todo-show-priorities): Rename to todo-top-priorities.
20668 Change semantics of value 0.
20669 (todo-top-priorities): Rename to todo-filter-top-priorities,
20670 derive from todo-filter-items and extend functionality.
20671 (todo-save-top-priorities): Rename to todo-save-filtered-items-buffer
20672 and extend functionality to other types of filtered items.
20673 (todo-add-item-non-interactively, todo-ask-p, todo-cat-slct)
20674 (todo-category-end, todo-category-sep, todo-cats, todo-cmd-back)
20675 (todo-cmd-done, todo-cmd-edit, todo-cmd-forw, todo-cmd-inst)
20676 (todo-cmd-kill, todo-cmd-lowr, todo-cmd-next, todo-cmd-prev)
20677 (todo-cmd-rais, todo-cmd-save, todo-completing-read, todo-cp)
20678 (todo-edit-mode-hook, todo-entry-prefix-function)
20679 (todo-entry-timestamp-initials, todo-file-do, todo-file-done)
20680 (todo-file-item, todo-file-top, todo-header, todo-initial-setup)
20681 (todo-initials, todo-insert-threshold, todo-item-string-start)
20682 (todo-line-string, todo-menu, todo-mode-hook)
20683 (todo-more-important-p, todo-previous-answer, todo-previous-line)
20684 (todo-print-priorities, todo-remove-separator)
20685 (todo-save-top-priorities-too, todo-string-count-lines)
20686 (todo-string-multiline-p, todo-time-string-format)
20687 (todo-tmp-buffer-name): Remove.
20688 (todo-add-file, todo-archive-done-item, todo-choose-archive)
20689 (todo-convert-legacy-files, todo-copy-item, todo-delete-category)
20690 (todo-edit-category-diary-inclusion)
20691 (todo-edit-category-diary-nonmarking, todo-edit-done-item-comment)
20692 (todo-edit-file, todo-edit-item-date-day)
20693 (todo-edit-item-date-day-name, todo-edit-item-date-from-calendar)
20694 (todo-edit-item-date-month, todo-edit-item-date-to-today)
20695 (todo-edit-item-date-year, todo-edit-item-diary-inclusion)
20696 (todo-edit-item-diary-nonmarking, todo-edit-item-header)
20697 (todo-edit-item-time, todo-edit-quit, todo-filter-diary-items)
20698 (todo-filter-diary-items-multifile, todo-filter-regexp-items)
20699 (todo-filter-regexp-items-multifile, todo-filter-top-priorities)
20700 (todo-filter-top-priorities-multifile, todo-find-archive)
20701 (todo-find-filtered-items-file, todo-go-to-source-item)
20702 (todo-insert-item-from-calendar, todo-item-done, todo-item-undone)
20703 (todo-jump-to-archive-category, todo-lower-category)
20704 (todo-mark-category, todo-marked-item-p, todo-merge-category)
20705 (todo-move-category, todo-move-item, todo-next-button)
20706 (todo-next-item, todo-padded-string, todo-powerset)
20707 (todo-previous-button, todo-previous-item)
20708 (todo-print-buffer-to-file, todo-raise-category)
20709 (todo-rename-category, todo-repair-categories-sexp, todo-search)
20710 (todo-set-category-number, todo-set-item-priority)
20711 (todo-set-top-priorities-in-category)
20712 (todo-set-top-priorities-in-file, todo-show-categories-table)
20713 (todo-sort-categories-alphabetically-or-numerically)
20714 (todo-sort-categories-by-archived, todo-sort-categories-by-diary)
20715 (todo-sort-categories-by-done, todo-sort-categories-by-todo)
20716 (todo-toggle-item-header, todo-toggle-item-highlighting)
20717 (todo-toggle-mark-item, todo-toggle-prefix-numbers)
20718 (todo-toggle-view-done-items, todo-toggle-view-done-only)
20719 (todo-unarchive-items, todo-unmark-category): New commands.
20720 (todo-absolute-file-name, todo-add-to-buffer-list)
20721 (todo-adjusted-category-label-length, todo-basic-edit-item-header)
20722 (todo-basic-insert-item, todo-category-completions)
20723 (todo-category-number, todo-category-string-matcher-1)
20724 (todo-category-string-matcher-2, todo-check-filtered-items-file)
20725 (todo-check-format, todo-clear-matches)
20726 (todo-comment-string-matcher, todo-convert-legacy-date-time)
20727 (todo-current-category, todo-date-string-matcher)
20728 (todo-define-insertion-command, todo-diary-expired-matcher)
20729 (todo-diary-goto-entry, todo-diary-item-p)
20730 (todo-diary-nonmarking-matcher, todo-display-as-todo-file)
20731 (todo-display-categories, todo-display-sorted, todo-done-item-p)
20732 (todo-done-item-section-p, todo-done-separator)
20733 (todo-done-string-matcher, todo-files, todo-filter-items)
20734 (todo-filter-items-1, todo-filter-items-filename, todo-find-item)
20735 (todo-gen-arglists, todo-get-count, todo-get-overlay, todo-indent)
20736 (todo-insert-category-line, todo-insert-item-from-calendar)
20737 (todo-insert-sort-button, todo-insert-with-overlays)
20738 (todo-insertion-command-name, todo-insertion-key-bindings)
20739 (todo-label-to-key, todo-longest-category-name-length)
20740 (todo-make-categories-list, todo-mode-external-set)
20741 (todo-mode-line-control, todo-modes-set-1, todo-modes-set-2)
20742 (todo-modes-set-3, todo-multiple-filter-files)
20743 (todo-nondiary-marker-matcher, todo-prefix-overlays)
20744 (todo-read-category, todo-read-date, todo-read-dayname)
20745 (todo-read-file-name, todo-read-time)
20746 (todo-reevaluate-category-completions-files-defcustom)
20747 (todo-reevaluate-default-file-defcustom)
20748 (todo-reevaluate-filelist-defcustoms)
20749 (todo-reevaluate-filter-files-defcustom)
20750 (todo-reset-and-enable-done-separator, todo-reset-comment-string)
20751 (todo-reset-done-separator, todo-reset-done-separator-string)
20752 (todo-reset-done-string, todo-reset-global-current-todo-file)
20753 (todo-reset-highlight-item, todo-reset-nondiary-marker)
20754 (todo-reset-prefix, todo-set-categories)
20755 (todo-set-date-from-calendar, todo-set-show-current-file)
20756 (todo-set-top-priorities, todo-short-file-name)
20757 (todo-show-current-file, todo-sort, todo-time-string-matcher)
20758 (todo-total-item-counts, todo-update-buffer-list)
20759 (todo-update-categories-display, todo-update-categories-sexp)
20760 (todo-update-count, todo-validate-name, todo-y-or-n-p):
20762 (todo-archive-mode, todo-categories-mode, todo-filtered-items-mode):
20764 (todo-categories, todo-display, todo-edit, todo-faces)
20765 (todo-filtered): New defgroups.
20766 (todo-archived-only, todo-button, todo-category-string, todo-date)
20767 (todo-diary-expired, todo-done, todo-done-sep, todo-comment)
20768 (todo-mark, todo-nondiary, todo-prefix-string, todo-search)
20769 (todo-sorted-column, todo-time, todo-top-priority): New deffaces.
20770 (todo-add-item-if-new-category, todo-always-add-time-string)
20771 (todo-categories-align, todo-categories-archived-label)
20772 (todo-categories-category-label, todo-categories-diary-label)
20773 (todo-categories-done-label, todo-categories-number-separator)
20774 (todo-categories-todo-label, todo-categories-totals-label)
20775 (todo-category-completions-files, todo-completion-ignore-case)
20776 (todo-default-todo-file, todo-diary-nonmarking, todo-directory)
20777 (todo-done-separator-string, todo-done-string)
20778 (todo-files-function, todo-filter-done-items, todo-filter-files)
20779 (todo-highlight-item, todo-include-in-diary, todo-indent-to-here)
20780 (todo-initial-category, todo-initial-file, todo-item-mark)
20781 (todo-legacy-date-time-regexp, todo-mode-line-function)
20782 (todo-nondiary-marker, todo-number-prefix)
20783 (todo-print-buffer-function, todo-show-current-file)
20784 (todo-show-done-only, todo-show-first, todo-show-with-done)
20785 (todo-skip-archived-categories, todo-top-priorities-overrides)
20786 (todo-undo-item-omit-comment, todo-use-only-highlighted-region)
20787 (todo-visit-files-commands, todo-wrap-lines, todo-y-with-space):
20789 (todo-category-done, todo-date-pattern, todo-date-string-start)
20790 (todo-diary-items-buffer, todo-done-string-start)
20791 (todo-filtered-items-buffer, todo-item-start)
20792 (todo-month-abbrev-array, todo-month-name-array)
20793 (todo-nondiary-end, todo-nondiary-start, todo-regexp-items-buffer)
20794 (todo-top-priorities-buffer): New defconsts.
20795 (todo-archive-mode-map, todo-archives, todo-categories-mode-map)
20796 (todo-categories-with-marks, todo-category-string-face)
20797 (todo-comment-face, todo-comment-string, todo-current-todo-file)
20798 (todo-date-face, todo-date-from-calendar, todo-descending-counts)
20799 (todo-diary-expired-face, todo-done-face, todo-done-sep-face)
20800 (todo-done-separator, todo-edit-buffer, todo-edit-mode-map)
20801 (todo-file-buffers, todo-files, todo-filtered-items-mode-map)
20802 (todo-font-lock-keywords, todo-global-current-todo-file)
20803 (todo-insertion-commands, todo-insertion-commands-arg-key-list)
20804 (todo-insertion-commands-args)
20805 (todo-insertion-commands-args-genlist)
20806 (todo-insertion-commands-names, todo-insertion-map)
20807 (todo-key-bindings-t, todo-key-bindings-t+a)
20808 (todo-key-bindings-t+a+f, todo-key-bindings-t+f, todo-mode-map)
20809 (todo-multiple-filter-files, todo-multiple-filter-files-widget)
20810 (todo-nondiary-face, todo-print-buffer, todo-time-face)
20811 (todo-visited): New variables.
20813 2013-06-21 Glenn Morris <rgm@gnu.org>
20815 * play/cookie1.el (cookie-apropos): Add optional display argument.
20816 * obsolete/yow.el (apropos-zippy): Use cookie-apropos.
20817 (psychoanalyze-pinhead): Use cookie-doctor.
20819 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
20821 * emacs-lisp/package.el (tar-get-file-descriptor)
20822 (tar--extract): Declare.
20824 2013-06-21 Eduard Wiebe <usenet@pusto.de>
20826 Extend flymake's warning predicate to be a function (bug#14217).
20827 * progmodes/flymake.el (flymake-warning-predicate): New.
20828 (flymake-parse-line): Use it.
20829 (flymake-warning-re): Make obsolete alias to
20830 `flymake-warning-predicate'.
20832 2013-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
20834 * emacs-lisp/package.el (package-alist): Include obsolete packages.
20835 (package-obsolete-list): Remove.
20836 (package-activate): Remove min-version argument. Add `force' argument.
20837 Adjust to new package-alist format.
20838 (package-mark-obsolete): Remove.
20839 (package-unpack): Force reload of the package's autoloads.
20840 (package-installed-p): Check builtins if the installed package is not
20842 (package-initialize): Don't reset package-obsolete-list.
20843 Don't specify which package version to activate.
20844 (package-process-define-package, describe-package-1)
20845 (package-menu--generate): Adjust to new package-alist format.
20847 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
20849 * allout-widgets.el (allout-widgets-mode-off)
20850 (allout-widgets-mode-on, allout-widgets-pre-command-business)
20851 (allout-widgets-post-command-business)
20852 (allout-widgets-after-copy-or-kill-function)
20853 (allout-widgets-after-undo-function, allout-test-range-overlaps)
20854 (allout-decorate-item-and-context)
20855 (allout-graphics-modification-handler): Fix typos in docstrings.
20856 (allout-get-or-create-parent-widget): Use `looking-at-p'.
20858 * cmuscheme.el (scheme-start-file): Doc fix.
20859 (inferior-scheme-mode, switch-to-scheme): Fix typos in docstrings.
20860 (scheme-input-filter): Use `string-match-p'.
20862 * composite.el (compose-gstring-for-terminal): Fix typo in docstring.
20864 * dired-x.el: Use Dired consistently in docstrings.
20866 * dired.el: Use Dired consistently in docstrings.
20867 (dired-readin, dired-mode): Use `setq-local'.
20868 (dired-switches-alist): Make defvar-local.
20869 (dired-buffers-for-dir): Use `zerop'.
20870 (dired-safe-switches-p, dired-switches-escape-p)
20871 (dired-insert-old-subdirs, dired-move-to-end-of-filename)
20872 (dired-glob-regexp, dired-in-this-tree, dired-goto-file-1)
20873 (dired-sort-set-mode-line, dired-sort-toggle, dired-sort-R-check)
20874 (dired-goto-next-nontrivial-file): Use `string-match-p'.
20875 (dired-align-file, dired-insert-directory, dired-mark-files-in-region)
20876 (dired-toggle-marks, dired-mark-files-containing-regexp)
20877 (dired-mark-symlinks, dired-mark-directories, dired-mark-executables)
20878 (dired-flag-auto-save-files, dired-flag-backup-files):
20879 Use `looking-at-p'.
20880 (dired-mark-files-regexp, dired-build-subdir-alist):
20881 Use `string-match-p', `looking-at-p'.
20883 * dos-w32.el (untranslated-canonical-name, untranslated-file-p)
20884 (direct-print-region-helper): Use `string-match-p'.
20886 2013-06-21 Leo Liu <sdl.web@gmail.com>
20888 * comint.el (comint-redirect-results-list-from-process):
20891 2013-06-21 Lars Magne Ingebrigtsen <larsi@gnus.org>
20893 * net/eww.el (eww-update-header-line-format): Quote % characters.
20895 2013-06-21 Glenn Morris <rgm@gnu.org>
20897 * play/cookie1.el (cookie): New custom group.
20898 (cookie-file): New option.
20899 (cookie-check-file): New function.
20900 (cookie): Make it interactive. Make start and end messages optional.
20901 Interactively, display the result. Default to cookie-file.
20902 (cookie-insert): Default to cookie-file.
20903 (cookie-snarf): Make start and end messages optional.
20904 Default to cookie-file. Use with-temp-buffer.
20905 (cookie-read): Rename from read-cookie.
20906 Make start and end messages optional. Default to cookie-file.
20907 (cookie-shuffle-vector): Rename from shuffle-vector. Use dotimes.
20908 Do not autoload it.
20909 (cookie-apropos, cookie-doctor): New functions, copied from yow.el
20910 * obsolete/yow.el (read-zippyism): Use new name for read-cookie.
20912 2013-06-21 Leo Liu <sdl.web@gmail.com>
20914 * progmodes/octave.el (octave-mode): Backward compatibility fix.
20916 2013-06-21 Glenn Morris <rgm@gnu.org>
20918 * font-lock.el (lisp-font-lock-keywords-2): Add with-eval-after-load.
20920 2013-06-21 Stefan Monnier <monnier@iro.umontreal.ca>
20921 Daniel Hackney <dan@haxney.org>
20923 * emacs-lisp/package.el: Use tar-mode rather than tar executable.
20924 Consolidate the single-file vs tarball code.
20925 (package-desc-suffix): New function.
20926 (package-desc-full-name): Don't bother inlining it.
20927 (package-load-descriptor): Return the new package-desc.
20928 (package-mark-obsolete): Remove unused arg `package'.
20929 (package-unpack): Make it work for single files as well.
20930 Make it update package-alist.
20931 (package--make-autoloads-and-stuff): Rename from
20932 package--make-autoloads-and-compile. Don't compile any more.
20933 (package--compile): New function.
20934 (package-generate-description-file): New function, extracted from
20935 package-unpack-single.
20936 (package-unpack-single): Remove.
20937 (package--with-work-buffer): Add indentation and debugging info.
20938 (package-download-single): Remove.
20939 (package-install-from-archive): Rename from package-download-tar, make
20940 it take a pkg-desc, and make it work for single files as well.
20941 (package-download-transaction): Simplify.
20942 (package-tar-file-info): Remove `file' arg. Rewrite not to use an
20943 external tar program.
20944 (package-install-from-buffer): Remove `pkg-desc' argument.
20945 Use package-tar-file-info for tar-mode buffers.
20946 (package-install-file): Simplify accordingly.
20947 (package-archive-base): Change to take a pkg-desc.
20948 * tar-mode.el (tar--check-descriptor): New function, extracted from
20949 tar-get-descriptor.
20950 (tar-get-descriptor): Use it.
20951 (tar-get-file-descriptor): New function.
20952 (tar--extract): New function, extracted from tar-extract.
20953 (tar--extract): Use it.
20954 * emacs-lisp/package-x.el (package-upload-file): Decode the file, in
20955 case the summary uses non-ascii. Adjust to new calling convention of
20956 package-tar-file-info.
20958 2013-06-21 Leo Liu <sdl.web@gmail.com>
20960 * comint.el (comint-redirect-results-list-from-process):
20961 Fix random delay. (Bug#14681)
20963 2013-06-21 Juanma Barranquero <lekktu@gmail.com>
20965 * profiler.el (profiler-format-number): Use log, not log10.
20967 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
20969 * term/x-win.el (emacs-session-filename): Use `locate-user-emacs-file'.
20971 2013-06-20 Stefan Monnier <monnier@iro.umontreal.ca>
20973 * emacs-lisp/cl-loaddefs.el: Don't version-control any more.
20974 * emacs-lisp/cl-lib.el: Load cl-macs when cl-loaddefs is not
20976 * Makefile.in (AUTOGEN_VCS): Move cl-loaddefs.el...
20977 (AUTOGENEL): ... here.
20978 * emacs-lisp/cl-macs.el (cl--sublis): New function.
20979 (cl--defsubst-expand): Use it.
20981 2013-06-20 Paul Eggert <eggert@cs.ucla.edu>
20983 * subr.el (log10): Move here from C code, and declare as obsolete.
20984 All uses of (log10 X) replaced with (log X 10).
20986 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
20988 * emacs-lisp/tabulated-list.el (tabulated-list-format): Fix typo.
20989 Declare with `defvar-local'.
20990 (tabulated-list-use-header-line, tabulated-list-entries)
20991 (tabulated-list-padding, tabulated-list-printer)
20992 (tabulated-list-sort-key): Declare with `defvar-local'.
20993 (tabulated-list-init-header, tabulated-list-print-fake-header):
20996 2013-06-20 Michael Albinus <michael.albinus@gmx.de>
20998 * arc-mode.el (archive-mode): Add `archive-write-file' to
20999 `write-contents-functions' also for remote files. (Bug#14652)
21001 2013-06-20 Juanma Barranquero <lekktu@gmail.com>
21003 * cus-edit.el (custom-commands): Fix typos.
21004 (custom-display): Fix tooltip text.
21005 (custom-magic-alist, custom-filter-face-spec, custom-group-members):
21006 Fix typos in docstrings.
21007 (custom--initialize-widget-variables, Custom-mode): Use `setq-local'.
21008 (custom-unlispify-menu-entry, custom-magic-value-create)
21009 (custom-add-see-also, custom-group-value-create): Use ?\s.
21010 (custom-guess-type, customize-apropos, editable-field)
21011 (custom-face-value-create): Use `string-match-p'.
21012 (custom-save-variables, custom-save-faces): Use `looking-at-p'.
21014 * custom.el (custom-load-symbol): Use `string-match-p'.
21016 * ansi-color.el: Convert to lexical binding.
21017 (ansi-colors): Fix URL.
21018 (ansi-color-context, ansi-color-context-region): Use defvar-local.
21019 (ansi-color-apply-sequence, ansi-color-map): Fix typos in docstrings.
21020 (ansi-color-make-color-map): Rename local var ansi-color-map to map.
21022 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
21024 * net/eww.el (eww-process-text-input): Display passwords as asterisks.
21026 * net/shr.el (shr-make-table-1): Protect against invalid column-spans.
21028 2013-06-19 Tom Tromey <tromey@redhat.com>
21030 * net/eww.el (eww-top-url): Remove.
21031 (eww-home-url, eww-start-url, eww-contents-url): New defvars.
21032 (eww-render): Set new variables. Don't set eww-top-url.
21033 (eww-handle-link): Handle "prev", "home", and "contents".
21034 Downcase the rel text.
21035 (eww-top-url): Choose best top URL.
21037 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
21039 * net/eww.el: Rewrite to implement form elements "by hand" instead of
21040 relying in widget.el. Using widget.el leads to too many
21041 user interface inconsistencies.
21042 (eww-self-insert): Implement entering commands in text fields.
21043 (eww-process-text-input): New function to make text input field editing
21045 (eww-submit): Rewrite to use the new-style form methods.
21046 (eww-select-display): Display the correct selected item.
21047 (eww-change-select): Implement changing the select value.
21048 (eww-toggle-checkbox): Implement radio/checkboxes.
21049 (eww-update-field): Fix compilation error.
21050 (eww-tag-textarea): Implement <textarea>.
21052 * net/shr.el (shr-urlify): Use `keymap' instead of `local-map' so that
21053 we don't shadow mode-specific bindings.
21055 * net/eww.el (eww-browse-url): Don't push stuff onto history if there's
21058 * net/shr.el (shr-map): Bind [down-mouse-1] to browse URLs.
21060 2013-06-19 Glenn Morris <rgm@gnu.org>
21062 * emacs-lisp/eieio.el (defclass): Make it eval-and-compile once more.
21064 2013-06-19 Michael Albinus <michael.albinus@gmx.de>
21066 * net/tramp-adb.el (tramp-adb-get-toolbox): Remove function, it is
21069 * net/tramp-sh.el (tramp-find-shell): Don't set "busybox" property.
21071 2013-06-19 Lars Magne Ingebrigtsen <larsi@gnus.org>
21073 * net/browse-url.el (browse-url-browser-function):
21074 `eww-browse-url' has the right calling signature, `eww' does not.
21076 2013-06-19 Glenn Morris <rgm@gnu.org>
21078 * emacs-lisp/bytecomp.el (byte-compile-file-form-autoload):
21079 Only eval autoloaded macros.
21080 (byte-compile-autoload): Only give the macro warning for macros.
21082 * progmodes/cperl-mode.el (ps-bold-faces, ps-italic-faces)
21083 (ps-underlined-faces): Declare.
21085 * progmodes/idlwave.el (func-menu): Only set it up on XEmacs.
21086 (speedbar-add-supported-extension): Declare.
21088 * international/titdic-cnv.el (tit-process-header, miscdic-convert):
21089 Don't include a date stamp in the header of the generated file;
21090 it leads to needless differences between output files.
21092 2013-06-19 Michael Albinus <michael.albinus@gmx.de>
21094 * net/secrets.el (secrets-struct-secret-content-type):
21095 Replace check of introspection data by a test call of "CreateItem".
21096 Some servers do not offer introspection.
21098 2013-06-19 Stefan Monnier <monnier@iro.umontreal.ca>
21100 * electric.el (electric-pair-mode): Improve interaction with
21101 electric-layout-mode.
21102 (electric-pair-default-inhibit): Don't assume (eq char (char-before)).
21103 (electric-pair-syntax): Use text-mode-syntax-table in comments
21105 (electric-pair--insert): New function.
21106 (electric-pair-post-self-insert-function): Use it and
21107 electric--after-char-pos.
21109 2013-06-19 Leo Liu <sdl.web@gmail.com>
21111 * progmodes/octave.el (octave-help): Fix regexp.
21113 2013-06-18 Lars Magne Ingebrigtsen <larsi@gnus.org>
21115 * net/shr.el (shr-make-table-1): Implement <td rowspan>.
21116 (shr-table-horizontal-line): Allow nil as a value, and change the
21118 (shr-insert-table-ruler): Respect the nil value.
21120 2013-06-18 Tom Tromey <tromey@barimba>
21122 * net/eww.el (eww-next-url, eww-previous-url, eww-up-url, eww-top-url):
21124 (eww-open-file): New defun.
21125 (eww-render): Initialize new variables.
21126 (eww-display-html): Handle "link" and "a".
21127 (eww-handle-link, eww-tag-link, eww-tag-a): New defuns.
21128 (eww-mode-map): Move "p" to "l". Bind "p", "n", "t", and "u".
21129 (eww-back-url): Rename from eww-previous-url.
21130 (eww-next-url, eww-previous-url, eww-up-url, eww-top-url):
21133 2013-06-18 Dmitry Gutov <dgutov@yandex.ru>
21135 * progmodes/ruby-mode.el (ruby-syntax-before-regexp-re):
21136 Distinguish ternary operator tokens from slash symbol and slash
21139 2013-06-18 Juanma Barranquero <lekktu@gmail.com>
21141 Convert symbol prettification into minor mode and global minor mode.
21143 * progmodes/prog-mode.el (prettify-symbols-alist): Rename from
21144 `prog-prettify-symbols', and make a local defvar instead of defcustom.
21145 (prettify-symbols--keywords): Rename from
21146 `prog-prettify-symbols-alist' and make a local defvar.
21147 (prettify-symbols--compose-symbol): Rename from
21148 `prog--prettify-font-lock-compose-symbol'.
21149 (prettify-symbols--make-keywords): Rename from
21150 `prog-prettify-font-lock-symbols-keywords' and simplify.
21151 (prog-prettify-install): Remove.
21152 (prettify-symbols-mode): New minor mode, based on
21153 `prog-prettify-install'.
21154 (turn-on-prettify-symbols-mode): New function.
21155 (global-prettify-symbols-mode): New globalized minor mode.
21157 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
21158 * progmodes/cfengine.el (cfengine3-mode):
21159 * progmodes/perl-mode.el (perl-mode): Don't call
21160 `prog-prettify-install'; set `prettify-symbols-alist' instead.
21162 2013-06-18 Juri Linkov <juri@jurta.org>
21164 * files-x.el (modify-file-local-variable-message): New function.
21165 (modify-file-local-variable)
21166 (modify-file-local-variable-prop-line): Add arg INTERACTIVE
21167 and call `modify-file-local-variable-message' when it's non-nil.
21168 (add-file-local-variable, delete-file-local-variable)
21169 (add-file-local-variable-prop-line)
21170 (delete-file-local-variable-prop-line): Add arg INTERACTIVE
21171 and use it. (Bug#9820)
21173 2013-06-18 Juri Linkov <juri@jurta.org>
21175 * emulation/vi.el (vi-shell-op):
21176 * emulation/vip.el (vip-execute-com, ex-command):
21177 * emulation/viper-cmd.el (viper-exec-bang):
21178 * emulation/viper-ex.el (ex-command): Add non-nil arg REPLACE to
21179 the call of `shell-command-on-region'. (Bug#14637)
21181 * simple.el (shell-command-on-region): Doc fix.
21183 2013-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
21185 * emacs-lisp/eieio-custom.el: Remove misleading Version: header
21188 2013-06-18 Glenn Morris <rgm@gnu.org>
21190 * net/eww.el, net/shr.el, net/shr-color.el: Move here from gnus/.
21192 * newcomment.el (comment-search-forward, comment-search-backward):
21193 Doc fix. (Bug#14376)
21195 2013-06-18 Juanma Barranquero <lekktu@gmail.com>
21197 * face-remap.el (buffer-face-toggle): Fix typo in docstring.
21198 (buffer-face-mode-invoke): Doc fix.
21200 2013-06-18 Matthias Meulien <orontee@gmail.com>
21202 * tabify.el (untabify, tabify): With prefix, apply to entire buffer.
21203 <http://lists.gnu.org/archive/html/emacs-devel/2013-03/msg00545.html>
21205 2013-06-18 Glenn Morris <rgm@gnu.org>
21207 * generic-x.el (bat-generic-mode, rc-generic-mode, rul-generic-mode):
21208 Replace obsolete function generic-make-keywords with its expansion.
21210 * progmodes/python.el (ffap-alist): Declare.
21212 * textmodes/reftex.el (bibtex-mode-map): Declare.
21214 2013-06-18 Stefan Monnier <monnier@iro.umontreal.ca>
21216 * emacs-lisp/package.el: Update package-alist after install (bug#14632).
21217 (package-unpack, package-unpack-single): Return the pkg-dir.
21218 (package-download-transaction): Use it to update package-alist.
21220 2013-06-17 Lars Magne Ingebrigtsen <larsi@gnus.org>
21222 * net/browse-url.el (browse-url-browser-function): Add `eww' as a
21225 2013-06-17 Juri Linkov <juri@jurta.org>
21227 * net/webjump.el (webjump-sample-sites): Add DuckDuckGo.
21229 2013-06-17 Dmitry Gutov <dgutov@yandex.ru>
21231 * emacs-lisp/package.el (package-load-descriptor):
21232 Remove `with-syntax-table' call, `read' doesn't need it.
21233 http://lists.gnu.org/archive/html/emacs-devel/2013-06/msg00539.html
21235 2013-06-17 Juanma Barranquero <lekktu@gmail.com>
21237 * startup.el (command-line): Expand package name returned by
21238 `package--description-file' (bug#14639).
21240 2013-06-17 Dmitry Gutov <dgutov@yandex.ru>
21242 * emacs-lisp/package.el (package-load-descriptor): Do not call
21243 `emacs-lisp-mode', just use its syntax table.
21245 2013-06-17 Juanma Barranquero <lekktu@gmail.com>
21247 * progmodes/prog-mode.el (prog-prettify-install): Add `composition' to
21248 `font-lock-extra-managed-props' if any prettifying keyword is added.
21249 (prog--prettify-font-lock-compose-symbol): Use ?\s instead of ?\ .
21250 (prog-mode): Use `setq-local'.
21252 2013-06-17 Stefan Monnier <monnier@iro.umontreal.ca>
21254 * international/characters.el (standard-case-table): Set syntax of ?»
21255 and ?« to punctuation.
21257 2013-06-16 Juanma Barranquero <lekktu@gmail.com>
21259 * progmodes/prog-mode.el (prog--prettify-font-lock-compose-symbol):
21260 Save relevant match data before calling `syntax-ppss' (bug#14595).
21262 2013-06-15 Juri Linkov <juri@jurta.org>
21264 * files-x.el (modify-file-local-variable-prop-line): Add local
21265 variables to the end of the existing comment on the first line.
21266 Use `file-auto-mode-skip' to skip interpreter magic line,
21267 and also skip XML declaration.
21269 2013-06-15 Stefan Monnier <monnier@iro.umontreal.ca>
21271 * startup.el (package--builtin-versions): New var.
21272 (package-subdirectory-regexp): Remove.
21273 (package--description-file): Hard code its value instead.
21275 * emacs-lisp/package.el: Don't activate packages older than builtin.
21276 (package-obsolete-list): Rename from package-obsolete-alist, and make
21277 it into a simple list of package-desc.
21278 (package-strip-version): Remove.
21279 (package-built-in-p): Use package--builtin-versions.
21280 (package-mark-obsolete): Simplify.
21281 (package-process-define-package): Mark it obsolete if older than the
21283 (package-handle-response): Use line-end-position.
21284 (package-read-archive-contents, package--download-one-archive):
21286 (package--add-to-archive-contents): Skip if older than the builtin or
21288 (package-menu-describe-package): Fix last change.
21289 (package-list-unversioned): New var.
21290 (package-menu--generate): Use it.
21292 * emacs-lisp/autoload.el: Manage package--builtin-versions.
21293 (autoload--insert-text, autoload--insert-cookie-text): New functions.
21294 (autoload-builtin-package-versions): New variable.
21295 (autoload-generate-file-autoloads): Use them.
21296 Remove the list of autoloaded functions/macros from the
21297 (autoload...) comments.
21299 * Makefile.in (autoloads): Set autoload-builtin-package-versions.
21301 2013-06-15 Eli Zaretskii <eliz@gnu.org>
21303 * simple.el (line-move-partial): Don't jump to the next screen
21304 line as soon as it becomes visible. Instead, continue enlarging
21305 the vscroll until the portion of a tall screen line that's left on
21306 display is about the height of the frame's default font.
21309 2013-06-15 Glenn Morris <rgm@gnu.org>
21311 * vc/vc-dispatcher.el (vc-compilation-mode): Avoid making
21312 compilation-error-regexp-alist void, or local while let-bound.
21314 * progmodes/make-mode.el (makefile-mode-syntax-table):
21315 Treat "=" as punctuation. (Bug#14614)
21317 2013-06-15 Juanma Barranquero <lekktu@gmail.com>
21319 * help-fns.el (describe-variable):
21320 Add extra line for permanent-local variables.
21322 2013-06-15 Simen Heggestøyl <simenheg@ifi.uio.no> (tiny change)
21324 * progmodes/scheme.el (scheme-font-lock-keywords-2):
21325 Add export, import, library. (Bug#9164)
21326 (library): Set indent function.
21328 2013-06-14 Glenn Morris <rgm@gnu.org>
21330 * term/xterm.el (xterm--query):
21331 Stop after first matching handler. (Bug#14615)
21333 2013-06-14 Ivan Kanis <ivan@kanis.fr>
21335 Add support for dired in saveplace.
21336 * dired.el (dired-initial-position-hook): New variable.
21337 (dired-initial-position): Call hook to place cursor position.
21338 * saveplace.el (save-place-to-alist): Add dired position.
21339 (save-place-dired-hook): New function.
21341 2013-06-14 Stefan Monnier <monnier@iro.umontreal.ca>
21343 * subr.el (eval-after-load, set-temporary-overlay-map): Use indirection
21344 through a symbol rather than letrec.
21346 * emacs-lisp/package.el: Don't recompute dir. Use pkg-descs more.
21347 (package-desc): Add `dir' field.
21348 (package-desc-full-name): New function.
21349 (package-load-descriptor): Combine the two arguments. Don't use `load'.
21350 (package-maybe-load-descriptor): Remove.
21351 (package-load-all-descriptors): Just call package-load-descriptor.
21352 (package--disabled-p): New function.
21353 (package-desc-vers, package-desc-doc): Remove aliases.
21354 (package--dir): Remove function.
21355 (package-activate): Check if a package is disabled.
21356 (package-process-define-package): New function, extracted from
21358 (define-package): Turn into a place holder.
21359 (package-unpack-single, package-tar-file-info):
21360 Use package--description-file.
21361 (package-compute-transaction): Use package--disabled-p.
21362 (package-download-transaction): Don't call
21363 package-maybe-load-descriptor since they're all loaded anyway.
21364 (package-install): Change argument to be a pkg-desc.
21365 (package-delete): Use a single pkg-desc argument.
21366 (describe-package-1): Use package-desc-dir instead of package--dir.
21367 Use package-desc property instead of package-symbol.
21368 (package-install-button-action): Adjust accordingly.
21369 (package--push): Rewrite.
21370 (package-menu--print-info): Adjust accordingly. Change the ID format
21372 (package-menu-describe-package, package-menu-get-status)
21373 (package-menu--find-upgrades, package-menu-mark-upgrades)
21374 (package-menu-execute, package-menu--name-predicate):
21375 Adjust accordingly.
21376 * startup.el (package--description-file): New function.
21377 (command-line): Use it.
21378 * emacs-lisp/package-x.el (package-upload-buffer-internal):
21379 Use package-desc-version.
21381 * emacs-lisp/bytecomp.el (byte-compile-force-lexical-warnings): New var.
21382 (byte-compile-preprocess): Use it.
21383 (byte-compile-file-form-defalias): Try a bit harder to use macros we
21384 can't quite recognize.
21385 (byte-compile-add-to-list): Remove.
21386 * emacs-lisp/cconv.el (cconv-warnings-only): New function.
21387 (cconv-closure-convert): Add assertion.
21389 * emacs-lisp/map-ynp.el: Use lexical-binding.
21390 (map-y-or-n-p): Remove unused vars `tail' and `object'.
21391 Factor out some repeated code.
21393 2013-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
21395 * subr.el (with-eval-after-load): New macro.
21396 (eval-after-load): Allow form to be a function.
21397 take advantage of lexical-binding.
21398 (do-after-load-evaluation): Use dolist and adjust to new format.
21399 * simple.el (bad-packages-alist): Use dolist and with-eval-after-load.
21401 2013-06-13 Juri Linkov <juri@jurta.org>
21403 * replace.el (perform-replace): Display "symbol " and other search
21404 modes from `isearch-message-prefix' in the *Help* buffer.
21406 * isearch.el (isearch-query-replace): Add " symbol" and other
21407 possible search modes from `isearch-message-prefix' to the prompt.
21408 (isearch-occur): Use `with-isearch-suspended' to not exit Isearch
21409 when reading a regexp to collect.
21411 2013-06-13 Juri Linkov <juri@jurta.org>
21413 * isearch.el (word-search-regexp): Match whitespace if the search
21414 string begins or ends in whitespace. The LAX arg is applied to
21415 both ends of the search string. Use `regexp-quote' and explicit
21416 \< and \> instead of \b. Use \` and \' instead of ^ and $.
21417 (isearch-symbol-regexp): Sync with `word-search-regexp' where word
21418 boundaries are replaced with symbol boundaries, and characters
21419 between symbols match non-word non-symbol syntax. (Bug#14602)
21421 2013-06-13 Juri Linkov <juri@jurta.org>
21423 * isearch.el (isearch-del-char): Don't exceed the length of
21424 `isearch-string' by the prefix arg. (Bug#14563)
21426 2013-06-13 Juri Linkov <juri@jurta.org>
21428 * isearch.el (isearch-yank-word, isearch-yank-line)
21429 (isearch-char-by-name, isearch-quote-char)
21430 (isearch-printing-char, isearch-process-search-char):
21431 Add optional count prefix arg. (Bug#14563)
21433 * international/isearch-x.el
21434 (isearch-process-search-multibyte-characters):
21435 Add optional count prefix arg.
21437 2013-06-13 Stefan Monnier <monnier@iro.umontreal.ca>
21439 * subr.el (internal-push-keymap, internal-pop-keymap): New functions.
21440 (set-temporary-overlay-map): Use them (bug#14095); and take advantage of
21443 2013-06-13 Vitalie Spinu <spinuvit@gmail.com>
21445 * subr.el (set-temporary-overlay-map): Add on-exit argument.
21447 2013-06-13 Glenn Morris <rgm@gnu.org>
21449 * startup.el (tty-handle-args):
21450 Don't just discard "--" and anything after. (Bug#14608)
21452 * emacs-lisp/lisp.el (forward-sexp, backward-sexp): Doc fixes.
21454 2013-06-13 Michael Albinus <michael.albinus@gmx.de>
21456 Implement changes in Secret Service API. Make it backward compatible.
21457 * net/secrets.el (secrets-struct-secret-content-type): New defonst.
21458 (secrets-create-item): Use it. Prefix properties with interface.
21460 2013-06-13 Michael Hoffman <9qobl2n02@sneakemail.com> (tiny change)
21462 * term.el (term-suppress-hard-newline): New option. (Bug#12017)
21463 (term-emulate-terminal): Respect term-suppress-hard-newline.
21465 2013-06-13 E Sabof <esabof@gmail.com> (tiny change)
21467 * image-dired.el (image-dired-dired-toggle-marked-thumbs):
21468 Only remove a `thumb-file' overlay. (Bug#14548)
21470 2013-06-12 Grégoire Jadi <daimrod@gmail.com>
21472 * mail/reporter.el (reporter-submit-bug-report):
21473 Handle missing package-name. (Bug#14600)
21475 2013-06-12 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
21477 * textmodes/reftex-cite.el (reftex-cite-regexp-hist)
21478 (reftex-citation-prompt, reftex-default-bibliography)
21479 (reftex-bib-or-thebib, reftex-get-bibfile-list)
21480 (reftex-pop-to-bibtex-entry, reftex-extract-bib-entries)
21481 (reftex-bib-sort-author, reftex-bib-sort-year)
21482 (reftex-bib-sort-year-reverse, reftex-get-crossref-alist)
21483 (reftex-extract-bib-entries-from-thebibliography)
21484 (reftex-get-bibkey-default, reftex-get-bib-names)
21485 (reftex-parse-bibtex-entry, reftex-get-bib-field)
21486 (reftex-format-bib-entry, reftex-parse-bibitem)
21487 (reftex-format-bibitem, reftex-do-citation)
21488 (reftex-figure-out-cite-format, reftex-offer-bib-menu)
21489 (reftex-restrict-bib-matches, reftex-extract-bib-file)
21490 (reftex-insert-bib-matches, reftex-format-citation)
21491 (reftex-make-cite-echo-string, reftex-bibtex-selection-callback)
21492 (reftex-create-bibtex-file): Add docstrings, mostly by converting
21493 existing comments into docstrings.
21495 2013-06-12 Xue Fuqiao <xfq.free@gmail.com>
21497 * ibuf-ext.el (ibuffer-mark-help-buffers): Doc fix.
21499 2013-06-12 Andreas Schwab <schwab@suse.de>
21501 * international/mule.el (auto-coding-alist): Use utf-8-emacs-unix
21502 for auto-save files.
21504 2013-06-12 Glenn Morris <rgm@gnu.org>
21506 * ido.el (ido-delete-ignored-files): Remove.
21507 (ido-wide-find-dirs-or-files, ido-make-file-list-1):
21508 Go back to calling ido-ignore-item-p directly.
21510 2013-06-12 Eyal Lotem <eyal.lotem@gmail.com> (tiny change)
21512 * ido.el (ido-wide-find-dirs-or-files): Respect ido-case-fold.
21514 * ido.el (ido-delete-ignored-files): New function,
21515 split from ido-make-file-list-1.
21516 (ido-wide-find-dirs-or-files): Maybe ignore files. (Bug#13003)
21517 (ido-make-file-list-1): Use ido-delete-ignored-files.
21519 2013-06-12 Leo Liu <sdl.web@gmail.com>
21521 * progmodes/octave.el (inferior-octave-startup)
21522 (inferior-octave-completion-table)
21523 (inferior-octave-track-window-width-change)
21524 (octave-eldoc-function-signatures, octave-help)
21525 (octave-find-definition): Use single quoted strings.
21526 (inferior-octave-startup-args): Change default value.
21527 (inferior-octave-startup): Do not hard code "-i" and
21528 "--no-line-editing".
21529 (inferior-octave-resync-dirs): Add optional arg NOERROR.
21530 (inferior-octave-directory-tracker): Use it.
21531 (octave-goto-function-definition): Robustify.
21532 (octave-help): Support highlighting operators in 'See also'.
21533 (octave-find-definition): Find subfunctions only in Octave mode.
21535 2013-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
21537 * help-fns.el (help-fns--compiler-macro): If the handler function is
21538 named, then put a link to it.
21539 * help-mode.el (help-function-cmacro): Adjust regexp for cl-lib names.
21540 * emacs-lisp/cl-macs.el (cl--compiler-macro-typep): New function.
21541 (cl-typep): Use it.
21542 (cl-eval-when): Simplify debug spec.
21543 (cl-define-compiler-macro): Use eval-and-compile. Give a name to the
21544 compiler-macro function instead of setting `compiler-macro-file'.
21546 2013-06-12 Xue Fuqiao <xfq.free@gmail.com>
21548 * vc/vc-cvs.el (vc-cvs-stay-local): Doc fix.
21549 * vc/vc-hooks.el (vc-stay-local): Doc fix.
21551 2013-06-12 Stefan Monnier <monnier@iro.umontreal.ca>
21552 Daniel Hackney <dan@haxney.org>
21554 First part of Daniel Hackney's patch to package.el.
21555 * emacs-lisp/package.el: Use defstruct.
21556 (package-desc): New, main struct.
21557 (package--bi-desc, package--ac-desc): New structs, used to describe the
21558 format in external files.
21559 (package-desc-vers): Replace with package-desc-version accessor.
21560 (package-desc-doc): Replace with package-desc-summary accessor.
21561 (package-activate-1): Remove `package' arg since the pkg-vec now
21563 (define-package): Use package-desc-from-define.
21564 (package-unpack-single): Change file-name arg to be a symbol.
21565 (package--add-to-archive-contents): Use package-desc-create and new
21566 accessor functions to package--ac-desc.
21567 (package-buffer-info, package-tar-file-info): Return a package-desc.
21568 (package-install-from-buffer): Remove `type' argument. Change pkg-info
21569 arg to be a package-desc.
21570 (package-install-file): Adjust accordingly. Use \' to match EOS.
21571 (package--from-builtin): New function.
21572 (describe-package-1, package-menu--generate): Use it.
21573 (package--make-autoloads-and-compile): Change name arg to be a symbol.
21574 (package-generate-autoloads): Idem and return the name of the file.
21575 * emacs-lisp/package-x.el (package-upload-buffer-internal):
21576 Change pkg-info arg to be a package-desc.
21577 Use package-make-ac-desc.
21578 (package-upload-file): Use \' to match EOS.
21579 * finder.el (finder-compile-keywords): Use package-make-builtin.
21581 2013-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
21583 * vc/vc.el (vc-deduce-fileset): Change error message.
21584 (vc-read-backend): New function.
21585 (vc-next-action): Use it.
21587 * subr.el (function-arity): Remove (mistakenly added) (bug#14590).
21589 * progmodes/prolog.el (prolog-make-keywords-regexp): Remove.
21590 (prolog-font-lock-keywords): Use regexp-opt instead.
21591 Don't manually highlight strings.
21592 (prolog-mode-variables): Simplify comment-start-skip.
21593 (prolog-consult-compile): Use display-buffer. Remove unused old-filter.
21595 * emacs-lisp/generic.el (generic--normalise-comments)
21596 (generic-set-comment-syntax, generic-set-comment-vars): New functions.
21597 (generic-mode-set-comments): Use them.
21598 (generic-bracket-support): Use setq-local.
21599 (generic-make-keywords-list): Declare obsolete.
21601 2013-06-11 Glenn Morris <rgm@gnu.org>
21603 * emacs-lisp/lisp-mode.el (lisp-mode-variables):
21604 Prettify after setting font-lock-defaults. (Bug#14574)
21606 2013-06-11 Juanma Barranquero <lekktu@gmail.com>
21608 * replace.el (query-replace, occur-read-regexp-defaults-function)
21610 * subr.el (declare-function, number-sequence, local-set-key)
21611 (substitute-key-definition, locate-user-emacs-file)
21612 (with-silent-modifications, split-string, eval-after-load):
21613 Fix typos, remove unneeded backslashes and reflow some docstrings.
21615 2013-06-11 Stefan Monnier <monnier@iro.umontreal.ca>
21617 * international/mule-conf.el (file-coding-system-alist): Use utf-8 as
21618 default for Elisp files.
21620 2013-06-11 Glenn Morris <rgm@gnu.org>
21622 * vc/log-view.el (log-view-mode-map): Inherit from special-mode-map,
21623 although define-derived-mode was doing this anyway. (Bug#14583)
21625 2013-06-10 Juanma Barranquero <lekktu@gmail.com>
21627 * allout.el (allout-encryption-plaintext-sanitization-regexps):
21628 Fix make-variable-buffer-local call to refer to the correct variable.
21630 2013-06-10 Aidan Gauland <aidalgol@amuri.net>
21632 * eshell/em-term.el (eshell-visual-commands)
21633 (eshell-visual-subcommands, eshell-visual-options):
21634 Add summary line to docstrings. Add cross-references.
21636 2013-06-10 Glenn Morris <rgm@gnu.org>
21638 * epa.el (epa-read-file-name): New function. (Bug#14510)
21639 (epa-decrypt-file): Make plain-file optional. Use epa-read-file-name.
21641 2013-06-09 Aidan Gauland <aidalgol@amuri.net>
21643 * eshell/em-term.el (eshell-visual-command-p): Fix bug that caused
21644 output redirection to be ignored with visual commands.
21646 2013-06-09 Aidan Gauland <aidalgol@amuri.net>
21648 * eshell/em-term.el (eshell-visual-command-p): New function.
21649 (eshell-term-initialize): Move long lambda to separate function
21650 eshell-visual-command-p.
21651 * eshell/em-dirs.el (eshell-dirs-initialize):
21652 * eshell/em-script.el (eshell-script-initialize):
21653 Add missing #' to lambda.
21655 2013-06-08 Leo Liu <sdl.web@gmail.com>
21657 * progmodes/octave.el (octave-add-log-current-defun): New function.
21658 (octave-mode): Set add-log-current-defun-function.
21659 (octave-goto-function-definition): Do not move point if not found.
21660 (octave-find-definition): Enhance to try subfunctions first.
21662 2013-06-08 Glenn Morris <rgm@gnu.org>
21664 * emacs-lisp/bytecomp.el (byte-compile-char-before)
21665 (byte-compile-backward-char, byte-compile-backward-word):
21666 Improve previous change, to handle non-explicit nil.
21668 2013-06-07 Stefan Monnier <monnier@iro.umontreal.ca>
21670 * emacs-lisp/smie.el: Improve show-paren-mode behavior.
21671 (smie--opener/closer-at-point): New function.
21672 (smie--matching-block-data): Use it. Don't match from right after an
21673 opener or right before a closer. Obey smie-blink-matching-inners.
21674 Don't signal a mismatch for repeated inners like "switch..case..case".
21676 2013-06-07 Leo Liu <sdl.web@gmail.com>
21678 * progmodes/octave.el (octave-mode): Set comment-use-global-state
21680 (octave-function-header-regexp): Fix. (Bug#14570)
21681 (octave-help-mode-finish-hook, octave-help-mode-finish):
21682 Remove. Just use temp-buffer-show-hook.
21684 * newcomment.el (comment-search-backward): Revert last change.
21687 * emacs-lisp/smie.el (smie--matching-block-data): Minor simplification.
21689 2013-06-07 Eli Zaretskii <eliz@gnu.org>
21691 * Makefile.in (TAGS TAGS-LISP): Pass the (long) list of *.el files
21692 through xargs, to avoid failure due to MS-Windows limitations on
21693 command-line length.
21695 2013-06-06 Glenn Morris <rgm@gnu.org>
21697 * font-lock.el (lisp-font-lock-keywords-2):
21698 Treat user-error like error.
21700 * emacs-lisp/bytecomp.el (byte-compile-char-before)
21701 (byte-compile-backward-char, byte-compile-backward-word):
21702 Handle explicit nil arguments. (Bug#14565)
21704 2013-06-05 Alan Mackenzie <acm@muc.de>
21706 * isearch.el (isearch-allow-prefix): New user option.
21707 (isearch-other-meta-char): Don't exit isearch when a prefix
21708 argument is typed whilst `isearch-allow-prefix' is non-nil.
21711 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
21713 * autorevert.el (auto-revert-notify-handler): Use memq.
21714 Hide assertion failure.
21716 * skeleton.el: Use cl-lib.
21717 (skeleton-further-elements): Use defvar-local.
21718 (skeleton-insert): Use cl-progv.
21720 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
21722 * progmodes/prog-mode.el (prog-prettify-symbols)
21723 (prog-prettify-install): Update docstrings.
21725 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
21727 * simple.el: Move all the prog-mode code to prog-mode.el.
21728 * progmodes/prog-mode.el: New file.
21729 * loadup.el: Add prog-mode.el.
21731 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
21733 * simple.el (prog-prettify-symbols): Add version.
21734 (prog-prettify-install): Add convenience function to prettify symbols.
21736 * progmodes/perl-mode.el (perl--augmented-font-lock-keywords)
21737 (perl--augmented-font-lock-keywords-1)
21738 (perl--augmented-font-lock-keywords-2, perl-mode): Remove unneeded
21739 variables and use it.
21741 * progmodes/cfengine.el (cfengine3--augmented-font-lock-keywords)
21742 (cfengine3-mode): Remove unneeded variable and use it.
21744 * emacs-lisp/lisp-mode.el (lisp--augmented-font-lock-keywords)
21745 (lisp--augmented-font-lock-keywords-1)
21746 (lisp--augmented-font-lock-keywords-2, lisp-mode-variables):
21747 Remove unneeded variables and use it.
21749 2013-06-05 João Távora <joaotavora@gmail.com>
21751 * net/tls.el (open-tls-stream): Remove unneeded buffer contents up
21752 to point when opening the connection. (Bug#14380)
21754 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
21756 * subr.el (load-history-regexp, load-history-filename-element)
21757 (eval-after-load, after-load-functions, do-after-load-evaluation)
21758 (eval-next-after-load, display-delayed-warnings)
21759 (collapse-delayed-warnings, delayed-warnings-hook): Move after the
21760 definition of save-match-data.
21761 (overriding-local-map): Remove accidental obsolescence declaration.
21763 * emacs-lisp/edebug.el (edebug-result): Move before first use.
21765 2013-06-05 Teodor Zlatanov <tzz@lifelogs.com>
21767 Generalize symbol prettify support to prog-mode and implement it
21768 for perl-mode, cfengine3-mode, and emacs-lisp-mode.
21769 * simple.el (prog-prettify-symbols-alist, prog-prettify-symbols)
21770 (prog--prettify-font-lock-compose-symbol)
21771 (prog-prettify-font-lock-symbols-keywords): New variables and
21772 functions to support symbol prettification.
21773 * emacs-lisp/lisp-mode.el (lisp--augmented-font-lock-keywords)
21774 (lisp--augmented-font-lock-keywords-1)
21775 (lisp--augmented-font-lock-keywords-2, lisp-mode-variables)
21776 (lisp--prettify-symbols-alist): Implement prettify of lambda.
21777 * progmodes/cfengine.el (cfengine3--augmented-font-lock-keywords)
21778 (cfengine3--prettify-symbols-alist, cfengine3-mode):
21779 Implement prettify of -> => :: strings.
21780 * progmodes/perl-mode.el (perl-prettify-symbols)
21781 (perl--font-lock-compose-symbol)
21782 (perl--font-lock-symbols-keywords): Move to prog-mode.
21783 (perl--prettify-symbols-alist): Prettify -> => :: strings.
21784 (perl-font-lock-keywords-1)
21785 (perl-font-lock-keywords-2): Remove explicit prettify support.
21786 (perl--augmented-font-lock-keywords)
21787 (perl--augmented-font-lock-keywords-1)
21788 (perl--augmented-font-lock-keywords-2, perl-mode):
21789 Implement prettify support.
21791 2013-06-05 Leo Liu <sdl.web@gmail.com>
21793 Re-implement SMIE matching block highlight using
21794 show-paren-data-function. (Bug#14395)
21795 * emacs-lisp/smie.el (smie-matching-block-highlight)
21796 (smie--highlight-matching-block-overlay)
21797 (smie--highlight-matching-block-lastpos)
21798 (smie-highlight-matching-block)
21799 (smie-highlight-matching-block-mode): Remove.
21800 (smie--matching-block-data-cache): New variable.
21801 (smie--matching-block-data): New function.
21802 (smie-setup): Use smie--matching-block-data for
21803 show-paren-data-function.
21805 * progmodes/octave.el (octave-mode-menu): Fix.
21806 (octave-find-definition): Skip garbage lines.
21808 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
21810 Fix compilation error with simultaneous dynamic+lexical scoping.
21811 Add warning when a defvar appears after the first let-binding.
21812 * emacs-lisp/bytecomp.el (byte-compile-lexical-variables): New var.
21813 (byte-compile-close-variables): Initialize it.
21814 (byte-compile--declare-var): New function.
21815 (byte-compile-file-form-defvar)
21816 (byte-compile-file-form-define-abbrev-table)
21817 (byte-compile-file-form-custom-declare-variable): Use it.
21818 (byte-compile-make-lambda-lexenv): Change the argument. Simplify.
21819 (byte-compile-lambda): Share call to byte-compile-arglist-vars.
21820 (byte-compile-bind): Handle dynamic bindings that shadow
21822 (byte-compile-unbind): Make arg non-optional.
21823 (byte-compile-let): Simplify.
21824 * emacs-lisp/cconv.el (byte-compile-lexical-variables): Declare var.
21825 (cconv--analyse-function, cconv-analyse-form): Populate it.
21826 Protect byte-compile-bound-variables to limit the scope of defvars.
21827 (cconv-analyse-form): Add missing rule for (defvar <foo>).
21828 Remove unneeded rule for `declare'.
21830 * emacs-lisp/cl-macs.el (cl--compiler-macro-adjoin): Use macroexp-let2
21831 so as to avoid depending on cl-adjoin at run-time.
21832 * emacs-lisp/cl-lib.el (cl-pushnew): Use backquotes.
21834 * emacs-lisp/macroexp.el (macroexp--compiling-p): New function.
21835 (macroexp--warn-and-return): Use it.
21837 2013-06-05 Stefan Monnier <monnier@iro.umontreal.ca>
21839 * subr.el: Convert to lexical binding.
21840 (overriding-local-map): Make obsolete.
21841 (add-to-list): Doc fix. Add compiler macro.
21842 (read-key): Swap values of local maps.
21844 2013-06-05 Leo Liu <sdl.web@gmail.com>
21846 * eshell/esh-mode.el (eshell-mode): Fix key bindings.
21848 2013-06-04 Leo Liu <sdl.web@gmail.com>
21850 * progmodes/compile.el (compile-goto-error): Add optional arg NOMSG.
21851 (compilation-auto-jump): Suppress the "Mark set" message to give
21852 way to exit message.
21854 2013-06-04 Alan Mackenzie <acm@muc.de>
21856 Remove faulty optimization from indentation calculation.
21857 * progmodes/cc-engine.el (c-guess-basic-syntax): Don't calculate
21858 search limit based on 2000 characters back from indent-point.
21860 2013-06-03 Tassilo Horn <tsdh@gnu.org>
21862 * eshell/em-term.el (cl-lib): Require `cl-lib'.
21864 2013-06-03 Stefan Monnier <monnier@iro.umontreal.ca>
21866 * emacs-lisp/lisp.el: Use lexical-binding.
21867 (lisp--local-variables-1, lisp--local-variables): New functions.
21868 (lisp--local-variables-completion-table): New var.
21869 (lisp-completion-at-point): Use it complete let-bound vars.
21871 * emacs-lisp/lisp-mode.el (eval-sexp-add-defvars): Expand macros
21872 eagerly (bug#14422).
21874 2013-06-03 Michael Albinus <michael.albinus@gmx.de>
21876 * autorevert.el (auto-revert-notify-enabled)
21877 (auto-revert-notify-rm-watch, auto-revert-notify-add-watch)
21878 (auto-revert-notify-event-p, auto-revert-notify-event-file-name)
21879 (auto-revert-notify-handler): Handle also gfilenotify.
21881 * subr.el (file-notify-handle-event): New defun. Replacing ...
21882 (inotify-event-p, inotify-handle-event, w32notify-handle-event):
21885 2013-06-03 Juri Linkov <juri@jurta.org>
21887 * bindings.el (search-map): Bind `highlight-symbol-at-point' to
21888 `M-s h .'. (Bug#14427)
21890 * hi-lock.el (highlight-symbol-at-point): New alias for the new
21891 command `hi-lock-face-symbol-at-point'.
21892 (hi-lock-face-symbol-at-point): New command.
21893 (hi-lock-map): Bind `highlight-symbol-at-point' to `C-x w .'.
21894 (hi-lock-menu): Add `highlight-symbol-at-point'.
21895 (hi-lock-mode): Doc fix.
21897 * isearch.el (isearch-forward-symbol-at-point): New command.
21898 (search-map): Bind `isearch-forward-symbol-at-point' to `M-s .'.
21899 (isearch-highlight-regexp): Add a regexp which matches
21900 words/symbols for word/symbol mode.
21902 * subr.el (find-tag-default-bounds): New function with the body
21903 mostly moved from `find-tag-default'.
21904 (find-tag-default): Move most code to `find-tag-default-bounds',
21905 call it and apply `buffer-substring-no-properties' afterwards.
21907 2013-06-03 Tassilo Horn <tsdh@gnu.org>
21909 * eshell/em-term.el (eshell-term-initialize):
21910 Use `cl-intersection' rather than `intersection'.
21912 2013-06-02 Xue Fuqiao <xfq.free@gmail.com>
21914 * vc/log-view.el: Doc fix.
21915 (log-view-mode-map): Copy keymap from `special-mode-map'.
21917 2013-06-02 Eric Ludlam <zappo@gnu.org>
21919 * emacs-lisp/eieio.el (eieio--defalias, eieio-hook)
21920 (eieio-error-unsupported-class-tags, eieio-skip-typecheck)
21921 (eieio-optimize-primary-methods-flag, eieio-initializing-object)
21922 (eieio-unbound, eieio-default-superclass)
21923 (eieio--define-field-accessors, method-static, method-before)
21924 (method-primary, method-after, method-num-lists)
21925 (method-generic-before, method-generic-primary)
21926 (method-generic-after, method-num-slots)
21927 (eieio-specialized-key-to-generic-key)
21928 (eieio--check-type, class-v, class-p)
21929 (eieio-class-name, define-obsolete-function-alias)
21930 (eieio-class-parents-fast, eieio-class-children-fast)
21931 (same-class-fast-p, class-constructor, generic-p)
21932 (generic-primary-only-p, generic-primary-only-one-p)
21933 (class-option-assoc, class-option, eieio-object-p)
21934 (class-abstract-p, class-method-invocation-order)
21935 (eieio-defclass-autoload-map, eieio-defclass-autoload)
21936 (eieio-class-un-autoload, eieio-defclass)
21937 (eieio-eval-default-p, eieio-perform-slot-validation-for-default)
21938 (eieio-add-new-slot, eieio-copy-parents-into-subclass)
21939 (eieio--defgeneric-init-form, eieio-defgeneric-form)
21940 (eieio-defgeneric-reset-generic-form)
21941 (eieio-defgeneric-form-primary-only)
21942 (eieio-defgeneric-reset-generic-form-primary-only)
21943 (eieio-defgeneric-form-primary-only-one)
21944 (eieio-defgeneric-reset-generic-form-primary-only-one)
21945 (eieio-unbind-method-implementations)
21946 (eieio--defmethod, eieio--typep)
21947 (eieio-perform-slot-validation, eieio-validate-slot-value)
21948 (eieio-validate-class-slot-value, eieio-barf-if-slot-unbound)
21949 (eieio-oref, eieio-oref-default, eieio-default-eval-maybe)
21950 (eieio-oset, eieio-oset-default, eieio-slot-originating-class-p)
21951 (eieio-slot-name-index, eieio-class-slot-name-index)
21952 (eieio-set-defaults, eieio-initarg-to-attribute)
21953 (eieio-attribute-to-initarg, eieio-c3-candidate)
21954 (eieio-c3-merge-lists, eieio-class-precedence-c3)
21955 (eieio-class-precedence-dfs, eieio-class-precedence-bfs)
21956 (eieio-class-precedence-list, eieio-generic-call-methodname)
21957 (eieio-generic-call-arglst, eieio-generic-call-key)
21958 (eieio-generic-call-next-method-list)
21959 (eieio-pre-method-execution-functions, eieio-generic-call)
21960 (eieio-generic-call-primary-only, eieiomt-method-list)
21961 (eieiomt-optimizing-obarray, eieiomt-install)
21962 (eieiomt-add, eieiomt-next, eieiomt-sym-optimize)
21963 (eieio-generic-form, eieio-defmethod, make-obsolete)
21964 (eieio-defgeneric, make-obsolete): Move to eieio-core.el.
21965 (defclass): Remove `eval-and-compile' from macro.
21966 (call-next-method, shared-initialize): Instead of using
21967 `scoped-class' variable, use new eieio--scoped-class, and
21968 eieio--with-scoped-class.
21969 (initialize-instance): Rename local variable 'scoped-class' to
21970 'this-class' to remove ambiguitity from old global.
21972 * emacs-lisp/eieio-core.el: New file. Derived from key parts of
21974 (eieio--scoped-class-stack): New variable.
21975 (eieio--scoped-class): New fcn.
21976 (eieio--with-scoped-class): New scoping macro.
21977 (eieio-defclass): Use pushnew instead of add-to-list.
21978 (eieio-defgeneric-form-primary-only-one, eieio-oset-default)
21979 (eieio-slot-name-index, eieio-set-defaults, eieio-generic-call)
21980 (eieio-generic-call-primary-only, eieiomt-add): Instead of using
21981 `scoped-class' variable, use new eieio--scoped-class, and
21982 eieio--with-scoped-class.
21984 * emacs-lisp/eieio-base.el (cl-lib): Require during compile.
21986 2013-06-02 Tassilo Horn <tsdh@gnu.org>
21988 * eshell/esh-ext.el (eshell-external-command): Pass args to
21989 `eshell-find-interpreter'.
21990 (eshell-find-interpreter): Add new second parameter ARGS.
21992 * eshell/em-script.el (eshell-script-initialize): Add second arg
21993 to the function added as MATCH to `eshell-interpreter-alist'.
21995 * eshell/em-dirs.el (eshell-dirs-initialize): Add second arg to
21996 the function added as MATCH to `eshell-interpreter-alist'.
21998 * eshell/em-term.el (eshell-visual-subcommands): New defcustom.
21999 (eshell-visual-options): New defcustom.
22000 (eshell-escape-control-x): Adapt docstring.
22001 (eshell-term-initialize): Test `eshell-visual-subcommands' and
22002 `eshell-visual-options' in addition to `eshell-visual-commands'.
22003 (eshell-exec-visual): Pass args to `eshell-find-interpreter'.
22005 2013-06-01 Fabián Ezequiel Gallina <fgallina@gnu.org>
22007 * progmodes/python.el (python-indent-block-enders): Add break,
22008 continue and raise keywords.
22010 2013-06-01 Glenn Morris <rgm@gnu.org>
22012 * pcmpl-gnu.el (pcomplete/tar): Check obsolete variable is bound.
22014 Plain (f)boundp silences compilation warnings since Emacs 22.1.
22015 * progmodes/cc-cmds.el (delete-forward-p):
22016 * progmodes/cc-defs.el (buffer-syntactic-context-depth):
22017 * progmodes/cc-engine.el (buffer-syntactic-context):
22018 * progmodes/cc-fonts.el (face-property-instance):
22019 * progmodes/cc-mode.el (set-keymap-parents):
22020 * progmodes/cc-vars.el (get-char-table): No need for cc-bytecomp-defun.
22021 * progmodes/cc-defs.el (c-set-region-active, c-beginning-of-defun-1)
22022 * progmodes/cc-mode.el (c-make-inherited-keymap): Use plain fboundp.
22023 * progmodes/cc-defs.el (zmacs-region-stays, zmacs-regions)
22024 (lookup-syntax-properties): Remove unecessary cc-bytecomp-defvar.
22026 * progmodes/cc-vars.el (other): Emacs has this widget since
22027 at least 21.1, so don't (re)define it.
22029 * eshell/em-cmpl.el (eshell-cmpl-initialize):
22030 Replace the obsolete alias pcomplete-arg-quote-list.
22032 2013-06-01 Leo Liu <sdl.web@gmail.com>
22034 * progmodes/octave.el (octave-mode-syntax-table): Give `.'
22035 punctuation syntax.
22036 (inferior-octave-minimal-columns)
22037 (inferior-octave-last-column-width): New variables.
22038 (inferior-octave-track-window-width-change): New function.
22039 (inferior-octave-mode): Adjust column width so that Octave output,
22040 for example from 'ls', can fit into the window nicely.
22042 2013-05-31 Dmitry Gutov <dgutov@yandex.ru>
22044 * progmodes/ruby-mode.el (ruby-syntax-expansion-allowed-p):
22045 Highlight expansions inside regexp literals.
22047 2013-05-31 Glenn Morris <rgm@gnu.org>
22049 * obsolete/sym-comp.el (symbol-complete):
22050 Replace obsolete completion-annotate-function.
22052 * progmodes/cc-vars.el (c-make-macro-with-semi-re): Silence compiler.
22054 2013-05-31 Dmitry Gutov <dgutov@yandex.ru>
22056 * progmodes/ruby-mode.el (ruby-syntax-expansion-allowed-p):
22057 New function, checks if point is inside a literal that allows
22058 expression expansion.
22059 (ruby-syntax-propertize-expansion): Use it.
22060 (ruby-syntax-propertize-function): Bind `case-fold-search' to nil
22063 2013-05-30 Juri Linkov <juri@jurta.org>
22065 * isearch.el (isearch-mode-map): Bind `isearch-toggle-invisible'
22067 (isearch-invisible): New variable.
22068 (isearch-forward): Doc fix.
22069 (isearch-mode): Set `isearch-invisible'
22070 to the value of `search-invisible'.
22071 (isearch-toggle-case-fold): Doc fix.
22072 (isearch-toggle-invisible): New command.
22073 (isearch-query-replace): Let-bind `search-invisible'
22074 to the value of `isearch-invisible'.
22075 (isearch-search): Use `isearch-invisible' instead of
22076 `search-invisible'. Let-bind `search-invisible'
22077 to the value of `isearch-invisible'. (Bug#11378)
22079 2013-05-30 Juri Linkov <juri@jurta.org>
22081 * replace.el (perform-replace): Avoid `isearch-range-invisible'
22082 call when `query-flag' is nil and `search-invisible' is non-nil.
22085 2013-05-30 Glenn Morris <rgm@gnu.org>
22087 * progmodes/gdb-mi.el (gdb-wait-for-pending): Fix typo.
22089 * progmodes/cc-bytecomp.el (cc-bytecomp-noruntime-functions): New.
22090 (cc-require): Suppress spurious "noruntime" warnings.
22091 (cc-require-when-compile): Use fboundp, for sake of compiler.
22093 * progmodes/cc-mode.el: Move load of cc-vars before that of
22094 cc-langs (which in turn loads cc-vars), to quieten compiler.
22096 2013-05-30 Stefan Monnier <monnier@iro.umontreal.ca>
22098 * paren.el: Simplify the code.
22099 (show-paren-mode): Always start the timer.
22100 (show-paren--idle-timer): Rename from show-paren-idle-timer.
22101 (show-paren--overlay, show-paren--overlay-1): Rename from
22102 show-paren-overlay and show-paren-overlay-1, and initialize to an
22103 overlay rather than to nil.
22104 (show-paren-function): Misc cleanup and simplifications.
22106 2013-05-30 Stefan Monnier <monnier@iro.umontreal.ca>
22108 * paren.el (show-paren-data-function): New hook.
22109 (show-paren--default): New function, extracted from show-paren-function.
22110 (show-paren-function): Use show-paren-data-function.
22112 2013-05-30 Glenn Morris <rgm@gnu.org>
22114 * ielm.el (ielm-map, ielm-complete-symbol):
22115 Use completion-at-point rather than obsolete functions.
22116 (inferior-emacs-lisp-mode): Doc fix.
22117 Set completion-at-point-functions, rather than
22118 comint-dynamic-complete-functions.
22120 * eshell/em-cmpl.el (eshell-complete-lisp-symbol): New function.
22121 (eshell-cmpl-initialize, eshell-complete-parse-arguments):
22122 Replace obsolete lisp-complete-symbol with eshell-complete-lisp-symbol.
22124 * image.el (image-animated-p): Tweak definition.
22126 * net/rlogin.el (rlogin-program, rlogin-explicit-args): Default to ssh.
22127 (rlogin-process-connection-type): Tweak default. Add set-after.
22128 (rlogin-host): Doc fix.
22129 (rlogin): Tweak prompt.
22130 (rlogin-tab-or-complete): Use completion-at-point rather than alias.
22132 * net/net-utils.el (nslookup-mode-map, ftp-mode-map):
22133 * progmodes/tcl.el (inferior-tcl-mode-map):
22134 Use completion-at-point rather than obsolete alias.
22136 * emacs-lisp/eieio.el (eieio-eval-default-p): Move before use.
22138 * minibuffer.el (read-file-name-completion-ignore-case):
22139 Move before completion--in-region, for eager macro expansion.
22141 2013-05-29 Juri Linkov <juri@jurta.org>
22143 * replace.el (occur-engine): Rename `globalcount' to `global-lines'
22144 for total count of matching lines. Add `global-matches' for total
22145 count of matches. Rename `matches' to `lines' for count of
22146 matching lines. Add `matches' for count of matches.
22147 Rename `lines' to `curr-line' for line count. Rename `prev-lines'
22148 to `prev-line' for line number of prev match endpt.
22149 Increment `matches' for every match. Print the number of
22150 matching lines in the header.
22151 (occur-context-lines): Rename `lines' to `curr-line'.
22152 Rename `prev-lines' to `prev-line'. (Bug#14017)
22154 2013-05-29 Juri Linkov <juri@jurta.org>
22156 * replace.el (perform-replace): Add `skip-read-only-count',
22157 `skip-filtered-count', `skip-invisible-count' let-bound to 0.
22158 Increment them for corresponding conditions and report the number
22159 of skipped occurrences in the final message. (Bug#11746)
22160 (query-replace, query-replace-regexp, query-replace-regexp-eval)
22161 (replace-string, replace-regexp): Doc fix.
22163 2013-05-29 Stefan Monnier <monnier@iro.umontreal.ca>
22165 * emacs-lisp/trace.el (trace--read-args): Provide a default.
22167 * emacs-lisp/lisp-mode.el (lisp-mode-shared-map): Inherit from
22168 prog-mode-map (bug#14504).
22170 2013-05-29 Leo Liu <sdl.web@gmail.com>
22172 * progmodes/octave.el (octave-indent-comment): Tweak regexps.
22173 (octave-help): Small simplification.
22175 * emacs-lisp/smie.el (smie-highlight-matching-block): Always turn
22176 off the highlight first.
22178 2013-05-29 Glenn Morris <rgm@gnu.org>
22180 * progmodes/idlwave.el (idlwave-concatenate-rinfo-lists):
22181 Handle idlwave-last-system-routine-info-cons-cell being nil.
22183 * progmodes/idlwave.el (idlwave-scan-user-lib-files)
22184 (idlwave-write-paths): Simplify via with-temp-buffer.
22186 * emulation/cua-gmrk.el: Also load cua-base, cua-rect at run time.
22187 * emulation/cua-rect.el: Also load cua-base at run time.
22189 * progmodes/cperl-mode.el (imenu-choose-buffer-index)
22190 (file-of-tag, etags-snarf-tag, etags-goto-tag-location): Declare.
22191 (cperl-imenu-on-info): Require imenu.
22193 2013-05-28 Alan Mackenzie <acm@muc.de>
22195 Handle "capitalised keywords" correctly.
22196 * progmodes/cc-mode.el (c-after-change): Bind case-fold-search to nil.
22198 2013-05-28 Aidan Gauland <aidalgol@amuri.net>
22200 * eshell/em-unix.el: Add -r option to cp.
22202 2013-05-28 Glenn Morris <rgm@gnu.org>
22204 * vc/vc-arch.el (vc-exec-after): Declare.
22205 (vc-switches): Autoload.
22206 * vc/vc-bzr.el: No need to require vc when compiling.
22207 (vc-exec-after, vc-set-async-update, vc-default-dir-printer)
22208 (vc-resynch-buffer, vc-dir-refresh): Declare.
22209 (vc-setup-buffer, vc-switches): Autoload.
22210 * vc/vc-cvs.el (vc-exec-after, vc-coding-system-for-diff)
22211 (vc-resynch-buffer): Declare.
22212 (vc-switches, vc-default-revert, vc-version-backup-file): Autoload.
22213 * vc/vc-dir.el (desktop-missing-file-warning): Declare.
22214 * vc/vc-git.el (vc-exec-after, vc-set-async-update)
22215 (grep-read-regexp, grep-read-files, grep-expand-template)
22216 (vc-dir-refresh): Declare.
22217 (vc-setup-buffer, vc-switches, vc-resynch-buffer): Autoload.
22218 * vc/vc-hg.el (vc-exec-after, vc-set-async-update): Declare.
22219 (vc-setup-buffer, vc-switches, vc-do-async-command): Autoload.
22220 * vc/vc-mtn.el (vc-exec-after): Declare.
22221 (vc-switches): Autoload.
22222 * vc/vc-rcs.el (vc-expand-dirs, vc-switches)
22223 (vc-tag-precondition, vc-buffer-sync, vc-rename-master): Autoload.
22224 (vc-file-tree-walk): Declare.
22225 * vc/vc-sccs.el (vc-file-tree-walk): Declare.
22226 (vc-expand-dirs, vc-switches, vc-setup-buffer, vc-delistify)
22227 (vc-tag-precondition, vc-rename-master): Autoload.
22228 * vc/vc-svn.el (vc-exec-after): Declare.
22229 (vc-switches, vc-setup-buffer): Autoload.
22230 * obsolete/vc-mcvs.el (vc-checkout, vc-switches, vc-default-revert):
22232 (vc-resynch-buffer): Declare.
22234 * obsolete/fast-lock.el (byte-compile-warnings):
22235 Don't warn about obsolete features in this obsolete file.
22237 * progmodes/cc-vars.el (c-macro-names-with-semicolon):
22238 Move definition before use.
22240 * play/dunnet.el (byte-compile-warnings): Don't disable them all.
22241 (dun-unix-verbs): Remove dun-zippy.
22242 (dun-zippy): Remove function.
22244 * emacs-lisp/bytecomp.el (byte-compile-warnings): Doc fix.
22246 2013-05-27 Juri Linkov <juri@jurta.org>
22248 * replace.el (replace-search): New function with code moved out
22249 from `perform-replace'.
22250 (replace-highlight, replace-dehighlight): Move function definitions
22251 up closer to `replace-search'. (Bug#11746)
22253 2013-05-27 Juri Linkov <juri@jurta.org>
22255 * replace.el (perform-replace): Ignore invisible matches.
22256 In addition to checking `query-replace-skip-read-only', also
22257 filter out matches by calling `run-hook-with-args-until-failure'
22258 on `isearch-filter-predicates', and also check `search-invisible'
22259 for t or call `isearch-range-invisible'.
22260 (replace-dehighlight): Call `isearch-clean-overlays'. (Bug#11746)
22262 2013-05-27 Juri Linkov <juri@jurta.org>
22264 * isearch.el (isearch-filter-predicates): Rename from
22265 `isearch-filter-predicate'. Doc fix. (Bug#11378)
22266 (isearch-message-prefix): Display text from the property
22267 `isearch-message-prefix' of the currently active filters.
22268 (isearch-search): Don't compare `isearch-filter-predicate' with
22269 `isearch-filter-visible'. Call `run-hook-with-args-until-failure'
22270 on `isearch-filter-predicates'. Also check `search-invisible' for t
22271 or call `isearch-range-invisible'.
22272 (isearch-filter-visible): Make obsolete.
22273 (isearch-lazy-highlight-search):
22274 Call `run-hook-with-args-until-failure' on
22275 `isearch-filter-predicates' and use `isearch-range-invisible'.
22277 * info.el (Info-search): Call `run-hook-with-args-until-failure' on
22278 `isearch-filter-predicates' instead of `funcall'ing
22279 `isearch-filter-predicate'.
22280 (Info-mode): Set `Info-isearch-filter' to
22281 `isearch-filter-predicates' instead of `isearch-filter-predicate'.
22283 * dired-aux.el (dired-isearch-filter-predicate-orig):
22285 (dired-isearch-filenames-toggle, dired-isearch-filenames-setup)
22286 (dired-isearch-filenames-end): Add and remove
22287 `dired-isearch-filter-filenames' in `isearch-filter-predicates'
22288 instead of changing the value of `isearch-filter-predicate'.
22289 Rebind `dired-isearch-filenames-toggle' from "\M-sf" to "\M-sff".
22290 (dired-isearch-filter-filenames): Don't use `isearch-filter-visible'.
22291 Put property `isearch-message-prefix' to "filename " on
22292 `dired-isearch-filter-filenames'.
22294 * wdired.el (wdired-change-to-wdired-mode):
22295 Add `isearch-filter-predicates' to `wdired-isearch-filter-read-only'
22296 locally instead of changing `isearch-filter-predicate'.
22297 (wdired-isearch-filter-read-only): Don't use `isearch-filter-visible'.
22299 2013-05-27 Dmitry Gutov <dgutov@yandex.ru>
22301 * vc/vc-git.el (vc-git-working-revision): When in detached mode,
22302 return the commit hash (Bug#14459). Also set the
22303 `vc-git-detached' property.
22304 (vc-git--rev-parse): Extract from `vc-git-previous-revision'.
22305 (vc-git-mode-line-string): Use the same help-echo format whether
22306 in detached mode or not, because we know the actual revision now.
22307 When in detached mode, shorten the revision to 7 chars.
22309 2013-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
22311 * emacs-lisp/easy-mmode.el (define-minor-mode):
22312 * emacs-lisp/derived.el (define-derived-mode): Always defvar the
22313 mode hook and provide a docstring.
22315 2013-05-27 Alan Mackenzie <acm@muc.de>
22317 Remove spurious syntax-table text properties inserted by C-y.
22318 * progmodes/cc-mode.el (c-after-change): Also clear hard
22319 syntax-table property with value nil.
22321 2013-05-27 Michael Albinus <michael.albinus@gmx.de>
22323 * net/dbus.el (dbus-call-method): Let-bind `inhibit-redisplay'
22324 when reading the events; the buffer layout shall not be changed.
22326 2013-05-27 Leo Liu <sdl.web@gmail.com>
22328 * progmodes/octave.el (inferior-octave-directory-tracker-resync):
22330 (inferior-octave-directory-tracker): Automatically re-sync
22332 (octave-help): Improve handling of 'See also'.
22334 2013-05-27 Stefan Monnier <monnier@iro.umontreal.ca>
22336 * doc-view.el: Minor naming convention tweaks.
22337 (desktop-buffer-mode-handlers): Don't add to it repeatedly.
22339 * image-mode.el (image-mode-reapply-winprops): Call image-mode-winprops
22340 even if there's no `display' property yet (bug#14435).
22342 2013-05-25 Eli Zaretskii <eliz@gnu.org>
22344 * subr.el (unmsys--file-name): Rename from reveal-filename.
22346 * Makefile.in (custom-deps, finder-data, autoloads)
22347 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
22348 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
22349 ($(CAL_DIR)/hol-loaddefs.el): All users changed.
22351 2013-05-25 Stefan Monnier <monnier@iro.umontreal.ca>
22353 * emacs-lisp/lisp.el (lisp-completion-at-point): Don't use
22354 error-completion on the first 2 args of condition-case (bug#14446).
22357 2013-05-25 Leo Liu <sdl.web@gmail.com>
22359 * comint.el (comint-previous-matching-input): Do not flood the
22360 *Messages* buffer with trivial messages.
22362 2013-05-25 Stefan Monnier <monnier@iro.umontreal.ca>
22364 * progmodes/flymake.el (flymake-nop): Don't return a string.
22365 (flymake-set-at): Fix typo.
22367 * simple.el (read--expression): New function, extracted from
22368 eval-expression. Set completion-at-point-functions (bug#14465).
22369 (eval-expression, eval-minibuffer): Use it.
22371 2013-05-25 Xue Fuqiao <xfq.free@gmail.com>
22373 * progmodes/flymake.el (flymake-save-buffer-in-file)
22374 (flymake-makehash, flymake-posn-at-point-as-event, flymake-nop)
22375 (flymake-selected-frame, flymake-log, flymake-ins-after)
22376 (flymake-set-at, flymake-get-buildfile-from-cache)
22377 (flymake-add-buildfile-to-cache, flymake-clear-buildfile-cache)
22378 (flymake-find-possible-master-files, flymake-save-buffer-in-file):
22379 Refine the doc string.
22380 (flymake-get-file-name-mode-and-masks): Reformat.
22381 (flymake-get-real-file-name-function): Fix a minor bug.
22383 2013-05-24 Juri Linkov <juri@jurta.org>
22385 * progmodes/grep.el (grep-mode-font-lock-keywords):
22386 Support =linenumber= format used by git-grep for lines with
22387 function names. (Bug#13549)
22389 2013-05-24 Stefan Monnier <monnier@iro.umontreal.ca>
22391 * progmodes/octave.el (octave-smie-rules): Return nil rather than
22392 0 after a semi-colon; it works better for smie-auto-fill.
22393 (octave--indent-new-comment-line): New function.
22394 (octave-indent-new-comment-line): Use it (indirectly).
22395 (octave-mode): Don't disable smie-auto-fill. Use add-function to
22396 modify comment-line-break-function.
22398 * emacs-lisp/smie.el (smie-auto-fill): Rework to be more robust.
22399 (smie-setup): Use add-function to set it.
22401 2013-05-24 Sam Steingold <sds@gnu.org>
22403 * sort.el (delete-duplicate-lines): Accept an optional `keep-blanks'
22404 argument (before the `interactive' argument).
22406 2013-05-24 Stefan Monnier <monnier@iro.umontreal.ca>
22408 * image-mode.el (image-mode-winprops): Add winprops to
22409 image-mode-winprops-alist before running
22410 image-mode-new-window-functions.
22411 * doc-view.el (doc-view-new-window-function): Don't delay
22412 doc-view-goto-page via timers (bug#14435).
22414 2013-05-24 Tassilo Horn <tsdh@gnu.org>
22416 * doc-view.el: Integrate with desktop.el. (Bug#14435)
22417 (doc-view-desktop-save-buffer): New function.
22418 (doc-view-restore-desktop-buffer): New function.
22419 (desktop-buffer-mode-handlers):
22420 Add `doc-view-restore-desktop-buffer' as desktop.el buffer mode
22422 (doc-view-mode): Set `doc-view-desktop-save-buffer' as custom
22423 `desktop-save-buffer' function.
22425 2013-05-24 Michael Albinus <michael.albinus@gmx.de>
22427 * net/tramp-gvfs.el (tramp-gvfs-enabled): New defconst.
22428 (tramp-gvfs-file-name-handler): Raise a user error when
22429 `tramp-gvfs-enabled' is nil.
22430 (top): Register signals only when `tramp-gvfs-enabled' is non-nil.
22431 Do not raise a user error when loading package. (Bug#14447)
22433 * net/xesam.el: Move to obsolete/.
22435 2013-05-24 Glenn Morris <rgm@gnu.org>
22437 * font-lock.el (lisp-font-lock-keywords-2): Add with-coding-priority.
22439 * emacs-lisp/chart.el (chart-sort): Replace obsolete `object-name'.
22441 * progmodes/cperl-mode.el (cperl-mode): Use fboundp.
22442 (Info-find-node, Man-getpage-in-background): Declare.
22444 * mail/unrmail.el (unrmail):
22445 Replace obsolete detect-coding-with-priority.
22447 * net/socks.el (socks-split-string): Use this rather than split-string.
22448 (socks-nslookup-host): Update for above change.
22449 (dynamic-choice, s5-dynamic-choice-match)
22450 (s5-dynamic-choice-match-inline, s5-widget-value-create):
22451 Comment out unused code.
22453 * tooltip.el (tooltip-use-echo-area): Warn only on 'set.
22454 * progmodes/gud.el (gud-gdb-completion-function): Move before use.
22455 (gud-tooltip-echo-area): Make obsolete.
22456 (gud-tooltip-process-output, gud-tooltip-tips): Also check tooltip-mode.
22458 * progmodes/js.el (js--optimize-arglist): Declare.
22460 * progmodes/ruby-mode.el (ruby-syntax-propertize-expansion): Declare.
22462 * progmodes/which-func.el (ediff-window-A, ediff-window-B)
22463 (ediff-window-C): Declare.
22465 * obsolete/pgg-gpg.el, obsolete/pgg-pgp.el, obsolete/pgg-pgp5.el:
22466 Tweak requires to silence compiler.
22468 * obsolete/sym-comp.el: No need to load hipper-exp when compiling.
22469 (he-search-string, he-tried-table, he-expand-list)
22470 (he-init-string, he-string-member, he-substitute-string)
22471 (he-reset-string): Declare.
22473 * obsolete/options.el (list-options): Use custom-variable-p,
22474 rather than obsolete alias.
22476 2013-05-23 Sam Steingold <sds@gnu.org>
22478 * simple.el (shell-command-on-region): Pass the `replace' argument
22479 down to `call-process-region' to comply with the doc as reported on
22480 <http://stackoverflow.com/questions/16720458/emacs-noninteractive-call-to-shell-command-on-region-always-deletes-region>
22482 2013-05-23 Stefan Monnier <monnier@iro.umontreal.ca>
22484 * emacs-lisp/smie.el (smie-indent-forward-token)
22485 (smie-indent-backward-token): Handle string tokens (bug#14381).
22487 2013-05-23 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
22489 * ielm.el (ielm-menu): New menu.
22490 (inferior-emacs-lisp-mode): Set comment-start.
22492 2013-05-23 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
22494 * textmodes/reftex.el (reftex-ref-style-toggle):
22495 Fix deactivate action.
22497 * textmodes/reftex-vars.el (reftex-ref-style-alist):
22498 Add cleveref macros.
22500 * textmodes/reftex-parse.el (reftex-locate-bibliography-files):
22501 Accept options for bibliography commands.
22502 * textmodes/reftex-vars.el (reftex-bibliography-commands):
22503 Add addbibresource. Basic Biblatex support.
22505 2013-05-23 Michael Albinus <michael.albinus@gmx.de>
22507 * net/tramp-gvfs.el (top):
22508 * net/xesam.el (xesam-dbus-unique-names): Suppress D-Bus errors
22509 when loading package. (Bug#14447)
22511 2013-05-23 Glenn Morris <rgm@gnu.org>
22513 * progmodes/js.el: No need to load comint when compiling.
22514 (ring-insert, comint-send-string, comint-send-input)
22515 (comint-last-input-end, ido-chop): Declare.
22517 * vc/ediff-diff.el, vc/ediff-merg.el: Require ediff-util at run-time.
22518 * vc/ediff-mult.el: Adjust requires.
22519 (ediff-directories-internal, ediff-directory-revisions-internal)
22520 (ediff-patch-file-internal): Declare.
22521 * vc/ediff-ptch.el: Adjust requires.
22522 (ediff-use-last-dir, ediff-buffers-internal): Declare.
22523 (ediff-find-file): Autoload.
22524 * vc/ediff-util.el: No need to load ediff when compiling.
22525 (ediff-regions-internal): Declare.
22526 * vc/ediff-wind.el: Adjust requires.
22527 (ediff-compute-toolbar-width): Define when compiling.
22528 (ediff-setup-control-buffer, ediff-make-bottom-toolbar): Declare.
22529 * vc/ediff.el: No need to load dired, ediff-ptch when compiling.
22530 (dired-get-filename, dired-get-marked-files)
22531 (ediff-last-dir-patch, ediff-patch-default-directory)
22532 (ediff-get-patch-buffer, ediff-dispatch-file-patching-job)
22533 (ediff-patch-buffer-internal): Declare.
22535 * emacs-lisp/checkdoc.el: No need to load ispell when compiling.
22536 (ispell-process, ispell-buffer-local-words, lm-summary)
22537 (lm-section-start, lm-section-end): Declare.
22538 (checkdoc-ispell-init): Simplify.
22540 * progmodes/vera-mode.el (he-init-string, he-dabbrev-beg)
22541 (he-string-member, he-reset-string, he-substitute-string): Declare.
22543 * eshell/em-ls.el: Adjust requires.
22544 (eshell-glob-regexp): Declare.
22545 * eshell/em-tramp.el: Adjust requires.
22546 (eshell-parse-command): Autoload.
22547 * eshell/em-xtra.el: Adjust requires.
22548 (eshell-parse-command): Autoload.
22549 * eshell/esh-ext.el: Adjust requires.
22550 (eshell-parse-command, eshell-close-handles): Autoload.
22551 * eshell/esh-io.el: Adjust requires.
22552 (eshell-output-filter): Autoload.
22553 * eshell/esh-util.el: No need to load tramp when compiling.
22554 (tramp-file-name-structure, ange-ftp-ls, ange-ftp-file-modtime):
22556 (eshell-parse-ange-ls): Require ange-ftp and tramp.
22557 * eshell/em-alias.el, eshell/em-banner.el, eshell/em-basic.el:
22558 * eshell/em-cmpl.el, eshell/em-glob.el, eshell/em-pred.el:
22559 * eshell/em-prompt.el, eshell/em-rebind.el, eshell/em-smart.el:
22560 * eshell/em-term.el, eshell/esh-arg.el, eshell/esh-mode.el:
22561 * eshell/esh-opt.el, eshell/esh-proc.el:
22562 * eshell/esh-var.el: Adjust requires.
22563 * eshell/eshell.el: Do not require esh-util twice.
22564 (eshell-add-input-to-history): Declare.
22565 (eshell-command): Check history module is active before using it.
22567 * eshell/em-ls.el (eshell-ls-dir): Fix -A handling.
22569 2013-05-22 Leo Liu <sdl.web@gmail.com>
22571 * progmodes/octave.el (inferior-octave-startup): Fix bug#14433.
22573 2013-05-22 Michael Albinus <michael.albinus@gmx.de>
22575 * autorevert.el (auto-revert-notify-add-watch)
22576 (auto-revert-notify-handler): Add `attrib' for the inotify case,
22577 it indicates changes in file modification time.
22579 2013-05-22 Glenn Morris <rgm@gnu.org>
22581 * emacs-lisp/bytecomp.el (byte-compile-file-form-autoload):
22582 Always delete the autoloaded function from the noruntime and
22583 unresolved functions lists.
22585 * allout.el: No need to load epa, epg, overlay when compiling.
22586 (epg-context-set-passphrase-callback, epg-list-keys)
22587 (epg-decrypt-string, epg-encrypt-string, epg-user-id-string)
22588 (epg-key-user-id-list): Declare.
22590 * emulation/viper-cmd.el (viper-set-searchstyle-toggling-macros)
22591 (viper-set-parsing-style-toggling-macro)
22592 (viper-set-emacs-state-searchstyle-macros):
22593 Use called-interactively-p on Emacs.
22594 (viper-looking-back): Make it an obsolete alias. Update callers.
22595 * emulation/viper-ex.el: Load viper-keym, not viper-cmd.
22596 Use looking-back rather than viper-looking-back.
22597 (viper-tmp-insert-at-eob, viper-enlarge-region)
22598 (viper-read-string-with-history, viper-register-to-point)
22599 (viper-append-to-register, viper-change-state-to-vi)
22600 (viper-backward-char-carefully, viper-forward-char-carefully)
22601 (viper-Put-back, viper-put-back, viper-add-newline-at-eob-if-necessary)
22602 (viper-change-state-to-emacs): Declare.
22603 * emulation/viper-macs.el: Load viper-mous, viper-ex, not viper-cmd.
22604 (viper-change-state-to-insert, viper-change-state-to-vi): Declare.
22605 * emulation/viper-mous.el: Do not load viper-cmd.
22606 (viper-backward-char-carefully, viper-forward-char-carefully)
22607 (viper-forward-word, viper-adjust-window): Declare.
22609 * vc/ediff.el (ediff-version): Use called-interactively-p on Emacs.
22611 * progmodes/idlw-help.el (idlwave-help-fontify):
22612 Use called-interactively-p.
22614 * term/w32console.el (w32-get-console-codepage)
22615 (w32-get-console-output-codepage): Declare.
22617 * dframe.el (x-sensitive-text-pointer-shape, x-pointer-shape):
22618 Remove unnecessary declarations.
22619 (dframe-message): Doc fix.
22621 * info.el (dframe-select-attached-frame, dframe-current-frame):
22624 * speedbar.el (speedbar-message): Make it an obsolete alias.
22625 Update all callers.
22626 (speedbar-with-attached-buffer)
22627 (speedbar-maybee-jump-to-attached-frame): Make these aliases obsolete.
22628 (speedbar-with-writable): Use backquote.
22629 * emacs-lisp/eieio-opt.el (eieio-describe-class-sb):
22630 * emacs-lisp/eieio-speedbar.el (eieio-speedbar-handle-click):
22631 Use dframe-with-attached-buffer, dframe-maybee-jump-to-attached-frame
22632 rather than speedbar- aliases.
22633 * mail/rmail.el: Load dframe rather than speedbar when compiling.
22634 (speedbar-make-specialized-keymap, speedbar-insert-button)
22635 (dframe-select-attached-frame, dframe-maybee-jump-to-attached-frame)
22636 (speedbar-do-function-pointer): Declare.
22637 (rmail-speedbar-button, rmail-speedbar-find-file)
22638 (rmail-speedbar-move-message):
22639 Use dframe-with-attached-buffer rather than speedbar- alias.
22640 * progmodes/gud.el: Load dframe rather than speedbar when compiling.
22641 (dframe-message, speedbar-make-specialized-keymap)
22642 (speedbar-add-expansion-list, speedbar-mode-functions-list)
22643 (speedbar-make-tag-line, speedbar-remove-localized-speedbar-support)
22644 (speedbar-insert-button, dframe-select-attached-frame)
22645 (dframe-maybee-jump-to-attached-frame)
22646 (speedbar-change-initial-expansion-list)
22647 (speedbar-previously-used-expansion-list-name): Declare.
22648 (gud-speedbar-item-info, gud-gdb-goto-stackframe):
22649 Use dframe-message, dframe-with-attached-buffer rather than
22651 (gud-sentinel): Silence compiler.
22652 * progmodes/vhdl-mode.el (speedbar-refresh)
22653 (speedbar-do-function-pointer, speedbar-add-supported-extension)
22654 (speedbar-add-mode-functions-list, speedbar-make-specialized-keymap)
22655 (speedbar-change-initial-expansion-list, speedbar-add-expansion-list)
22656 (speedbar-extension-list-to-regex, speedbar-directory-buttons)
22657 (speedbar-file-lists, speedbar-make-tag-line)
22658 (speedbar-line-directory, speedbar-goto-this-file)
22659 (speedbar-center-buffer-smartly, speedbar-change-expand-button-char)
22660 (speedbar-delete-subblock, speedbar-position-cursor-on-line)
22661 (speedbar-make-button, speedbar-reset-scanners)
22662 (speedbar-files-item-info, speedbar-line-text)
22663 (speedbar-find-file-in-frame, speedbar-set-timer)
22664 (dframe-maybee-jump-to-attached-frame, speedbar-line-file): Declare.
22665 (speedbar-with-writable): Do not (re)define it.
22666 (vhdl-speedbar-find-file): Use dframe-maybee-jump-to-attached-frame
22667 rather than speedbar- alias.
22669 2013-05-21 Leo Liu <sdl.web@gmail.com>
22671 * progmodes/octave.el (octave-mode-menu): Update and re-organize
22673 (octave-mode): Tweak fill-nobreak-predicate.
22674 (inferior-octave-startup): Check process to avoid infinite loop.
22675 (inferior-octave): Pop to buffer first to show abornmal process
22678 2013-05-21 Glenn Morris <rgm@gnu.org>
22680 * printing.el (pr-menu-bar): Define when compiling.
22682 2013-05-21 Leo Liu <sdl.web@gmail.com>
22684 * progmodes/octave.el (octave-auto-fill): Remove.
22685 (octave-indent-new-comment-line): Improve.
22686 (octave-mode): Use auto fill mode through
22687 comment-line-break-function and fill-nobreak-predicate.
22688 (octave-goto-function-definition): Support DEFUN_DLD.
22689 (octave-beginning-of-defun): Small tweak.
22690 (octave-help): Show parent directory.
22692 2013-05-21 Glenn Morris <rgm@gnu.org>
22694 * files.el (dired-unmark):
22695 * progmodes/gud.el (gdb-input): Update declarations.
22697 * calculator.el (electric, ehelp): No need to load when compiling.
22698 (Electric-command-loop, electric-describe-mode): Declare.
22700 * doc-view.el (doc-view-current-converter-processes): Move before use.
22702 * emacs-lisp/easy-mmode.el (define-globalized-minor-mode):
22703 Move MODE-set-explicitly definition before use.
22705 * international/mule-diag.el (mule-diag):
22706 Don't use obsolete window-system-version.
22708 * mail/feedmail.el (smtpmail): No need to load when compiling.
22709 (smtpmail-via-smtp, smtpmail-smtp-server): Declare.
22711 * mail/mail-utils.el (rfc822): No need to load when compiling.
22712 (rfc822-addresses): Autoload it.
22713 (mail-strip-quoted-names): Trivial simplification.
22715 * mail/rmail.el (rmail-mime-message-p, rmail-mime-toggle-raw): Declare.
22716 (rmail-retry-failure): Don't assume that rmail-mime-feature == rmailmm.
22718 * net/snmp-mode.el (tempo): Don't duplicate requires.
22720 * progmodes/prolog.el (info): No need to load when compiling.
22721 (comint): Require before shell requires it.
22722 (Info-goto-node): Autoload it.
22723 (Info-follow-nearest-node): Declare.
22724 (prolog-help-info, prolog-goto-predicate-info): No need to require info.
22726 * textmodes/artist.el (picture-mode-exit): Declare.
22728 * textmodes/reftex-parse.el (reftex-parse-from-file):
22729 Trivial rewrite so the compiler can parse it better.
22731 2013-05-20 Leo Liu <sdl.web@gmail.com>
22733 * progmodes/octave.el (octave-help-mode-map)
22734 (octave-help-mode-finish-hook): New variables.
22735 (octave-help-mode, octave-help-mode-finish): New functions.
22736 (octave-help): Use octave-help-mode.
22738 2013-05-20 Glenn Morris <rgm@gnu.org>
22740 * format-spec.el (format-spec): Allow spec chars with nil. (Bug#14420)
22742 2013-05-19 Dmitry Gutov <dgutov@yandex.ru>
22744 * progmodes/ruby-mode.el (ruby-expression-expansion-re): Allow to
22745 start at point, so that expansion starting right after opening
22746 slash in a regexp is recognized.
22747 (ruby-syntax-before-regexp-re): New defvar, extracted from
22748 ruby-syntax-propertize-function. Since the value of this regexp
22749 is looked up at runtime now, we should be able to turn
22750 `ruby-syntax-methods-before-regexp' into a defcustom later.
22751 (ruby-syntax-propertize-function): Split regexp matching into two
22752 parts, for opening and closing slashes. That allows us to skip
22753 over string interpolations and support multiline regexps.
22754 Don't call `ruby-syntax-propertize-expansions', instead use another rule
22755 for them, which calls `ruby-syntax-propertize-expansion'.
22756 (ruby-syntax-propertize-expansions): Move `remove-text-properties'
22757 call to `ruby-syntax-propertize-function'.
22758 (ruby-syntax-propertize-expansion): Extracted from
22759 `ruby-syntax-propertize-expansions'. Handles one expansion.
22760 (ruby-syntax-propertize-percent-literal): Leave point right after
22761 the percent symbol, so that the expression expansion rule can
22762 propertize the contents.
22763 (ruby-syntax-propertize-heredoc): Leave point at bol following the
22765 (ruby-syntax-propertize-expansions): Remove.
22767 2013-05-18 Juri Linkov <juri@jurta.org>
22769 * man.el (Man-default-man-entry): Remove `-' from the end
22770 of the default value. (Bug#14400)
22772 2013-05-18 Glenn Morris <rgm@gnu.org>
22774 * comint.el (comint-password-prompt-regexp):
22775 Allow "password for XXX" where XXX contains colons (eg https://...).
22777 2013-05-18 Leo Liu <sdl.web@gmail.com>
22779 * progmodes/octave.el (inferior-octave-startup): Use OCTAVE_SRCDIR
22780 instead. Include "--no-gui" to prevent hangs for Octave > 3.7.
22781 (octave-source-directories): Don't check process.
22782 (octave-source-directories, octave-find-definition): Doc fix.
22784 2013-05-18 Glenn Morris <rgm@gnu.org>
22786 * progmodes/vhdl-mode.el (vhdl-mode-map-init):
22787 Remove backspace/delete bindings. (Bug#14392)
22789 * cus-dep.el (custom-make-dependencies): Sort the output.
22790 (custom-versions-load-alist): Convert comment to doc.
22792 2013-05-17 Leo Liu <sdl.web@gmail.com>
22794 * newcomment.el (comment-search-backward): Stricter in finding
22795 comment start. (Bug#14303)
22797 * progmodes/octave.el (octave-comment-start): Remove the SPC char.
22798 (octave-comment-start-skip): Properly anchored.
22800 2013-05-17 Leo Liu <sdl.web@gmail.com>
22802 * emacs-lisp/smie.el (smie-highlight-matching-block-mode):
22803 Clean up when turned off. (Bug#14395)
22804 (smie--highlight-matching-block-overlay): No longer buffer-local.
22805 (smie-highlight-matching-block): Adjust.
22807 2013-05-17 Paul Eggert <eggert@cs.ucla.edu>
22809 Doc string fix for "nanoseconds" (Bug#14406).
22810 * emacs-lisp/timer.el (timer-relative-time, timer-inc-time):
22811 Fix doc string typo that had "nanoseconds" instead of "microseconds".
22813 2013-05-17 Jay Belanger <jay.p.belanger@gmail.com>
22815 * calc/calc-units.el (math-extract-units): Preserve powers
22818 2013-05-17 Leo Liu <sdl.web@gmail.com>
22820 * subr.el (delete-consecutive-dups): New function.
22821 * ido.el (ido-set-matches-1): Use it.
22822 * progmodes/octave.el (inferior-octave-completion-table): Use it.
22823 * ido.el (ido-remove-consecutive-dups): Remove.
22825 2013-05-17 Stefan Monnier <monnier@iro.umontreal.ca>
22827 * progmodes/f90.el (f90-keywords-re, f90-keywords-level-3-re)
22828 (f90-hpf-keywords-re, f90-constants-re): Use \\_< rather than
22829 regexp-opt's `words'.
22831 2013-05-16 Leo Liu <sdl.web@gmail.com>
22833 * emacs-lisp/smie.el (smie-matching-block-highlight): New face.
22834 (smie--highlight-matching-block-overlay)
22835 (smie--highlight-matching-block-lastpos)
22836 (smie--highlight-matching-block-timer): New variables.
22837 (smie-highlight-matching-block): New function.
22838 (smie-highlight-matching-block-mode): New minor mode. (Bug#14395)
22839 (smie-setup): Conditionally enable smie-blink-matching-open.
22841 2013-05-16 Wilson Snyder <wsnyder@wsnyder.org>
22843 Sync with upstream verilog-mode r840.
22844 * progmodes/verilog-mode.el (verilog-mode-version)
22845 (verilog-mode-release-date): Update.
22846 (verilog-auto-lineup, verilog-auto-reset): Doc fixes.
22847 (verilog-sig-tieoff): Fix string error on
22848 AUTORESET with colon define, bug594. Reported by Andrew Hou.
22849 (verilog-read-decls): Fix parameters confusing
22850 AUTOINST interfaces, bug565. Reported by Leith Johnson.
22852 2013-05-16 Eli Zaretskii <eliz@gnu.org>
22854 * subr.el (reveal-filename): New function.
22856 * loadup.el: Compute Emacs executable versions on MS-Windows,
22857 where executables have the .exe extension. Add a hard link
22858 emacs-XX.YY.ZZ.exe on MS-Windows.
22860 * Makefile.in (XARGS_LIMIT): New variable.
22861 (custom-deps, finder-data, autoloads)
22862 ($(MH_E_DIR)/mh-loaddefs.el, $(TRAMP_DIR)/tramp-loaddefs.el)
22863 ($(CAL_DIR)/cal-loaddefs.el, $(CAL_DIR)/diary-loaddefs.el)
22864 ($(CAL_DIR)/hol-loaddefs.el): Use reveal-filename.
22865 (compile-main): Limit xargs according to $(XARGS_LIMIT).
22867 2013-05-16 Leo Liu <sdl.web@gmail.com>
22869 * progmodes/octave.el (octave-indent-defun): Mark obsolete.
22870 (octave-mode-menu, octave-mode-map): Remove its uses.
22872 2013-05-16 Reto Zimmermann <reto@gnu.org>
22874 Sync with upstream vhdl mode v3.34.2.
22875 * progmodes/vhdl-mode.el: Use `push' throughout.
22876 (vhdl-version, vhdl-time-stamp, vhdl-doc-release-notes): Update.
22877 (vhdl-compiler-alist): Replace "\t\n" by "\\t\\n".
22878 Add IBM & Quartus compiler. Enhance entry for ADVance MS compiler.
22879 (vhdl-actual-generic-name): New option to derive actual generic name.
22880 (vhdl-port-paste-signals): Replace formal by actual generics.
22881 (vhdl-beautify): New name for old group vhdl-align. Update users.
22882 (vhdl-beautify-options): New option.
22883 (vhdl-last-input-event): New compat alias. Use throughout.
22884 (vhdl-goto-line): Replace user level function `goto-line'.
22885 (vhdl-mode-map): Add bindings for vhdl-fix-statement-region,
22886 vhdl-fix-statement-buffer.
22887 (vhdl-create-mode-menu): Add some entries.
22888 (vhdl-align-region-groups): Respect vhdl-beautify-options.
22889 (vhdl-align-inline-comment-region-1): Handle "--" inside string.
22890 (vhdl-fixup-whitespace-region): Handle symbols at EOL.
22891 (vhdl-fix-statement-region, vhdl-fix-statement-buffer): New commands,
22892 to force statements on one line.
22893 (vhdl-remove-trailing-spaces-region):
22894 New, split from vhdl-remove-trailing-spaces.
22895 (vhdl-beautify-region): Fix statements, trailing spaces, ^M character.
22896 Respect vhdl-beautify-options.
22897 (vhdl-update-sensitivity-list-buffer): If non-interactive save buffer.
22898 (vhdl-update-sensitivity-list): Not add with index if exists without.
22899 Not include array index with signal. Ignore keywords in comments.
22900 (vhdl-get-visible-signals): Regexp tweaks.
22901 (vhdl-template-component-inst): Handle empty library.
22902 (vhdl-template-type): Add template for 'enum' type.
22903 (vhdl-port-paste-generic-map, vhdl-port-paste-constants):
22904 Use vhdl-replace-string.
22905 (vhdl-port-paste-signals): Use vhdl-prepare-search-1.
22906 (vhdl-speedbar-mode-map): Rename from vhdl-speedbar-key-map.
22907 (vhdl-speedbar-initialize): Update for above name change.
22908 (vhdl-compose-wire-components): Fix in handling of constants.
22909 (vhdl-error-regexp-emacs-alist): New variable.
22910 (vhdl-error-regexp-add-emacs): New function;
22911 adds support for new compile.el (Emacs 22+)
22912 (vhdl-generate-makefile-1): Change target order for single lib. units.
22913 Allow use of absolute file names.
22915 2013-05-16 Leo Liu <sdl.web@gmail.com>
22917 * simple.el (prog-indent-sexp): Indent enclosing defun.
22919 2013-05-15 Glenn Morris <rgm@gnu.org>
22921 * cus-start.el (show-trailing-whitespace): Move to editing basics.
22922 * faces.el (trailing-whitespace): Don't use whitespace-faces group.
22923 * obsolete/old-whitespace.el (whitespace-faces): Remove group.
22924 (whitespace-highlight): Move to whitespace group.
22926 * comint.el (comint-source):
22927 * pcmpl-linux.el (pcmpl-linux):
22928 * shell.el (shell-faces):
22929 * eshell/esh-opt.el (eshell-opt):
22930 * international/ccl.el (ccl): Remove empty custom groups.
22932 * completion.el (dynamic-completion-mode):
22933 * jit-lock.el (jit-lock-debug-mode):
22934 * minibuffer.el (completion-in-region-mode):
22935 * type-break.el (type-break-mode-line-message-mode)
22936 (type-break-query-mode):
22937 * emulation/tpu-edt.el (tpu-edt-mode):
22938 * progmodes/subword.el (global-subword-mode, global-superword-mode):
22939 * progmodes/vhdl-mode.el (vhdl-electric-mode, vhdl-stutter-mode):
22940 * term/vt100.el (vt100-wide-mode): Specify explicit :group.
22942 * term/xterm.el (xterm): Change parent group to terminals.
22944 * master.el (master): Remove empty custom group.
22945 (master-mode): Remove unused :group argument.
22946 * textmodes/refill.el (refill): Remove empty custom group.
22947 (refill-mode): Remove unused :group argument.
22949 * textmodes/rst.el (rst-compile-toolsets): Use rst-compile group.
22951 * cus-dep.el: Provide a feature.
22952 (custom-make-dependencies): Ignore dotfiles (dir-locals).
22953 Don't mistakenly ignore files whose basenames match a basename
22954 from preloaded-file-list (eg cedet/ede/simple.el).
22955 Add a fallback method for getting :group.
22957 2013-05-15 Juri Linkov <juri@jurta.org>
22959 * isearch.el (isearch-char-by-name): Rename from
22960 `isearch-insert-char-by-name'. Doc fix.
22961 (isearch-forward): Mention `isearch-char-by-name' in
22962 the docstring. (Bug#13348)
22964 * isearch.el (minibuffer-local-isearch-map): Bind "\r" to
22965 `exit-minibuffer' instead of
22966 `isearch-nonincremental-exit-minibuffer'.
22967 (isearch-edit-string): Remove mention of
22968 `isearch-nonincremental-exit-minibuffer' from docstring.
22969 (isearch-nonincremental-exit-minibuffer): Mark as obsolete.
22970 (isearch-forward-exit-minibuffer)
22971 (isearch-reverse-exit-minibuffer): Add docstring. (Bug#13348)
22973 2013-05-15 Stefan Monnier <monnier@iro.umontreal.ca>
22975 * loadup.el: Just use unversioned DOC.
22977 * nxml/nxml-mode.el: Treat unclosed <[[, <?, comment, and other
22978 literals as extending to EOB.
22979 (nxml-last-fontify-end): Remove unused variable.
22980 (nxml-after-change1): Use with-silent-modifications.
22981 (nxml-extend-after-change-region): Simplify.
22982 (nxml-extend-after-change-region1): Remove function.
22983 (nxml-after-change1): Don't adjust for dependent regions.
22984 (nxml-fontify-matcher): Simplify.
22985 * nxml/xmltok.el (xmltok-dependent-regions): Remove variable.
22986 (xmltok-add-dependent): Remove function.
22987 (xmltok-scan-after-lt, xmltok-scan-after-processing-instruction-open)
22988 (xmltok-scan-after-comment-open, xmltok-scan-prolog-literal)
22989 (xmltok-scan-prolog-after-processing-instruction-open): Treat
22990 unclosed <[[, <?, comment, and other literals as extending to EOB.
22991 * nxml/rng-valid.el (rng-mark-xmltok-dependent-regions)
22992 (rng-mark-xmltok-dependent-region, rng-dependent-region-changed):
22994 (rng-do-some-validation-1): Don't mark dependent regions.
22995 * nxml/nxml-rap.el (nxml-adjust-start-for-dependent-regions)
22996 (nxml-mark-parse-dependent-regions, nxml-mark-parse-dependent-region)
22997 (nxml-clear-dependent-regions): Remove functions.
22998 (nxml-scan-after-change, nxml-scan-prolog, nxml-tokenize-forward)
22999 (nxml-ensure-scan-up-to-date):
23000 Don't clear&mark dependent regions.
23002 2013-05-15 Leo Liu <sdl.web@gmail.com>
23004 * progmodes/octave.el (octave-goto-function-definition):
23005 Improve and fix callers.
23007 2013-05-15 Stefan Monnier <monnier@iro.umontreal.ca>
23009 * emacs-lisp/cl-extra.el (cl-getf): Return the proper value in
23010 the setter (bug#14387).
23012 * progmodes/f90.el (f90-blocks-re): Include the terminating \> in the
23013 surrounding group (bug#14402).
23015 2013-05-14 Juri Linkov <juri@jurta.org>
23017 * subr.el (find-tag-default-as-regexp): Return nil if `tag' is nil.
23020 2013-05-14 Glenn Morris <rgm@gnu.org>
23022 * progmodes/f90.el (f90-imenu-generic-expression):
23023 Fix typo in 2013-05-08 change. (Bug#14402)
23025 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
23027 * progmodes/gdb-mi.el (gdb-running, gdb-starting):
23028 Remove signals for which replies are never received.
23030 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
23032 * progmodes/gdb-mi.el: Fix non-responsive gud commands (bug#13845)
23033 (gdb-handler-alist, gdb-handler-number): Remove variables.
23034 (gdb-handler-list): New variable.
23035 (gdb-add-handler, gdb-delete-handler, gdb-get-handler-function)
23036 (gdb-pending-handler-p, gdb-handle-reply)
23037 (gdb-remove-all-pending-triggers): New functions.
23038 (gdb-discard-unordered-replies): New defcustom.
23039 (gdb-handler): New defstruct.
23040 (gdb-wait-for-pending): Fix invalid backquote. Use gdb-handler-list.
23041 instead of gdb-pending-triggers. Update docstring.
23042 (gdb-init-1): Remove dead variables. Initialize gdb-handler-list.
23043 (gdb-speedbar-update, gdb-speedbar-timer-fn, gdb-var-update)
23044 (gdb-var-update-handler, def-gdb-auto-update-trigger)
23045 (def-gdb-auto-update-handler, gdb-get-changed-registers)
23046 (gdb-changed-registers-handler, gdb-get-main-selected-frame)
23047 (gdb-frame-handler): Pending triggers are now automatically managed.
23048 (def-gdb-trigger-and-handler, def-gdb-auto-update-handler):
23050 (gdb-input): Automatically handles pending triggers. Update docstring.
23051 (gdb-resync): Replace gdb-pending-triggers by gdb-handler-list.
23052 (gdb-thread-exited, gdb-thread-selected, gdb-register-names-handler):
23054 (gdb-done-or-error): Now use gdb-handle-reply.
23056 2013-05-14 Jean-Philippe Gravel <jpgravel@gmail.com>
23058 * progmodes/gdb-mi.el (gdb-input): Include token numbers in
23061 2013-05-14 Glenn Morris <rgm@gnu.org>
23063 * subr.el (user-emacs-directory-warning): New option.
23064 (locate-user-emacs-file): Handle non-accessible .emacs.d. (Bug#13930)
23066 2013-05-14 Leo Liu <sdl.web@gmail.com>
23068 * progmodes/octave.el (octave-font-lock-keywords): Fix error
23070 (octave-goto-function-definition, octave-find-definition): Minor tweaks.
23071 (octave-font-lock-texinfo-comment): Fix invalid search bound
23072 error: wrong side of point.
23074 2013-05-14 Glenn Morris <rgm@gnu.org>
23076 * progmodes/flymake.el (flymake-xml-program): New option.
23077 (flymake-xml-init): Use it.
23079 * term/xterm.el: Provide a feature.
23081 * term/sup-mouse.el: Move to obsolete/. Provide a feature.
23083 2013-05-13 Glenn Morris <rgm@gnu.org>
23085 * cus-dep.el (defcustom-mh, defgroup-mh, defface-mh):
23086 Add compat aliases as a hack workaround. (Bug#14384)
23088 2013-05-13 Leo Liu <sdl.web@gmail.com>
23090 * progmodes/octave.el (octave-indent-comment): Fix indentation for
23092 (octave-mode-map): Bind octave-indent-defun to C-c C-q instead of
23094 (octave-comment-start-skip): Include %!.
23095 (octave-mode): Set comment-start-skip to octave-comment-start-skip.
23097 2013-05-12 Leo Liu <sdl.web@gmail.com>
23099 * progmodes/octave.el (inferior-octave-startup): Store the value
23100 of __octave_srcdir__ for octave-source-directories.
23101 (inferior-octave-check-process): New function refactored out of
23102 inferior-octave-send-list-and-digest.
23103 (octave-source-directories)
23104 (octave-find-definition-filename-function): New variables.
23105 (octave-source-directories)
23106 (octave-find-definition-default-filename): New functions.
23107 (octave-find-definition): Improve to find functions implemented in C++.
23109 2013-05-12 Glenn Morris <rgm@gnu.org>
23111 * calendar/diary-lib.el (diary-outlook-format-1):
23112 Don't include dayname in the output. (Bug#14349)
23114 2013-05-11 Glenn Morris <rgm@gnu.org>
23116 * emacs-lisp/autoload.el (generated-autoload-load-name): Doc fix.
23118 * cus-dep.el (custom-make-dependencies): Only use safe local variables.
23119 Treat cc-provide like provide.
23121 2013-05-11 Kevin Ryde <user42@zip.com.au>
23123 * cus-dep.el (custom-make-dependencies):
23124 Use generated-autoload-load-name for the sake of files such
23125 such cedet/semantic/bovine/c.el, where the base file name
23126 is not in load-path. (Bug#5277)
23128 2013-05-11 Glenn Morris <rgm@gnu.org>
23130 * dos-vars.el, emacs-lisp/cl-indent.el, emulation/tpu-extras.el:
23133 2013-05-11 Leo Liu <sdl.web@gmail.com>
23135 * progmodes/octave.el (octave-indent-comment): Improve.
23136 (octave-eldoc-message-style, octave-eldoc-cache): New variables.
23137 (octave-eldoc-function-signatures, octave-eldoc-function):
23139 (octave-mode, inferior-octave-mode): Add eldoc support.
23141 2013-05-11 Richard Stallman <rms@gnu.org>
23143 * epa.el (epa-decrypt-file): Take output file name as argument
23144 and read it using `interactive'.
23146 2013-05-11 Leo Liu <sdl.web@gmail.com>
23148 * progmodes/octave.el (octave-beginning-of-line)
23149 (octave-end-of-line): Check before using up-list because it jumps
23150 out of more syntactic contructs since moving to smie.
23151 (octave-indent-comment): New function.
23152 (octave-mode): Use it in smie-indent-functions. (Bug#14350)
23153 (octave-begin-keywords, octave-end-keywords)
23154 (octave-reserved-words, octave-smie-bnf-table)
23155 (octave-smie-rules): Add new keywords from Octave 3.6.4.
23157 2013-05-11 Glenn Morris <rgm@gnu.org>
23159 * faces.el (internal-face-x-get-resource):
23160 * frame.el (ns-display-monitor-attributes-list):
23161 * calc/calc-aent.el (math-to-radians-2):
23162 * emacs-lisp/package.el (tar-header-name, tar-header-link-type):
23165 * calc/calc-menu.el: Make it loadable in isolation.
23167 * net/eudcb-bbdb.el: Make it loadable without bbdb.
23168 (eudc-bbdb-filter-non-matching-record, eudc-bbdb-extract-phones)
23169 (eudc-bbdb-extract-addresses, eudc-bbdb-format-record-as-result)
23170 (eudc-bbdb-query-internal): Require 'bbdb.
23172 * lpr.el (lpr-headers-switches):
23173 * emacs-lisp/testcover.el (testcover-compose-functions): Fix :type.
23175 * progmodes/sql.el (sql-login-params): Fix and improve :type.
23177 * emulation/edt-mapper.el: In batch mode, error rather than hang.
23179 * term.el (term-set-escape-char): Make it idempotent.
23181 2013-05-10 Leo Liu <sdl.web@gmail.com>
23183 * progmodes/octave.el (inferior-octave-completion-table):
23184 No longer a function and all uses changed. Use cache to speed up
23185 completion due to bug#11906.
23186 (octave-beginning-of-defun): Re-write to be more general.
23188 2013-05-10 Glenn Morris <rgm@gnu.org>
23190 * emacs-lisp/cl-macs.el (cl-loop): Doc fix.
23192 2013-05-09 Stefan Monnier <monnier@iro.umontreal.ca>
23194 * comint.el (comint-redirect-send-command-to-process): Use :around
23195 rather than :override for comint-redirect-filter.
23196 (comint-redirect-filter): Add the corresponding `orig-filter' argument.
23197 Call it instead of comint-redirect-original-filter-function (which
23198 is gone). Reported by Juanma Barranquero <lekktu@gmail.com>.
23200 2013-05-09 Jan Djärv <jan.h.d@swipnet.se>
23202 * frame.el (display-monitor-attributes-list): Add NS case.
23203 (ns-display-monitor-attributes-list): Declare.
23205 2013-05-09 Ulrich Mueller <ulm@gentoo.org>
23207 * descr-text.el (describe-char): Fix %d/%x typo. (Bug#14360)
23209 2013-05-09 Glenn Morris <rgm@gnu.org>
23211 * international/fontset.el (vertical-centering-font-regexp):
23212 Set standard-value.
23214 * tar-mode.el (tar-superior-buffer, tar-superior-descriptor): Add doc.
23216 * bookmark.el (bookmark-search-delay):
23217 * cus-start.el (vertical-centering-font-regexp):
23218 * ps-mule.el (ps-mule-font-info-database-default):
23219 * ps-print.el (ps-default-fg, ps-default-bg):
23220 * type-break.el (type-break-good-break-interval):
23221 * whitespace.el (whitespace-indentation-regexp)
23222 (whitespace-space-after-tab-regexp):
23223 * emacs-lisp/testcover.el (testcover-1value-functions)
23224 (testcover-noreturn-functions, testcover-progn-functions)
23225 (testcover-prog1-functions):
23226 * emulation/viper-init.el (viper-emacs-state-cursor-color):
23227 * eshell/em-glob.el (eshell-glob-translate-alist):
23228 * play/tetris.el (tetris-tty-colors):
23229 * progmodes/cpp.el (cpp-face-default-list):
23230 * progmodes/flymake.el (flymake-allowed-file-name-masks):
23231 * progmodes/idlw-help.el (idlwave-help-browser-generic-program)
23232 (idlwave-help-browser-generic-args):
23233 * progmodes/make-mode.el (makefile-special-targets-list):
23234 * progmodes/python.el (python-shell-virtualenv-path):
23235 * progmodes/verilog-mode.el (verilog-active-low-regexp)
23236 (verilog-auto-input-ignore-regexp, verilog-auto-inout-ignore-regexp)
23237 (verilog-auto-output-ignore-regexp, verilog-auto-tieoff-ignore-regexp)
23238 (verilog-auto-unused-ignore-regexp, verilog-typedef-regexp):
23239 * textmodes/reftex-vars.el (reftex-format-label-function):
23240 * textmodes/remember.el (remember-diary-file): Fix custom types.
23242 * jka-cmpr-hook.el (jka-compr-mode-alist-additions): Fix typo.
23245 2013-05-09 Leo Liu <sdl.web@gmail.com>
23247 * progmodes/octave.el (inferior-octave-completion-at-point):
23248 Restore file completion. (Bug#14300)
23249 (inferior-octave-startup): Fix incorrect highlighting for the
23252 2013-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
23254 * progmodes/ruby-mode.el: First cut at SMIE support.
23255 (ruby-use-smie): New var.
23256 (ruby-smie-grammar): New constant.
23257 (ruby-smie--bosp, ruby-smie--implicit-semi-p)
23258 (ruby-smie--forward-token, ruby-smie--backward-token)
23259 (ruby-smie-rules): New functions.
23260 (ruby-mode-variables): Setup SMIE if applicable.
23262 2013-05-08 Eli Zaretskii <eliz@gnu.org>
23264 * simple.el (line-move-visual): Signal beginning/end of buffer
23265 only if vertical-motion moved less than it was requested. Avoids
23266 silly incorrect error messages when there are display strings with
23267 multiple newlines at EOL.
23269 2013-05-08 Stefan Monnier <monnier@iro.umontreal.ca>
23271 * progmodes/vera-mode.el (vera-underscore-is-part-of-word):
23272 * progmodes/prolog.el (prolog-underscore-wordchar-flag)
23273 (prolog-char-quote-workaround):
23274 * progmodes/cperl-mode.el (cperl-under-as-char):
23275 * progmodes/vhdl-mode.el (vhdl-underscore-is-part-of-word):
23277 (vhdl-mode-syntax-table, vhdl-mode-ext-syntax-table): Initialize in
23279 (vhdl-mode-syntax-table-init): Remove.
23281 * progmodes/m4-mode.el (m4-mode-syntax-table): Add comment on
23284 * progmodes/ld-script.el (ld-script-mode-syntax-table): Use symbol
23286 (ld-script-font-lock-keywords):
23287 Change regexps to use things like \_< and \_>.
23289 * progmodes/f90.el (f90-mode-syntax-table): Use symbol syntax for "_".
23290 Change all regexps to use things like \_< and \_>.
23292 * progmodes/autoconf.el (autoconf-definition-regexp)
23293 (autoconf-font-lock-keywords, autoconf-current-defun-function):
23294 Handle a _ with symbol syntax.
23295 (autoconf-mode): Don't change the syntax-table for imenu and font-lock.
23297 * progmodes/ada-mode.el (ada-mode-abbrev-table):
23298 Consolidate declaration.
23299 (ada-mode-syntax-table, ada-mode-symbol-syntax-table): Initialize in
23301 (ada-create-syntax-table): Remove.
23302 (ada-capitalize-word): Don't mess with the syntax of "_" since it
23303 already has the right syntax nowadays.
23304 (ada-goto-next-word): Don't change the syntax of "_".
23306 * font-lock.el (lisp-font-lock-keywords-2): Don't highlight obsolete
23309 2013-05-08 Sam Steingold <sds@gnu.org>
23311 * thingatpt.el (thing-at-point): Accept optional second argument
23312 NO-PROPERTIES to strip the text properties from the return value.
23313 * net/browse-url.el (browse-url-url-at-point): Pass NO-PROPERTIES
23314 to `thing-at-point' instead of stripping the properties ourselves.
23315 Also, when `thing-at-point' fails to find a url, prepend "http://"
23316 to the filename at point on the assumption that the user is
23317 pointing at something like gnu.org/gnu.
23319 2013-05-08 Juanma Barranquero <lekktu@gmail.com>
23321 * emacs-lisp/bytecomp.el (byte-compile-insert-header):
23322 * faces.el (crm-separator):
23323 Silence byte-compiler.
23325 * progmodes/gud.el (gdb-speedbar-auto-raise, gud-tooltip-mode)
23326 (tool-bar-map): Remove unneeded defvars.
23328 2013-05-08 Leo Liu <sdl.web@gmail.com>
23330 Re-work a fix for bug#10994 based on Le Wang's patch.
23331 * ido.el (ido-remove-consecutive-dups): New helper.
23332 (ido-completing-read): Use it.
23333 (ido-chop): Revert fix for bug#10994.
23335 2013-05-08 Adam Spiers <emacs@adamspiers.org>
23337 * cus-edit.el (custom-save-variables):
23338 Pretty-print long values. (Bug#14187)
23340 2013-05-08 Glenn Morris <rgm@gnu.org>
23342 * progmodes/m4-mode.el (m4-program): Assume it is in PATH.
23343 (m4-mode-syntax-table): Init in the defvar.
23344 (m4-mode-abbrev-table): Let define-derived-mode define it.
23346 2013-05-08 Tom Tromey <tromey@redhat.com>
23348 * progmodes/m4-mode.el (m4-mode-syntax-table):
23349 Do not treat "_" as word constituent. (Bug#14167)
23351 2013-05-07 Glenn Morris <rgm@gnu.org>
23353 * eshell/em-hist.el (eshell-isearch-map): Initialize in the defvar.
23354 Remove explicit eshell-isearch-cancel-map.
23356 * progmodes/f90.el (f90-smart-end-names): New option.
23357 (f90-smart-end): Doc fix.
23358 (f90-end-block-optional-name): New constant.
23359 (f90-block-match): Respect f90-smart-end-names.
23361 2013-05-07 Stefan Monnier <monnier@iro.umontreal.ca>
23363 * progmodes/octave.el (octave-smie-forward-token): Be more careful
23364 about implicit semi-colons (bug#14218).
23366 2013-05-07 YAMAMOTO Mitsuharu <mituharu@math.s.chiba-u.ac.jp>
23368 * frame.el (display-monitor-attributes-list)
23369 (frame-monitor-attributes): New functions.
23371 2013-05-06 Leo Liu <sdl.web@gmail.com>
23373 * progmodes/octave.el (octave-syntax-propertize-function): Change
23374 \'s syntax to escape when inside double-quoted strings. (Bug#14332)
23375 (octave-font-lock-keywords): Use octave-operator-regexp.
23376 (octave-completion-at-point): Rename from
23377 octave-completion-at-point-function.
23378 (inferior-octave-directory-tracker): Robustify.
23379 (octave-text-functions): Remove and fix its uses. No such things
23382 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
23384 * emacs-lisp/trace.el (trace--display-buffer): New function.
23385 (trace-make-advice): Use it.
23387 2013-05-06 Juri Linkov <juri@jurta.org>
23389 * emacs-lisp/lisp-mode.el (eval-defun-2): Doc fix. (Bug#14344)
23390 (eval-defun-2, eval-defun, eval-last-sexp, eval-last-sexp-1):
23392 (emacs-lisp-mode-map): Replace "minibuffer" with "echo area"
23393 in the help string. (Bug#12985)
23395 2013-05-06 Kelly Dean <kellydeanch@yahoo.com> (tiny change)
23397 * simple.el (shell-command-on-region): Doc fix. (Bug#14279)
23399 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
23401 * progmodes/perl-mode.el: Add support for here documents.
23402 (perl-syntax-propertize-function): Match here-doc markers.
23403 (perl-syntax-propertize-special-constructs): Find their end.
23404 (perl-imenu-generic-expression): Use [:alnum:].
23406 * emacs-lisp/nadvice.el (advice--member-p): Return the advice if found.
23407 (advice--add-function): Refresh the advice if already present
23410 2013-05-06 Ivan Andrus <darthandrus@gmail.com>
23412 * find-file.el (cc-other-file-alist): Add ".m" for ObjC. (Bug#14339)
23414 2013-05-06 Glenn Morris <rgm@gnu.org>
23416 * w32-fns.el (w32-charset-info-alist): Declare.
23418 * eshell/em-cmpl.el: Simply require pcomplete; eg we use a bunch
23419 of its defcustom properties.
23420 (eshell-cmpl-initialize): No need to load pcomplete.
23422 * generic-x.el: No need to require comint when compiling.
23424 * net/eudc-export.el: Make it loadable without bbdb.
23425 (top-level): Use require rather than load-library.
23426 (eudc-create-bbdb-record, eudc-bbdbify-phone)
23427 (eudc-batch-export-records-to-bbdb)
23428 (eudc-insert-record-at-point-into-bbdb, eudc-try-bbdb-insert):
23431 2013-05-06 Stefan Monnier <monnier@iro.umontreal.ca>
23433 * progmodes/octave.el (octave-texinfo-font-lock-keywords): Remove.
23434 (octave-font-lock-texinfo-comment): Use texinfo-font-lock-keywords with
23435 some tweaks, instead.
23437 2013-05-05 Leo Liu <sdl.web@gmail.com>
23439 * progmodes/octave.el (octave-font-lock-keywords)
23440 (octave-font-lock-texinfo-comment): Adjust for the byte-compiler.
23441 (inferior-octave-send-list-and-digest): Improve error message.
23442 (octave-mode, inferior-octave-mode): Use setq-local.
23443 (octave-help): Set info-lookup-mode.
23445 2013-05-05 Richard Stallman <rms@gnu.org>
23447 * vc/compare-w.el (compare-windows-whitespace):
23448 Treat no-break space as whitespace.
23450 * mail/rmailsum.el (rmail-summary-rmail-update):
23451 Detect empty summary and don't change selected message.
23452 (rmail-summary-goto-msg): Likewise.
23454 * mail/rmailsum.el (rmail-new-summary, rmail-new-summary-1):
23455 Doc fixes, rename args.
23457 2013-05-05 Alan Mackenzie <acm@muc.de>
23459 * progmodes/cc-defs.el (c-version): Increment to 5.32.5.
23461 2013-05-05 Juri Linkov <juri@jurta.org>
23463 * info.el (Info-read-subfile): Use (point-min) instead of (point)
23464 to not add the length of the summary segment to the return value.
23467 2013-05-05 Leo Liu <sdl.web@gmail.com>
23469 * progmodes/octave.el (inferior-octave-strip-ctrl-g)
23470 (inferior-octave-output-filter): Remove.
23471 (octave-send-region, inferior-octave-startup): Fix callers.
23472 (inferior-octave-mode-map): Don't use comint-dynamic-complete.
23473 (octave-binary-file-extensions): New user variable.
23474 (octave-find-definition): Confirm if opening binary files.
23475 (octave-help-file): Use octave-find-definition to get the binary
23477 (octave-help): Adjust for octave-help-file change.
23479 2013-05-05 Stefan Monnier <monnier@iro.umontreal.ca>
23481 * progmodes/pascal.el (pascal-font-lock-keywords): Use backquotes.
23482 Merge the two entries that handle function definitions.
23483 (pascal--syntax-propertize): New const.
23484 (pascal-mode): Use it. Use setq-local.
23486 2013-05-04 Glenn Morris <rgm@gnu.org>
23488 * calendar/diary-lib.el (diary-from-outlook-function): New variable.
23489 (diary-from-outlook): Respect diary-from-outlook-function.
23491 2013-05-04 Stefan Monnier <monnier@iro.umontreal.ca>
23493 * simple.el (read-expression-map): Use completion-at-point (bug#14255).
23494 Move the declaration from C.
23495 (read-minibuffer, eval-minibuffer): Move from C.
23496 (completion-setup-function): Avoid minibuffer-completion-contents.
23498 2013-05-03 Leo Liu <sdl.web@gmail.com>
23500 * progmodes/octave.el (octave-font-lock-keywords): Do not
23501 dehighlight 'end' in comments or strings.
23502 (octave-completing-read, octave-goto-function-definition):
23504 (octave-help-buffer): New user variable.
23505 (octave-help-file, octave-help-function): New button types.
23506 (octave-help): New command and bind it to C-h ;.
23507 (octave-find-definition): New command and bind it to M-.
23508 (user-error): Alias to error if not defined.
23510 2013-05-02 Leo Liu <sdl.web@gmail.com>
23512 * progmodes/octave.el (octave-mode-syntax-table): Correct syntax
23514 (octave-font-lock-keywords): Include [ and {.
23516 2013-05-02 Leo Liu <sdl.web@gmail.com>
23518 * progmodes/octave.el (inferior-octave-startup-file): Change default.
23519 (inferior-octave): Remove calling comint-mode and return the buffer.
23520 (inferior-octave-startup): Cosmetic changes.
23522 2013-05-02 Leo Liu <sdl.web@gmail.com>
23524 * progmodes/octave.el (octave-syntax-propertize-function):
23525 Include the case when ' is at line beginning. (Bug#14336)
23527 2013-05-02 Glenn Morris <rgm@gnu.org>
23529 * vc/vc-dir.el (vc-dir-mode): Don't autoload it for everyone.
23530 * desktop.el (vc-dir-mode): Just autoload it here.
23532 2013-05-02 Alan Mackenzie <acm@muc.de>
23534 Eliminate variable c-standard-font-lock-fontify-region-function.
23535 * progmodes/cc-mode.el
23536 (c-standard-font-lock-fontify-region-function): Remove.
23537 (c-font-lock-fontify-region, c-after-font-lock-init): Adapt.
23539 2013-05-01 Leo Liu <sdl.web@gmail.com>
23541 * progmodes/octave.el: Compatible with older emacs-24 releases.
23542 (inferior-octave-has-built-in-variables): Remove. Built-in
23543 variables were removed from Octave in 2007.
23544 (inferior-octave-startup): Fix uses.
23545 (comint-line-beginning-position): Remove compatibility code for
23548 2013-05-01 Juri Linkov <juri@jurta.org>
23550 * isearch.el (isearch-forward, isearch-mode): Doc fix. (Bug#13923)
23552 2013-05-01 Juri Linkov <juri@jurta.org>
23554 * comint.el (comint-previous-matching-input): Don't print message
23555 "History item: %d" when `isearch-mode' is active.
23556 (comint-history-isearch-message): Print message "History item: %d"
23557 when `comint-input-ring-index' is not empty and this function is
23558 called from `isearch-update' with a nil `ellipsis'. (Bug#13223)
23560 2013-05-01 Leo Liu <sdl.web@gmail.com>
23562 * progmodes/octave.el (octave-abbrev-table): Remove abbrev
23563 definitions. Use completion-at-point to insert keywords.
23564 (octave-abbrev-start): Remove.
23565 (inferior-octave-mode, octave-mode): Use :abbrev-table instead.
23567 2013-04-30 Leo Liu <sdl.web@gmail.com>
23569 * progmodes/octave.el (inferior-octave-prompt-read-only): Fix last
23572 2013-04-30 Alan Mackenzie <acm@muc.de>
23574 Handle arbitrarily long C++ member initialisation lists.
23575 * progmodes/cc-engine.el (c-back-over-member-initializers):
23577 (c-guess-basic-syntax): New CASE 5R (extracted from 5B) to handle
23578 (most) member init lists.
23580 2013-04-30 Rüdiger Sonderfeld <ruediger@c-plusplus.de>
23582 * progmodes/octave.el (inferior-octave-prompt-read-only): New user
23585 2013-04-30 Leo Liu <sdl.web@gmail.com>
23587 * progmodes/octave.el (octave-variables): Remove. No builtin
23588 variables any more. All converted to functions.
23589 (octave-font-lock-keywords, octave-completion-at-point-function):
23591 (octave-font-lock-texinfo-comment): New user variable.
23592 (octave-texinfo-font-lock-keywords): New variable for texinfo
23594 (octave-function-comment-block): New face.
23595 (octave-font-lock-texinfo-comment): New function.
23596 (octave-mode): Font lock texinfo comment block.
23598 2013-04-29 Leo Liu <sdl.web@gmail.com>
23600 * progmodes/octave.el (octave-font-lock-keywords): Handle 'end' in
23601 indexing expression.
23602 (octave-continuation-string): Do not use \.
23603 (inferior-octave-complete-impossible): Remove.
23604 (inferior-octave-completion-table)
23605 (inferior-octave-completion-at-point): Remove its uses.
23606 (inferior-octave-startup): completion_matches was introduced to
23607 Octave in 1996 so safe to assume it.
23608 (octave-function-file-comment): Improve to follow how Octave does it.
23609 (octave-update-function-file-comment): Tweak.
23611 2013-04-29 Leo Liu <sdl.web@gmail.com>
23613 * progmodes/octave.el (inferior-octave-startup-hook): Obsolete.
23614 (inferior-octave-startup): Remove inferior-octave-startup-hook.
23615 (octave-function-file-comment): Fix typo.
23616 (octave-sync-function-file-names): Use read-char-choice.
23618 2013-04-28 Jay Belanger <jay.p.belanger@gmail.com>
23620 * calc/calc.el (math-normalize): Don't set `math-normalize-error'
23621 to t for the less important warnings.
23623 2013-04-27 Darren Hoo <darren.hoo@gmail.com> (tiny change)
23625 * isearch.el (isearch-fail-pos): Check for empty `cmds'. (Bug#14268)
23627 2013-04-27 Glenn Morris <rgm@gnu.org>
23629 * vc/log-view.el (log-view-current-entry):
23630 Treat "---" separator lines as part of the following rev. (Bug#14169)
23632 2013-04-27 Juri Linkov <juri@jurta.org>
23634 * subr.el (read-number): Doc fix about using it by interactive
23635 code letter `n'. (Bug#14254)
23637 2013-04-27 Juri Linkov <juri@jurta.org>
23639 * desktop.el (desktop-auto-save-timeout): New option.
23640 (desktop-file-checksum): New variable.
23641 (desktop-save): Add optional arg `auto-save' and don't auto-save
23642 if nothing changed.
23643 (desktop-auto-save-timer): New variable.
23644 (desktop-auto-save, desktop-auto-save-set-timer): New functions.
23645 (after-init-hook): Call `desktop-auto-save-set-timer'.
23646 Suggested by Reuben Thomas <rrt@sc3d.org> in
23647 <http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00327.html>.
23649 2013-04-27 Leo Liu <sdl.web@gmail.com>
23651 * progmodes/octave.el (octave-function-file-p)
23652 (octave-skip-comment-forward, octave-function-file-comment)
23653 (octave-update-function-file-comment): New functions.
23654 (octave-mode-map): Bind C-c ; to
23655 octave-update-function-file-comment.
23656 (octave-mode-menu): Add octave-update-function-file-comment.
23657 (octave-mode, inferior-octave-mode): Fix doc-string.
23658 (octave-insert-defun): Conform to Octave's coding convention.
23661 * files.el (basic-save-buffer): Don't let errors in
23662 before-save-hook prevent saving buffer.
23664 2013-04-20 Roland Winkler <winkler@gnu.org>
23666 * faces.el (read-face-name): Use completing-read if arg multiple
23669 2013-04-27 Ingo Lohmar <i.lohmar@gmail.com> (tiny change)
23671 * ls-lisp.el (ls-lisp-insert-directory): If no files are
23672 displayed, move point to after the totals line.
23673 See http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00677.html
23676 2013-04-27 Stefan Monnier <monnier@iro.umontreal.ca>
23678 * emacs-lisp/package.el (package-autoload-ensure-default-file):
23679 Add current dir to the load-path.
23680 (package-generate-autoloads): Don't rely on
23681 autoload-ensure-default-file.
23683 2013-04-26 Reuben Thomas <rrt@sc3d.org>
23685 * textmodes/remember.el (remember-store-in-files): Document that
23686 the file name format is passed to `format-time-string'.
23688 2013-04-26 Leo Liu <sdl.web@gmail.com>
23690 * progmodes/octave.el (octave-sync-function-file-names): New function.
23691 (octave-mode): Use it in before-save-hook.
23693 2013-04-26 Stefan Monnier <monnier@iro.umontreal.ca>
23695 * emacs-lisp/tabulated-list.el (tabulated-list-mode): Disable undo
23698 * progmodes/octave.el (octave-smie-forward-token): Properly skip
23699 \n and comment, even if it's not an implicit ; (bug#14218).
23701 2013-04-26 Glenn Morris <rgm@gnu.org>
23703 * subr.el (read-number): Once more use `read' rather than
23704 `string-to-number', to trap non-numeric input. (Bug#14254)
23706 2013-04-26 Erik Charlebois <erikcharlebois@gmail.com>
23708 * emacs-lisp/syntax.el (syntax-propertize-multiline):
23709 Use `syntax-multiline' text property consistently instead of
23710 `font-lock-multiline'. (Bug#14237)
23712 2013-04-26 Glenn Morris <rgm@gnu.org>
23714 * emacs-lisp/shadow.el (list-load-path-shadows):
23715 No longer necessary to check for duplicate simple.el, since
23716 2012-07-07 change to init_lread to not include installation lisp
23717 directories in load-path when running uninstalled. (Bug#14270)
23719 2013-04-26 Leo Liu <sdl.web@gmail.com>
23721 * progmodes/octave.el (octave-submit-bug-report): Obsolete.
23722 (octave-mode, inferior-octave-mode): Use setq-local.
23723 (octave-not-in-string-or-comment-p): Rename to
23724 octave-in-string-or-comment-p.
23725 (octave-in-comment-p, octave-in-string-p)
23726 (octave-in-string-or-comment-p): Replace defsubst with defun.
23728 2013-04-25 Paul Eggert <eggert@cs.ucla.edu>
23730 * Makefile.in (distclean): Remove $(lisp)/loaddefs.el~.
23732 2013-04-25 Bastien Guerry <bzg@gnu.org>
23734 * textmodes/remember.el (remember-data-directory)
23735 (remember-directory-file-name-format): Fix custom types.
23737 2013-04-25 Leo Liu <sdl.web@gmail.com>
23739 * progmodes/octave.el (octave-completion-at-point-function):
23740 Make use of inferior octave process.
23741 (octave-initialize-completions): Remove.
23742 (inferior-octave-completion-table): New function.
23743 (inferior-octave-completion-at-point): Use it.
23744 (octave-completion-alist): Remove.
23746 2013-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
23748 * progmodes/opascal.el: Use font-lock and syntax-propertize.
23749 (opascal-mode-syntax-table): New var.
23750 (opascal-literal-kind, opascal-is-literal-end)
23751 (opascal-literal-token-at): Rewrite.
23752 (opascal--literal-start-re, opascal-font-lock-keywords)
23753 (opascal--syntax-propertize): New constants.
23754 (opascal-font-lock-defaults): Adjust.
23755 (opascal-mode): Use them. Set comment-<foo> variables as well.
23756 (delphi-comment-face, opascal-comment-face, delphi-string-face)
23757 (opascal-string-face, delphi-keyword-face, opascal-keyword-face)
23758 (delphi-other-face, opascal-other-face): Remove face variables.
23759 (opascal-save-state): Remove macro.
23760 (opascal-fontifying-progress-step): Remove constant.
23761 (opascal--ignore-changes): Remove var.
23762 (opascal-set-token-property, opascal-parse-next-literal)
23763 (opascal-is-stable-literal, opascal-complete-literal)
23764 (opascal-is-literal-start, opascal-face-of)
23765 (opascal-parse-region, opascal-parse-region-until-stable)
23766 (opascal-fontify-region, opascal-after-change)
23767 (opascal-debug-show-is-stable, opascal-debug-unparse-buffer)
23768 (opascal-debug-parse-region, opascal-debug-parse-window)
23769 (opascal-debug-parse-buffer, opascal-debug-fontify-window)
23770 (opascal-debug-fontify-buffer): Remove.
23771 (opascal-debug-mode-map): Adjust accordingly.
23773 2013-04-25 Leo Liu <sdl.web@gmail.com>
23775 Merge octave-mod.el and octave-inf.el into octave.el with some
23777 * progmodes/octave.el: New file renamed from octave-mod.el.
23778 * progmodes/octave-inf.el: Merged into octave.el.
23779 * progmodes/octave-mod.el: Renamed to octave.el.
23781 2013-04-25 Tassilo Horn <tsdh@gnu.org>
23783 * textmodes/reftex-vars.el
23784 (reftex-label-ignored-macros-and-environments): New defcustom.
23786 * textmodes/reftex-parse.el (reftex-parse-from-file): Use it.
23788 2013-04-25 Stefan Monnier <monnier@iro.umontreal.ca>
23790 * emacs-lisp/smie.el (smie-indent--hanging-p): Don't burp at EOB.
23791 (smie-indent-keyword): Improve the check to ensure that the next
23792 comment is really on the same line.
23793 (smie-indent-comment): Don't align with a subsequent closer (or eob).
23795 * progmodes/octave-mod.el (octave-smie-forward-token): Only emit
23796 semi-colons if the line is not otherwise empty (bug#14218).
23798 2013-04-25 Glenn Morris <rgm@gnu.org>
23800 * vc/vc-bzr.el (vc-bzr-print-log): Tweak LIMIT = 1 case.
23802 2013-04-24 Stefan Monnier <monnier@iro.umontreal.ca>
23804 * progmodes/opascal.el (opascal-set-token-property): Rename from
23805 opascal-set-text-properties and only set `token' (bug#14134).
23806 Suggested by Erik Knowles <eknowles@geosystemsoftware.com>.
23807 (opascal-literal-text-properties): Remove.
23808 (opascal-parse-next-literal, opascal-debug-unparse-buffer):
23811 2013-04-24 Reuben Thomas <rrt@sc3d.org>
23813 * textmodes/remember.el (remember-handler-functions): Add an
23814 option for a new handler `remember-store-in-files'.
23815 (remember-data-directory, remember-directory-file-name-format):
23817 (remember-store-in-files): New function to store remember notes
23818 as separate files within a directory.
23820 2013-04-24 Magnus Henoch <magnus.henoch@gmail.com>
23822 * progmodes/compile.el (compilation-next-error-function):
23823 Pass "formats" to compilation-find-file (bug#11777).
23825 2013-04-24 Glenn Morris <rgm@gnu.org>
23827 * vc/vc-bzr.el (vc-bzr-print-log):
23828 * vc/vc-hg.el (vc-hg-print-log):
23829 * vc/vc-svn.el (vc-svn-print-log):
23830 Fix START-REVISION with LIMIT != 1. (Bug#14168)
23832 * vc/vc-bzr.el (vc-bzr-print-log):
23833 * vc/vc-cvs.el (vc-cvs-print-log):
23834 * vc/vc-git.el (vc-git-print-log):
23835 * vc/vc-hg.el (vc-hg-print-log):
23836 * vc/vc-mtn.el (vc-mtn-print-log):
23837 * vc/vc-rcs.el (vc-rcs-print-log):
23838 * vc/vc-sccs.el (vc-sccs-print-log):
23839 * vc/vc-svn.el (vc-svn-print-log):
23840 * vc/vc.el (vc-print-log-internal): Doc fixes.
23842 2013-04-23 Glenn Morris <rgm@gnu.org>
23844 * startup.el (normal-no-mouse-startup-screen, normal-about-screen):
23845 Remove venerable code attempting to avoid substitute-command-keys.
23847 2013-04-23 Tassilo Horn <tsdh@gnu.org>
23849 * textmodes/reftex-vars.el (reftex-label-regexps):
23850 Call `reftex-compile-variables' after changes to this variable.
23852 2013-04-23 Stefan Monnier <monnier@iro.umontreal.ca>
23854 * jit-lock.el: Fix signals in jit-lock-force-redisplay (bug#13542).
23855 Use lexical-binding.
23856 (jit-lock-force-redisplay): Use markers, check buffer's continued
23857 existence and beware narrowed buffers.
23858 (jit-lock-fontify-now): Adjust call accordingly.
23860 2013-04-22 Stefan Monnier <monnier@iro.umontreal.ca>
23862 * minibuffer.el (minibuffer-completion-contents): Fix obsolescence info
23863 to avoid misleading the user.
23865 2013-04-22 Leo Liu <sdl.web@gmail.com>
23867 * info-look.el: Prefer latex2e.info. (Bug#14240)
23869 2013-04-22 Michael Albinus <michael.albinus@gmx.de>
23871 Fix pack/unpack coding. Reported by David Smith <davidsmith@acm.org>.
23873 * net/tramp-compat.el (tramp-compat-call-process): Move function ...
23874 * net/tramp.el (tramp-call-process): ... here.
23875 (tramp-set-completion-function, tramp-parse-putty):
23876 * net/tramp-adb.el (tramp-adb-execute-adb-command):
23877 * net/tramp-gvfs.el (tramp-gvfs-send-command):
23878 * net/tramp-sh.el (tramp-sh-handle-set-file-times)
23879 (tramp-set-file-uid-gid, tramp-sh-handle-write-region)
23880 (tramp-call-local-coding-command): Use `tramp-call-process'
23881 instead of `tramp-compat-call-process'.
23883 * net/tramp-sh.el (tramp-perl-pack, tramp-perl-unpack): New defconst.
23884 (tramp-local-coding-commands, tramp-remote-coding-commands): Use them.
23885 (tramp-sh-handle-file-local-copy, tramp-sh-handle-write-region)
23886 (tramp-find-inline-compress): Improve traces.
23887 (tramp-maybe-send-script): Check for Perl binary.
23888 (tramp-get-inline-coding): Do not redirect STDOUT for local decoding.
23890 2013-04-22 Daiki Ueno <ueno@gnu.org>
23892 * epg.el (epg-context-pinentry-mode): New function.
23893 (epg-context-set-pinentry-mode): New function.
23894 (epg--start): Pass --pinentry-mode option to gpg command.
23896 2013-04-21 Xue Fuqiao <xfq.free@gmail.com>
23898 * comint.el (comint-dynamic-complete-functions, comint-mode-map):
23899 `comint-dynamic-complete' is obsolete since 24.1, replaced by
23900 `completion-at-point'. (Bug#13774)
23902 * startup.el (normal-no-mouse-startup-screen): Bug fix, the
23903 default key binding for `describe-distribution' has been moved to
23904 `C-h C-o'. (Bug#13970)
23906 2013-04-21 Glenn Morris <rgm@gnu.org>
23908 * vc/vc.el (vc-print-log-setup-buttons, vc-print-log-internal):
23910 (vc-print-log): Clarify interactive prompt.
23912 2013-04-20 Glenn Morris <rgm@gnu.org>
23914 * emacs-lisp/bytecomp.el (byte-compile-insert-header):
23915 No longer include timestamp etc information.
23917 2013-04-20 Roland Winkler <winkler@gnu.org>
23919 * faces.el (read-face-name): Bug fix, return just one face if arg
23920 multiple is nil. (Bug#14209)
23922 2013-04-20 Stefan Monnier <monnier@iro.umontreal.ca>
23924 * emacs-lisp/nadvice.el (advice--where-alist): Add :override.
23925 (remove-function): Autoload.
23927 * comint.el (comint-redirect-original-filter-function): Remove.
23928 (comint-redirect-cleanup, comint-redirect-send-command-to-process):
23929 * vc/vc-cvs.el (vc-cvs-annotate-process-filter)
23930 (vc-cvs-annotate-command):
23931 * progmodes/octave-inf.el (inferior-octave-send-list-and-digest):
23932 * progmodes/prolog.el (prolog-consult-compile):
23933 * progmodes/gdb-mi.el (gdb, gdb--check-interpreter):
23934 Use add/remove-function instead.
23935 * progmodes/gud.el (gud-tooltip-original-filter): Remove.
23936 (gud-tooltip-process-output, gud-tooltip-tips):
23937 Use add/remove-function instead.
23938 * progmodes/xscheme.el (xscheme-previous-process-state): Remove.
23939 (scheme-interaction-mode, exit-scheme-interaction-mode):
23940 Use add/remove-function instead.
23942 * vc/vc-dispatcher.el: Use lexical-binding.
23943 (vc--process-sentinel): Rename from vc-process-sentinel.
23944 Change last arg to be the code to run. Don't use vc-previous-sentinel
23945 and vc-sentinel-commands any more.
23946 (vc-exec-after): Allow code to be a function. Use add/remove-function.
23947 (compilation-error-regexp-alist, view-old-buffer-read-only): Declare.
23949 2013-04-19 Masatake YAMATO <yamato@redhat.com>
23951 * progmodes/sh-script.el (sh-imenu-generic-expression):
23952 Handle function names with a single character. (Bug#14111)
23954 2013-04-19 Dima Kogan <dima@secretsauce.net> (tiny change)
23956 * progmodes/gud.el (gud-perldb-marker-filter): Understand position info
23957 for subroutines defined in an eval (bug#14182).
23959 2013-04-19 Thierry Volpiatto <thierry.volpiatto@gmail.com>
23961 * bookmark.el (bookmark-completing-read): Improve handling of empty
23962 string (bug#14176).
23964 2013-04-19 Stefan Monnier <monnier@iro.umontreal.ca>
23966 * vc/vc-dispatcher.el (vc-do-command): Get rid of default sentinel msg.
23968 2013-04-19 Fabián Ezequiel Gallina <fgallina@gnu.org>
23970 New faster Imenu implementation (bug#14058).
23971 * progmodes/python.el (python-imenu-prev-index-position)
23972 (python-imenu-format-item-label-function)
23973 (python-imenu-format-parent-item-label-function)
23974 (python-imenu-format-parent-item-jump-label-function):
23976 (python-imenu-format-item-label)
23977 (python-imenu-format-parent-item-label)
23978 (python-imenu-format-parent-item-jump-label)
23979 (python-imenu--put-parent, python-imenu--build-tree)
23980 (python-imenu-create-index, python-imenu-create-flat-index)
23981 (python-util-popn): New functions.
23982 (python-mode): Set imenu-create-index-function to
23983 python-imenu-create-index.
23985 2013-04-18 Stefan Monnier <monnier@iro.umontreal.ca>
23987 * winner.el (winner-active-region): Use region-active-p, activate-mark
23988 and deactivate-mark (bug#14225).
23990 * simple.el (deactivate-mark): Don't inline it.
23992 2013-04-18 Michael Albinus <michael.albinus@gmx.de>
23994 * net/tramp-sh.el (tramp-remote-process-environment): Add "TMOUT=0".
23996 2013-04-18 Tassilo Horn <tsdh@gnu.org>
23998 * files.el (auto-mode-alist): Delete OpenDocument and StarOffice
23999 file extensions from the archive-mode entry in order to prefer
24000 doc-view-mode-maybe with archive-mode as fallback (bug#14188).
24002 2013-04-18 Leo Liu <sdl.web@gmail.com>
24004 * bindings.el (help-event-list): Add ?\?.
24006 2013-04-18 Stefan Monnier <monnier@iro.umontreal.ca>
24008 * subr.el (with-wrapper-hook): Declare obsolete.
24009 * simple.el (filter-buffer-substring-function): New hook.
24010 (filter-buffer-substring): Use it.
24011 (filter-buffer-substring-functions): Mark obsolete.
24012 * minibuffer.el (completion-in-region-function): New hook.
24013 (completion-in-region): Use it.
24014 (completion-in-region-functions): Mark obsolete.
24015 * mail/mailabbrev.el (mail-abbrevs-setup): Use abbrev-expand-function.
24016 * abbrev.el (abbrev-expand-function): New hook.
24017 (expand-abbrev): Use it.
24018 (abbrev-expand-functions): Mark obsolete.
24019 * emacs-lisp/nadvice.el (advice--where-alist): Add :filter-args
24020 and :filter-return.
24022 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
24024 * progmodes/python.el (python-nav--syntactically): Fix cornercases
24025 and do not care about match data.
24027 2013-04-17 Stefan Monnier <monnier@iro.umontreal.ca>
24029 * emacs-lisp/lisp.el (lisp-completion-at-point): Provide specialized
24030 completion tables when completing error conditions and
24031 `declare' arguments.
24032 (lisp-complete-symbol, field-complete): Mark as obsolete.
24033 (check-parens): Unmatched parens are user errors.
24034 * minibuffer.el (minibuffer-completion-contents): Mark as obsolete.
24036 2013-04-17 Michal Nazarewicz <mina86@mina86.com>
24038 * textmodes/flyspell.el (flyspell-check-pre-word-p): Return nil if
24039 command changed buffer (ie. `flyspell-pre-buffer' is not current
24040 buffer), which prevents making decisions based on invalid value of
24041 `flyspell-pre-point' in the wrong buffer. Most notably, this used to
24042 cause an error when `flyspell-pre-point' was nil after switching
24044 (flyspell-post-command-hook): No longer needs to change buffers when
24045 checking pre-word. While at it remove unnecessary progn.
24047 2013-04-17 Nicolas Richard <theonewiththeevillook@yahoo.fr> (tiny change)
24049 * textmodes/ispell.el (ispell-add-per-file-word-list):
24050 Fix `flyspell-correct-word-before-point' error when accepting
24051 words and `coment-padding' is an integer by using
24052 `comment-normalize-vars' (Bug #14214).
24054 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
24056 New defun movement commands.
24057 * progmodes/python.el (python-nav--syntactically)
24058 (python-nav--forward-defun, python-nav-backward-defun)
24059 (python-nav-forward-defun): New functions.
24061 2013-04-17 Fabián Ezequiel Gallina <fgallina@gnu.org>
24063 * progmodes/python.el (python-syntax--context-compiler-macro): New defun.
24064 (python-syntax-context): Use named compiler-macro for backwards
24065 compatibility with Emacs 24.x.
24067 2013-04-17 Leo Liu <sdl.web@gmail.com>
24069 * progmodes/octave-mod.el (octave-mode-map): Fix key binding to
24070 octave-hide-process-buffer.
24072 2013-04-17 Stefan Monnier <monnier@iro.umontreal.ca>
24074 * vc/vc-hg.el (vc-hg-annotate-re): Disallow ": " in file names
24077 2013-04-17 Jean-Philippe Gravel <jpgravel@gmail.com>
24079 * progmodes/gdb-mi.el (gdbmi-bnf-incomplete-record-result):
24080 Fix adjustment of offset when receiving incomplete responses from GDB
24083 2013-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
24085 * progmodes/python.el (python-mode-skeleton-abbrev-table): Rename from
24086 python-mode-abbrev-table.
24087 (python-skeleton-define): Adjust accordingly.
24088 (python-mode-abbrev-table): New table that inherits from it so that
24089 python-skeleton-autoinsert does not affect non-skeleton abbrevs.
24091 * abbrev.el (abbrev--symbol): New function, extracted from abbrev-symbol.
24092 (abbrev-symbol): Use it.
24093 (abbrev--before-point): Use it since we already handle inheritance.
24095 2013-04-16 Leo Liu <sdl.web@gmail.com>
24097 * progmodes/octave-mod.el (octave-mode-map): Remove redundant key
24098 binding to info-lookup-symbol.
24100 2013-04-16 Juanma Barranquero <lekktu@gmail.com>
24102 * minibuffer.el (completion--twq-all):
24103 * term/ns-win.el (ns-initialize-window-system):
24104 * term/w32-win.el (w32-initialize-window-system): Silence byte-compiler.
24106 2013-04-16 Stefan Monnier <monnier@iro.umontreal.ca>
24108 * emacs-lisp/nadvice.el (add-function): Default simple vars to their
24111 * doc-view.el (doc-view-start-process): Handle url-handler directories.
24113 2013-04-15 Dmitry Gutov <dgutov@yandex.ru>
24115 * progmodes/ruby-mode.el (ruby-beginning-of-defun)
24116 (ruby-end-of-defun, ruby-move-to-block): Bind `case-fold-search'
24118 (ruby-end-of-defun): Remove the unused arg, change the docstring
24119 to reflect that this function is only used as the value of
24120 `end-of-defun-function'.
24121 (ruby-beginning-of-defun): Remove "top-level" from the docstring,
24122 to reflect an earlier change that beginning/end-of-defun functions
24123 jump between methods in a class definition, as well as top-level
24126 2013-04-15 Stefan Monnier <monnier@iro.umontreal.ca>
24128 * minibuffer.el (minibuffer-complete): Don't just scroll
24129 a *Completions* that's been iconified.
24130 (minibuffer-force-complete): Make sure repetitions do cycle when going
24131 through completion-in-region -> minibuffer-complete.
24133 2013-04-15 Alan Mackenzie <acm@muc.de>
24135 Correct the placement of c-cpp-delimiters when there're #s not at
24138 * progmodes/cc-langs.el (c-anchored-cpp-prefix): Reformulate and
24139 place a submatch around the #.
24140 * progmodes/cc-mode.el(c-neutralize-syntax-in-and-mark-CPP):
24141 Start a search at BOL. Put the c-cpp-delimiter category text propertiy
24144 2013-04-15 Stefan Monnier <monnier@iro.umontreal.ca>
24146 * emacs-lisp/nadvice.el: Properly test names when adding advice.
24147 (advice--member-p): New arg `name'.
24148 (advice--add-function, advice-member-p): Use it (bug#14202).
24150 2013-04-15 Filipp Gunbin <fgunbin@fastmail.fm>
24152 Reformulate java imenu-generic-expression.
24153 The old expression contained ill formed regexps.
24155 * progmodes/cc-menus.el (cc-imenu-java-ellipsis-regexp)
24156 (cc-imenu-java-type-spec-regexp, cc-imenu-java-comment-regexp)
24157 (cc-imenu-java-method-arg-regexp): New defconsts.
24158 (cc-imenu-java-build-type-args-regex): New defun.
24159 (cc-imenu-java-generic-expression): Fix, to remove "ambiguous"
24160 handling of spaces in the regexp.
24162 2013-03-15 Agustín Martín Domingo <agustin.martin@hispalinux.es>
24164 * textmodes/ispell.el (ispell-command-loop): Remove
24165 flyspell highlight of a word when ispell accepts it (bug #14178).
24167 2013-04-15 Michael Albinus <michael.albinus@gmx.de>
24169 * net/ange-ftp.el (ange-ftp-run-real-handler-orig): New defun,
24170 uses code from the previous `ange-ftp-run-real-handler'.
24171 (ange-ftp-run-real-handler): Set it to `tramp-run-real-handler'
24172 only in case that function exist. This is needed for proper
24173 unloading of Tramp.
24175 2013-04-15 Tassilo Horn <tsdh@gnu.org>
24177 * textmodes/reftex-vars.el (reftex-label-regexps): New defcustom.
24179 * textmodes/reftex.el (reftex-compile-variables): Use it.
24181 2013-04-14 Stefan Monnier <monnier@iro.umontreal.ca>
24183 * files.el (normal-mode): Only use default major-mode if no other mode
24186 * emacs-lisp/trace.el (trace-values): New function.
24188 * files.el: Allow : in local variables (bug#14089).
24189 (hack-local-variable-regexp): New var.
24190 (hack-local-variables-prop-line, hack-local-variables): Use it.
24192 2013-04-13 Roland Winkler <winkler@gnu.org>
24194 * textmodes/bibtex.el (bibtex-search-entries): Bug fix. Use match
24195 data before it gets modified by bibtex-beginning-of-entry.
24197 2013-04-13 Roland Winkler <winkler@gnu.org>
24199 * textmodes/bibtex.el (bibtex-url): Doc fix.
24201 2013-04-13 Roland Winkler <winkler@gnu.org>
24203 * textmodes/bibtex.el (bibtex-initialize): If the current buffer
24204 does not visit a BibTeX file, exclude it from the list of buffers
24205 returned by bibtex-initialize.
24207 2013-04-13 Stephen Berman <stephen.berman@gmx.net>
24209 * window.el (split-window): Remove interactive form, since as a
24210 command this function is a special case of split-window-below.
24211 Correct doc string.
24213 2013-04-12 Roland Winkler <winkler@gnu.org>
24215 * faces.el (read-face-name): Do not override value of arg default.
24216 Allow single faces and strings as default values. Remove those
24217 elements from return value that are not faces.
24218 (describe-face): Simplify.
24219 (face-at-point): New optional args thing and multiple so that this
24220 function can provide the same functionality previously provided by
24222 (make-face-bold, make-face-unbold, make-face-italic)
24223 (make-face-unitalic, make-face-bold-italic, invert-face)
24224 (modify-face, read-face-and-attribute): Use face-at-point.
24226 * cus-edit.el (customize-face, customize-face-other-window)
24227 * cus-theme.el (custom-theme-add-face)
24228 * face-remap.el (buffer-face-set)
24229 * facemenu.el (facemenu-set-face): Use face-at-point.
24231 2013-04-12 Michael Albinus <michael.albinus@gmx.de>
24233 * info.el (Info-file-list-for-emacs): Add "tramp" and "dbus".
24235 2013-04-10 Tassilo Horn <tsdh@gnu.org>
24237 * textmodes/reftex-cite.el (reftex-parse-bibtex-entry): Don't cut
24238 off leading { and trailing } from field values.
24240 2013-04-10 Stefan Monnier <monnier@iro.umontreal.ca>
24242 * emacs-lisp/timer.el (timer--check): New function.
24243 (timer--time, timer-set-function, timer-event-handler): Use it.
24244 (timer-set-idle-time): Simplify.
24245 (timer--activate): CSE.
24246 (timer-event-handler): Give more info in error message.
24247 (internal-timer-start-idle): New function, moved from C.
24249 * mpc.el (mpc-proc): Add `restart' argument.
24250 (mpc-proc-cmd): Use it.
24251 (mpc--status-timer-run): Also catch signals from `mpc-proc'.
24252 (mpc-status-buffer-show, mpc-tagbrowser-dir-toggle): Call `mpc-proc'
24255 2013-04-10 Masatake YAMATO <yamato@redhat.com>
24257 * progmodes/sh-script.el: Implement `sh-mode' own
24258 `add-log-current-defun-function' (bug#14112).
24259 (sh-current-defun-name): New function.
24260 (sh-mode): Use the function.
24262 2013-04-09 Bastien Guerry <bzg@gnu.org>
24264 * simple.el (choose-completion-string): Fix docstring (bug#14163).
24266 2013-04-08 Stefan Monnier <monnier@iro.umontreal.ca>
24268 * emacs-lisp/edebug.el (edebug-mode): Fix typo (bug#14144).
24270 * emacs-lisp/timer.el (timer-event-handler): Don't retrigger a canceled
24273 2013-04-07 Nic Ferrier <nferrier@ferrier.me.uk>
24275 * emacs-lisp/ert.el (should, should-not, should-error): Add edebug
24278 2013-04-07 Leo Liu <sdl.web@gmail.com>
24280 * pcmpl-x.el: New file.
24282 2013-04-06 Dmitry Antipov <dmantipov@yandex.ru>
24284 Do not set x-display-name until X connection is established.
24285 This is needed to prevent from weird situation described at
24286 <http://lists.gnu.org/archive/html/emacs-devel/2013-04/msg00212.html>.
24287 * frame.el (make-frame): Set x-display-name after call to
24288 window system initialization function, not before.
24289 * term/x-win.el (x-initialize-window-system): Add optional
24290 display argument and use it.
24291 * term/w32-win.el (w32-initialize-window-system):
24292 * term/ns-win.el (ns-initialize-window-system):
24293 * term/pc-win.el (msdos-initialize-window-system):
24294 Add compatible optional display argument.
24296 2013-04-06 Eli Zaretskii <eliz@gnu.org>
24298 * files.el (normal-backup-enable-predicate): On MS-Windows and
24299 MS-DOS compare truenames of temporary-file-directory and of the
24300 file, so that 8+3 aliases (usually found in $TEMP on Windows)
24301 don't fail comparison by compare-strings. Also, compare file
24302 names case-insensitively on MS-Windows and MS-DOS.
24304 2013-04-05 Stefan Monnier <monnier@iro.umontreal.ca>
24306 * emacs-lisp/package.el (package-compute-transaction): Fix last fix.
24307 Suggested by Donald Curtis <dcurtis@coe.edu> (bug#14082).
24309 2013-04-05 Dmitry Gutov <dgutov@yandex.ru>
24311 * whitespace.el (whitespace-color-on, whitespace-color-off):
24312 Only call `font-lock-fontify-buffer' when `font-lock-mode' is on.
24314 2013-04-05 Jacek Chrząszcz <chrzaszcz@mimuw.edu.pl> (tiny change)
24316 * ispell.el (ispell-set-spellchecker-params):
24317 Really set `ispell-args' for all equivs.
24319 2013-04-05 Stefan Monnier <monnier@iro.umontreal.ca>
24321 * ido.el (ido-completions): Use extra elements of ido-decorations
24323 (ido-decorations): Update docstring.
24325 2013-04-05 Michael Albinus <michael.albinus@gmx.de>
24327 * autorevert.el (auto-revert-mode, auto-revert-tail-mode)
24328 (global-auto-revert-mode): Let-bind `auto-revert-use-notify' to
24329 nil during initialization, in order not to miss changes since the
24330 file was opened. (Bug#14140)
24332 2013-04-05 Leo Liu <sdl.web@gmail.com>
24334 * kmacro.el (kmacro-call-macro): Fix bug#14135.
24336 2013-04-05 Jay Belanger <jay.p.belanger@gmail.com>
24338 * calc/calc-units.el (calc-convert-units): Rewrite conditional.
24340 2013-04-04 Glenn Morris <rgm@gnu.org>
24342 * electric.el (electric-pair-inhibit-predicate): Add :version.
24344 2013-04-04 Stefan Monnier <monnier@iro.umontreal.ca>
24346 * emacs-lisp/package.el (package-compute-transaction): Fix ordering
24347 when a package is required several times (bug#14082).
24349 2013-04-04 Roland Winkler <winkler@gnu.org>
24351 * faces.el (read-face-name): Behave as promised by the docstring.
24352 Assume that arg default is a list of faces.
24353 (describe-face): Call read-face-name with list of default faces.
24355 2013-04-04 Thierry Volpiatto <thierry.volpiatto@gmail.com>
24357 * bookmark.el: Fix deletion of bookmarks (bug#13972).
24358 (bookmark-bmenu-list): Don't toggle filenames if alist is empty.
24359 (bookmark-bmenu-execute-deletions): Only skip first line if it's
24361 (bookmark-exit-hook-internal): Save even if list is empty.
24363 2013-04-04 Yann Hodique <yann.hodique@gmail.com> (tiny change)
24365 * emacs-lisp/package.el (package-pinned-packages): New var.
24366 (package--add-to-archive-contents): Obey it (bug#14118).
24368 2013-04-03 Alan Mackenzie <acm@muc.de>
24370 Handle `parse-partial-sexp' landing inside a comment opener (Bug#13244).
24371 Also adapt to the new values of element 7 of a parse state.
24373 * progmodes/cc-engine.el (c-state-pp-to-literal): New optional
24374 parameter `not-in-delimiter'. Handle being inside comment opener.
24375 (c-invalidate-state-cache-1): Reckon with an extra "invalid"
24376 character in case we're typing a '*' after a '/'.
24377 (c-literal-limits): Handle the awkward "not-in-delimiter" cond arm
24378 instead by passing the parameter to c-state-pp-to-literal.
24380 * progmodes/cc-fonts.el (c-font-lock-doc-comments): New handling
24381 for elt. 7 of a parse state.
24383 2013-04-01 Paul Eggert <eggert@cs.ucla.edu>
24385 Use UTF-8 for most files with non-ASCII characters (Bug#13936).
24386 * international/latin1-disp.el, international/mule-util.el:
24387 * language/cyril-util.el, language/european.el, language/ind-util.el:
24388 * language/lao-util.el, language/thai.el, language/tibet-util.el:
24389 * language/tibetan.el, language/viet-util.el:
24390 Switch from iso-2022-7bit to utf-8 or (if needed) utf-8-emacs.
24392 2013-04-01 Stefan Monnier <monnier@iro.umontreal.ca>
24394 * electric.el (electric-pair-inhibit-predicate): New var (bug#14000).
24395 (electric-pair-post-self-insert-function): Use it.
24396 (electric-pair-default-inhibit): New function, extracted from
24397 electric-pair-post-self-insert-function.
24399 2013-03-31 Roland Winkler <winkler@gnu.org>
24401 * emacs-lisp/crm.el (completing-read-multiple): Doc fix.
24403 2013-03-31 Stefan Monnier <monnier@iro.umontreal.ca>
24405 * hi-lock.el (hi-lock-mode): Cleanup after revert-buffer (bug#13891).
24407 2013-03-30 Fabián Ezequiel Gallina <fabian@anue.biz>
24409 Un-indent after "pass" and "return" statements (Bug#13888)
24410 * progmodes/python.el (python-indent-block-enders): New var.
24411 (python-indent-calculate-indentation): Use it.
24413 2013-03-30 Michael Albinus <michael.albinus@gmx.de>
24415 * net/tramp.el (tramp-drop-volume-letter): Make it an ordinary
24416 defun. Defining it as defalias could introduce too eager
24417 byte-compiler optimization. (Bug#14030)
24419 2013-03-30 Chong Yidong <cyd@gnu.org>
24421 * iswitchb.el (iswitchb-read-buffer): Fix typo.
24423 2013-03-30 Leo Liu <sdl.web@gmail.com>
24425 * kmacro.el (kmacro-call-macro): Add optional arg MACRO.
24426 (kmacro-execute-from-register): Pass the keyboard macro to
24427 kmacro-call-macro or repeating won't work correctly.
24429 2013-03-30 Teodor Zlatanov <tzz@lifelogs.com>
24431 * progmodes/subword.el: Back to using `forward-symbol'.
24433 * subr.el (forward-whitespace, forward-symbol)
24434 (forward-same-syntax): Move from thingatpt.el.
24436 2013-03-29 Leo Liu <sdl.web@gmail.com>
24438 * kmacro.el (kmacro-to-register): New command.
24439 (kmacro-execute-from-register): New function.
24440 (kmacro-keymap): Bind to 'x'. (Bug#14071)
24442 2013-03-29 Stefan Monnier <monnier@iro.umontreal.ca>
24444 * mpc.el: Use defvar-local and setq-local.
24445 (mpc--proc-connect): Connection failures are not bugs.
24446 (mpc-mode-map): `follow-link' only applies to the buffer's content.
24447 (mpc-volume-map): Bind to the up-events.
24449 2013-03-29 Teodor Zlatanov <tzz@lifelogs.com>
24451 * progmodes/subword.el (superword-mode): Use `forward-sexp'
24452 instead of `forward-symbol'.
24454 2013-03-28 Stefan Monnier <monnier@iro.umontreal.ca>
24456 * emacs-lisp/edebug.el (edebug-mode): Make it a minor mode.
24457 (edebug--recursive-edit): Use it.
24458 (edebug-kill-buffer): Don't let-bind kill-buffer-hook.
24459 (edebug-temp-display-freq-count): Don't let-bind buffer-read-only.
24461 2013-03-28 Leo Liu <sdl.web@gmail.com>
24463 * vc/vc-bzr.el (vc-bzr-revert): Don't backup. (Bug#14066)
24465 2013-03-27 Eli Zaretskii <eliz@gnu.org>
24467 * facemenu.el (list-colors-callback): New defvar.
24468 (list-colors-redisplay): New function.
24469 (list-colors-display): Install list-colors-redisplay as the
24470 revert-buffer-function. (Bug#14063)
24472 2013-03-27 Stefan Monnier <monnier@iro.umontreal.ca>
24474 * minibuffer.el (completion-pcm--merge-completions): Make sure prefixes
24475 and suffixes don't overlap (bug#14061).
24477 * case-table.el: Use lexical-binding.
24478 (case-table-get-table): New function.
24479 (get-upcase-table): Use it. Mark as obsolete. Adjust callers.
24481 2013-03-27 Teodor Zlatanov <tzz@lifelogs.com>
24483 * progmodes/subword.el: Add `superword-mode' to do word motion
24484 over symbol_words (parallels and leverages `subword-mode' which
24485 does word motion inside MixedCaseWords).
24487 2013-03-27 Aidan Gauland <aidalgol@no8wireless.co.nz>
24489 * eshell/em-unix.el: Move su and sudo to...
24490 * eshell/em-tramp.el: ...Eshell tramp module.
24492 2013-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
24494 * desktop.el (desktop--v2s): Rename from desktop-internal-v2s.
24495 Change return value to be a sexp. Delay `get-buffer' to after
24496 restoring the desktop (bug#13951).
24498 2013-03-26 Leo Liu <sdl.web@gmail.com>
24500 * register.el: Move semantic tag handling back to
24501 cedet/semantic/senator.el. (Bug#14052)
24503 2013-03-26 Stefan Monnier <monnier@iro.umontreal.ca>
24505 * eshell/em-prompt.el (eshell-emit-prompt): Make sure we can't insert
24506 into the prompt either (bug#13963).
24508 2013-03-25 Stefan Monnier <monnier@iro.umontreal.ca>
24510 * font-lock.el (lisp-font-lock-keywords-2): Don't highlight the "error"
24511 part of "(error-foo)".
24513 2013-03-24 Juri Linkov <juri@jurta.org>
24515 * replace.el (list-matching-lines-prefix-face): New defcustom.
24516 (occur-1): Pass `list-matching-lines-prefix-face' to the function
24517 `occur-engine' if `face-differs-from-default-p' returns t.
24518 (occur-engine): Add `,' inside backquote construct to evaluate
24519 `prefix-face'. Propertize the prefix with the `prefix-face' face.
24520 Pass `prefix-face' to the functions `occur-context-lines' and
24521 `occur-engine-add-prefix'.
24522 (occur-engine-add-prefix, occur-context-lines): Add optional arg
24523 `prefix-face' and propertize the prefix with `prefix-face'.
24526 2013-03-24 Leo Liu <sdl.web@gmail.com>
24528 * nxml/rng-valid.el (rng-validate-while-idle)
24529 (rng-validate-quick-while-idle): Guard against deleted buffer.
24532 * emacs-lisp/edebug.el (edebug-mode): Make sure edebug-kill-buffer
24533 is the last entry in kill-buffer-hook.
24535 * files.el (kill-buffer-hook): Doc fix.
24537 2013-03-23 Dmitry Gutov <dgutov@yandex.ru>
24539 * emacs-lisp/lisp-mode.el (emacs-lisp-docstring-fill-column):
24540 Make it safe-local.
24542 * vc/diff-mode.el (diff-mode-shared-map): Unbind "/" (Bug#14034).
24544 2013-03-23 Leo Liu <sdl.web@gmail.com>
24546 * nxml/nxml-util.el (nxml-with-unmodifying-text-property-changes):
24549 * nxml/rng-valid.el (rng-validate-mode)
24550 (rng-after-change-function, rng-do-some-validation):
24551 * nxml/rng-maint.el (rng-validate-buffer):
24552 * nxml/nxml-rap.el (nxml-tokenize-forward, nxml-ensure-scan-up-to-date):
24553 * nxml/nxml-outln.el (nxml-show-all, nxml-set-outline-state):
24554 * nxml/nxml-mode.el (nxml-mode, nxml-degrade, nxml-after-change)
24555 (nxml-extend-after-change-region): Use with-silent-modifications.
24557 * nxml/rng-nxml.el (rng-set-state-after): Do not let-bind
24560 * nxml/rng-valid.el (rng-validate-while-idle-continue-p)
24561 (rng-next-error-1, rng-previous-error-1): Do not let-bind
24562 timer-idle-list. (Bug#13999)
24564 2013-03-23 Juri Linkov <juri@jurta.org>
24566 * info.el (info-index-match): New face.
24567 (Info-index, Info-apropos-matches): Add a nested subgroup to the
24568 main pattern and add text properties with the new face to matches
24569 in index entries relative to the beginning of the index entry.
24572 2013-03-21 Eric Ludlam <zappo@gnu.org>
24574 * emacs-lisp/eieio-datadebug.el (data-debug/eieio-insert-slots):
24575 Inhibit read only while inserting objects.
24577 2013-03-22 Teodor Zlatanov <tzz@lifelogs.com>
24579 * progmodes/cfengine.el: Update docs to mention
24580 `cfengine-auto-mode'. Use \_> and \_< instead of \> and \< for
24581 symbol motion. Remove "_" from the word syntax.
24583 2013-03-21 Teodor Zlatanov <tzz@lifelogs.com>
24585 * progmodes/cfengine.el (cfengine-common-syntax): Add "_" to word
24586 syntax for both `cfengine2-mode' and `cfengine3-mode'.
24588 2013-03-20 Juri Linkov <juri@jurta.org>
24590 * info.el (Info-next-reference-or-link)
24591 (Info-prev-reference-or-link): New functions.
24592 (Info-next-reference, Info-prev-reference): Use them.
24593 (Info-try-follow-nearest-node): Handle footnote navigation.
24594 (Info-fontify-node): Fontify footnotes. (Bug#13989)
24596 2013-03-20 Stefan Monnier <monnier@iro.umontreal.ca>
24598 * subr.el (posn-point, posn-string): Fix it here instead (bug#13979).
24599 * mouse.el (mouse-on-link-p): Undo scroll-bar fix.
24601 2013-03-20 Paul Eggert <eggert@cs.ucla.edu>
24603 Suppress unnecessary non-ASCII chatter during build process.
24604 * international/ja-dic-cnv.el (skkdic-collect-okuri-nasi)
24605 (batch-skkdic-convert): Suppress most of the chatter.
24606 It's not needed so much now that machines are faster,
24607 and its non-ASCII component was confusing; see Dmitry Gutov in
24608 <http://lists.gnu.org/archive/html/emacs-devel/2013-03/msg00508.html>.
24610 2013-03-20 Leo Liu <sdl.web@gmail.com>
24612 * ido.el (ido-chop): Fix bug#10994.
24614 2013-03-19 Dmitry Gutov <dgutov@yandex.ru>
24616 * whitespace.el (whitespace-font-lock, whitespace-font-lock-mode):
24618 (whitespace-color-on, whitespace-color-off):
24619 Use `font-lock-fontify-buffer' (Bug#13817).
24621 2013-03-19 Stefan Monnier <monnier@iro.umontreal.ca>
24623 * mouse.el (mouse--down-1-maybe-follows-link): Fix follow-link
24624 remapping in mode-line.
24625 (mouse-on-link-p): Also check [mode-line follow-link] bindings.
24627 2013-03-19 Dmitry Gutov <dgutov@yandex.ru>
24629 * whitespace.el (whitespace-color-on): Use `prepend' OVERRIDE
24630 value for `whitespace-line' face (Bug#13875).
24631 (whitespace-font-lock-keywords): Change description.
24632 (whitespace-color-on): Don't save `font-lock-keywords' value, save
24633 the constructed keywords instead.
24634 (whitespace-color-off): Use `font-lock-remove-keywords' (Bug#13817).
24636 2013-03-19 Leo Liu <sdl.web@gmail.com>
24638 * progmodes/compile.el (compilation-display-error): New command.
24639 (compilation-mode-map, compilation-minor-mode-map): Bind it to
24642 2013-03-18 Paul Eggert <eggert@cs.ucla.edu>
24644 * term/x-win.el (x-keysym-pair): Add a Fixme (Bug#13936).
24646 2013-03-18 Jan Djärv <jan.h.d@swipnet.se>
24648 * mouse.el (mouse-on-link-p): Check for scroll bar (Bug#13979).
24650 2013-03-18 Michael Albinus <michael.albinus@gmx.de>
24652 * net/tramp-compat.el (tramp-compat-user-error): New defun.
24654 * net/tramp-adb.el (tramp-adb-handle-shell-command):
24655 * net/tramp-gvfs.el (top):
24656 * net/tramp.el (tramp-find-method, tramp-dissect-file-name)
24657 (tramp-handle-shell-command): Use it.
24658 (tramp-dissect-file-name): Raise an error when hostname is a
24659 method name, and neither method nor user is specified.
24661 * net/trampver.el: Update release number.
24663 2013-03-18 Leo Liu <sdl.web@gmail.com>
24665 Make sure eldoc can be turned off properly.
24666 * emacs-lisp/eldoc.el (eldoc-schedule-timer): Conditionalize on
24668 (eldoc-display-message-p): Revert last change.
24669 (eldoc-display-message-no-interference-p)
24670 (eldoc-print-current-symbol-info): Tweak.
24672 2013-03-18 Tassilo Horn <tsdh@gnu.org>
24674 * doc-view.el (doc-view-new-window-function): Check the new window
24675 overlay's display property instead the char property of the
24676 buffer's first char. Use `with-selected-window' instead of
24677 `save-window-excursion' with `select-window'.
24678 (doc-view-document->bitmap): Check the current doc-view overlay's
24679 display property instead the char property of the buffer's first char.
24681 2013-03-18 Paul Eggert <eggert@cs.ucla.edu>
24683 Automate the build of ja-dic.el (Bug#13984).
24684 * international/ja-dic-cnv.el (skkdic-convert): Remove the annotations
24685 from the input, rather than assume that it's been done for us by the
24686 SKK script unannotate.awk. Switch ja-dic.el to UTF-8. Don't put
24687 the current date into a ja-dic.el comment, as that complicates
24688 regression testing.
24690 2013-03-18 Stefan Monnier <monnier@iro.umontreal.ca>
24692 * whitespace.el: Fix double evaluation.
24693 (whitespace-space, whitespace-hspace, whitespace-tab)
24694 (whitespace-newline, whitespace-trailing, whitespace-line)
24695 (whitespace-space-before-tab, whitespace-indentation)
24696 (whitespace-empty, whitespace-space-after-tab): Turn defcustoms into
24698 (whitespace-hspace-regexp): Fix regexp for emacs-unicode.
24699 (whitespace-color-on): Use a single font-lock-add-keywords call.
24700 Fix double-evaluation of face variables.
24702 2013-03-17 Michael Albinus <michael.albinus@gmx.de>
24704 * net/tramp-adb.el (tramp-adb-parse-device-names):
24705 Use `start-process' instead of `call-process'. Otherwise, the
24706 function might be blocked under MS Windows. (Bug#13299)
24708 2013-03-17 Leo Liu <sdl.web@gmail.com>
24710 Extend eldoc to display info in the mode-line. (Bug#13978)
24711 * emacs-lisp/eldoc.el (eldoc-post-insert-mode): New minor mode.
24712 (eldoc-mode-line-string): New variable.
24713 (eldoc-minibuffer-message): New function.
24714 (eldoc-message-function): New variable.
24715 (eldoc-message): Use it.
24716 (eldoc-display-message-p)
24717 (eldoc-display-message-no-interference-p):
24718 Support eldoc-post-insert-mode.
24720 * simple.el (eval-expression-minibuffer-setup-hook): New hook.
24721 (eval-expression): Run it.
24723 2013-03-17 Roland Winkler <winkler@gnu.org>
24725 * emacs-lisp/crm.el (completing-read-multiple): Ignore empty
24726 strings in the list of return values.
24728 2013-03-17 Jay Belanger <jay.p.belanger@gmail.com>
24730 * calc/calc-ext.el (math-read-number-fancy): Check for an explicit
24731 radix before checking for HMS forms.
24733 2013-03-16 Leo Liu <sdl.web@gmail.com>
24735 * progmodes/scheme.el: Add indentation and font-locking for λ.
24738 2013-03-16 Stefan Monnier <monnier@iro.umontreal.ca>
24740 * emacs-lisp/smie.el (smie-auto-fill): Don't inf-loop if there's no
24741 token before point (bug#13942).
24743 2013-03-16 Leo Liu <sdl.web@gmail.com>
24745 * thingatpt.el (end-of-sexp): Fix bug#13952. Use syntax-after.
24747 2013-03-16 Eli Zaretskii <eliz@gnu.org>
24749 * startup.el (command-line-normalize-file-name): Fix handling of
24750 backslashes in DOS and Windows file names. Reported by Xue Fuqiao
24751 <xfq.free@gmail.com> in
24752 http://lists.gnu.org/archive/html/help-gnu-emacs/2013-03/msg00245.html.
24754 2013-03-15 Michael Albinus <michael.albinus@gmx.de>
24756 Sync with Tramp 2.2.7.
24758 * net/trampver.el: Update release number.
24760 2013-03-14 Tassilo Horn <tsdh@gnu.org>
24762 * doc-view.el: Fix bug#13887.
24763 (doc-view-insert-image): Don't modify overlay associated to
24764 non-live windows, and implement horizontal centering of image in
24765 case it's smaller than the window.
24766 (doc-view-new-window-function): Force redisplay of new windows on
24769 2013-03-13 Karl Fogel <kfogel@red-bean.com>
24771 * saveplace.el (save-place-alist-to-file): Don't sort
24772 `save-place-alist', just pretty-print it (bug#13882).
24774 2013-03-13 Michael Albinus <michael.albinus@gmx.de>
24776 * net/tramp-sh.el (tramp-sh-handle-insert-directory):
24777 Check whether `default-file-name-coding-system' is bound.
24778 It isn't in XEmacs.
24780 2013-03-13 Stefan Monnier <monnier@iro.umontreal.ca>
24782 * emacs-lisp/byte-run.el (defun-declarations-alist): Don't use
24783 backquotes for `obsolete' (bug#13929).
24785 * international/mule.el (find-auto-coding): Include file name in
24786 obsolescence warning (bug#13922).
24788 2013-03-12 Teodor Zlatanov <tzz@lifelogs.com>
24790 * progmodes/cfengine.el (cfengine-parameters-indent): New variable
24791 for CFEngine 3-specific indentation.
24792 (cfengine3-indent-line): Use it. Fix up category regex.
24793 (cfengine3-font-lock-keywords): Add bundle and namespace characters.
24795 2013-03-12 Stefan Monnier <monnier@iro.umontreal.ca>
24797 * type-break.el (type-break-file-name):
24798 * textmodes/remember.el (remember-data-file):
24799 * strokes.el (strokes-file):
24800 * shadowfile.el (shadow-initialize):
24801 * saveplace.el (save-place-file):
24802 * ps-bdf.el (bdf-cache-file):
24803 * progmodes/idlwave.el (idlwave-config-directory):
24804 * net/quickurl.el (quickurl-url-file):
24805 * international/kkc.el (kkc-init-file-name):
24806 * ido.el (ido-save-directory-list-file):
24807 * emulation/viper.el (viper-custom-file-name):
24808 * emulation/vip.el (vip-startup-file):
24809 * calendar/todo-mode.el (todo-file-do, todo-file-done, todo-file-top):
24810 * calendar/timeclock.el (timeclock-file): Use locate-user-emacs-file.
24812 2013-03-12 Paul Eggert <eggert@cs.ucla.edu>
24814 Switch encodings of tutorials, thai-word to UTF-8 (Bug#13880).
24815 * language/thai-word.el: Switch to UTF-8.
24817 See ChangeLog.16 for earlier changes.
24819 ;; Local Variables:
24823 Copyright (C) 2011-2015 Free Software Foundation, Inc.
24825 This file is part of GNU Emacs.
24827 GNU Emacs is free software: you can redistribute it and/or modify
24828 it under the terms of the GNU General Public License as published by
24829 the Free Software Foundation, either version 3 of the License, or
24830 (at your option) any later version.
24832 GNU Emacs is distributed in the hope that it will be useful,
24833 but WITHOUT ANY WARRANTY; without even the implied warranty of
24834 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
24835 GNU General Public License for more details.
24837 You should have received a copy of the GNU General Public License
24838 along with GNU Emacs. If not, see <http://www.gnu.org/licenses/>.