mb/pcengines/apu2: turn LED 2 and LED 3 off in final stage
commit6837769a9028f91b502bab98ae30b9167ca8837f
authorMichał Żygowski <michal.zygowski@3mdeb.com>
Mon, 30 Jul 2018 10:31:00 +0000 (30 12:31 +0200)
committerFelix Held <felix-coreboot@felixheld.de>
Sat, 4 Aug 2018 15:20:12 +0000 (4 15:20 +0000)
treed5ebc7bb6904aa20516590d5aa00c6b15ca48e88
parenta4432f469a97b4279cb53b79acd5c835e0880981
mb/pcengines/apu2: turn LED 2 and LED 3 off in final stage

Due to vendor's requirements LED 2 and LED 3 should be turned
off in late boot process. Add appropriate functions to read and
write GPIO status.

Change-Id: Ia286ef7d02cfcefacf0e8d358847406efe1496fb
Signed-off-by: Michał Żygowski <michal.zygowski@3mdeb.com>
Reviewed-on: https://review.coreboot.org/27729
Tested-by: build bot (Jenkins) <no-reply@coreboot.org>
Reviewed-by: Felix Held <felix-coreboot@felixheld.de>
src/mainboard/pcengines/apu2/gpio_ftns.c
src/mainboard/pcengines/apu2/gpio_ftns.h
src/mainboard/pcengines/apu2/mainboard.c