From c7d966a0ed5dac8485e0595b956411f6d295f09c Mon Sep 17 00:00:00 2001 From: Sven Strickroth Date: Fri, 1 Jun 2012 18:42:52 +0200 Subject: [PATCH] upgraded to scintilla 3.2.0 Signed-off-by: Sven Strickroth --- ext/build/ScintillaLexer.vcproj | 8 + ext/scintilla/doc/ScintillaDoc.html | 69 +++- ext/scintilla/doc/ScintillaDownload.html | 10 +- ext/scintilla/doc/ScintillaHistory.html | 188 +++++++++++ ext/scintilla/doc/index.html | 18 +- ext/scintilla/include/Platform.h | 11 + ext/scintilla/include/SciLexer.h | 44 +++ ext/scintilla/include/Scintilla.h | 14 +- ext/scintilla/include/Scintilla.iface | 82 ++++- ext/scintilla/lexers/LexAsm.cxx | 2 +- ext/scintilla/lexers/LexBash.cxx | 11 +- ext/scintilla/lexers/LexBasic.cxx | 2 +- ext/scintilla/lexers/LexCPP.cxx | 21 +- ext/scintilla/lexers/LexD.cxx | 2 +- ext/scintilla/lexers/LexHTML.cxx | 14 +- ext/scintilla/lexers/LexOScript.cxx | 548 +++++++++++++++++++++++++++++++ ext/scintilla/lexers/LexOthers.cxx | 21 +- ext/scintilla/lexers/LexPerl.cxx | 2 +- ext/scintilla/lexers/LexSQL.cxx | 130 ++++++-- ext/scintilla/lexers/LexVisualProlog.cxx | 470 ++++++++++++++++++++++++++ ext/scintilla/lexlib/LexAccessor.h | 2 +- ext/scintilla/src/AutoComplete.cxx | 7 +- ext/scintilla/src/AutoComplete.h | 1 + ext/scintilla/src/Catalogue.cxx | 2 + ext/scintilla/src/CellBuffer.cxx | 8 + ext/scintilla/src/CellBuffer.h | 2 + ext/scintilla/src/Document.cxx | 241 +++++++------- ext/scintilla/src/Document.h | 3 +- ext/scintilla/src/Editor.cxx | 330 ++++++++----------- ext/scintilla/src/Editor.h | 14 +- ext/scintilla/src/LexGen.py | 47 +++ ext/scintilla/src/LineMarker.h | 26 +- ext/scintilla/src/PerLine.cxx | 50 +-- ext/scintilla/src/SciTE.properties | 4 +- ext/scintilla/src/ScintillaBase.cxx | 7 + ext/scintilla/src/SplitVector.h | 18 + ext/scintilla/src/UniConversion.cxx | 117 +++++++ ext/scintilla/src/UniConversion.h | 15 + ext/scintilla/src/ViewStyle.cxx | 2 - ext/scintilla/src/ViewStyle.h | 1 - ext/scintilla/version.txt | 2 +- ext/scintilla/win32/PlatWin.cxx | 31 +- ext/scintilla/win32/ScintRes.rc | 11 +- ext/scintilla/win32/ScintillaWin.cxx | 16 +- ext/scintilla/win32/scintilla.mak | 9 +- ext/scintilla/win32/scintilla_vc6.mak | 6 + 46 files changed, 2157 insertions(+), 482 deletions(-) create mode 100644 ext/scintilla/lexers/LexOScript.cxx create mode 100644 ext/scintilla/lexers/LexVisualProlog.cxx diff --git a/ext/build/ScintillaLexer.vcproj b/ext/build/ScintillaLexer.vcproj index f7c79bba3..d65a886f8 100644 --- a/ext/build/ScintillaLexer.vcproj +++ b/ext/build/ScintillaLexer.vcproj @@ -815,6 +815,10 @@ > + + @@ -939,6 +943,10 @@ > + + diff --git a/ext/scintilla/doc/ScintillaDoc.html b/ext/scintilla/doc/ScintillaDoc.html index 1066b7df0..307b6641c 100644 --- a/ext/scintilla/doc/ScintillaDoc.html +++ b/ext/scintilla/doc/ScintillaDoc.html @@ -79,7 +79,7 @@

Scintilla Documentation

-

Last edited 6/March/2012 NH

+

Last edited 16/April/2012 NH

There is an overview of the internal design of Scintilla.
@@ -387,6 +387,7 @@ SCI_APPENDTEXT(int length, const char *s)
SCI_INSERTTEXT(int pos, const char *text)
SCI_CLEARALL
+ SCI_DELETERANGE(int pos, int deleteLength)
SCI_CLEARDOCUMENTSTYLE
SCI_GETCHARAT(int position)
SCI_GETSTYLEAT(int position)
@@ -519,6 +520,9 @@

SCI_CLEARALL
Unless the document is read-only, this deletes all the text.

+

SCI_DELETERANGE(int pos, int deleteLength)
+ Deletes a range of text in the document.

+

SCI_CLEARDOCUMENTSTYLE
When wanting to completely restyle the document, for example after choosing a lexer, the SCI_CLEARDOCUMENTSTYLE can be used to clear all styling information and reset the @@ -664,7 +668,8 @@ struct Sci_TextRange { Where n is 1 through 9 refers to the first through ninth tagged region when replacing. For example, if the search string was Fred\([1-9]\)XXX and the replace string was Sam\1YYY, when applied to Fred2XXX this - would generate Sam2YYY. + would generate Sam2YYY. + \0 refers to all of the matching text. @@ -861,7 +866,7 @@ struct Sci_TextToFind { text is a zero terminated string, otherwise length is the number of characters to use. The replacement string is formed from the text string with any sequences of \1 through \9 replaced by tagged matches from the most recent regular - expression search. + expression search. \0 is replaced with all the matched text from the most recent search. After replacement, the target range refers to the replacement text. The return value is the length of the replacement string.

@@ -3855,6 +3860,29 @@ struct Sci_TextToFind { Can be used to iterate through the document to discover all the indicator positions.

+

OS X Find Indicator

+ +

On OS X search matches are highlighted with an animated gold rounded rectangle. + The indicator shows, then briefly grows 25% and shrinks to the original size to draw the user's attention. + While this feature is currently only implemented on OS X, it may be implemented on other platforms + in the future.

+ +

SCI_FINDINDICATORSHOW(int start, int end)
+ SCI_FINDINDICATORFLASH(int start, int end)
+ These two messages show and animate the find indicator. The indicator remains visible with + SCI_FINDINDICATORSHOW and fades out after showing for half a second with + SCI_FINDINDICATORFLASH. + SCI_FINDINDICATORSHOW behaves similarly to the OS X TextEdit and Safari applications + and is best suited to editing documentation where the search target is often a word. + SCI_FINDINDICATORFLASH is similar to Xcode and is suited to editing source code + where the match will often be located next to operators which would otherwise be hidden under the indicator's + padding. +

+ +

SCI_FINDINDICATORHIDE
+ This message hides the find indicator. +

+

Style Byte Indicators (deprecated)

By default, Scintilla organizes the style byte associated with each text byte as 5 bits of style information (for 32 styles) and 3 bits of indicator information for 3 independent @@ -3930,6 +3958,8 @@ struct Sci_TextToFind { SCI_AUTOCSETIGNORECASE(bool ignoreCase)
SCI_AUTOCGETIGNORECASE
+ SCI_AUTOCSETCASEINSENSITIVEBEHAVIOUR(int behaviour)
+ SCI_AUTOCGETCASEINSENSITIVEBEHAVIOUR
SCI_AUTOCSETAUTOHIDE(bool autoHide)
SCI_AUTOCGETAUTOHIDE
SCI_AUTOCSETDROPRESTOFWORD(bool @@ -4035,6 +4065,13 @@ struct Sci_TextToFind { By default, matching of characters to list members is case sensitive. These messages let you set and get case sensitivity.

+

SCI_AUTOCSETCASEINSENSITIVEBEHAVIOUR(int behaviour)
+ SCI_AUTOCGETCASEINSENSITIVEBEHAVIOUR
+ When autocompletion is set to ignore case (SCI_AUTOCSETIGNORECASE), by default it will + nonetheless select the first list member that matches in a case sensitive way to entered characters. + This corresponds to a behaviour property of SC_CASEINSENSITIVEBEHAVIOUR_RESPECTCASE (0). + If you want autocompletion to ignore case at all, choose SC_CASEINSENSITIVEBEHAVIOUR_IGNORECASE (1).

+

SCI_AUTOCSETAUTOHIDE(bool autoHide)
SCI_AUTOCGETAUTOHIDE
By default, the list is cancelled if there are no viable matches (the user has typed @@ -4737,6 +4774,8 @@ struct Sci_RangeToFormat {
SCI_GETDIRECTFUNCTION
SCI_GETDIRECTPOINTER
SCI_GETCHARACTERPOINTER
+ SCI_GETRANGEPOINTER(int position, int rangeLength)
+ SCI_GETGAPPOSITION

On Windows, the message-passing scheme used to communicate between the container and @@ -4782,8 +4821,13 @@ sptr_t CallScintilla(unsigned int iMessage, uptr_t wParam, sptr_t lParam){ pass in the direct pointer associated with the target window.

SCI_GETCHARACTERPOINTER
- Move the gap within Scintilla so that the text of the document is stored consecutively - and ensure there is a NUL character after the text, then return a pointer to the first character. + SCI_GETRANGEPOINTER(int position, int rangeLength)
+ SCI_GETGAPPOSITION
+ Grant temporary direct read-only access to the memory used by Scintilla to store + the document.

+

SCI_GETCHARACTERPOINTER moves the gap within Scintilla so that the + text of the document is stored consecutively + and ensure there is a NUL character after the text, then returns a pointer to the first character. Applications may then pass this to a function that accepts a character pointer such as a regular expression search or a parser. The pointer should not be written to as that may desynchronize the internal state of Scintilla.

@@ -4800,6 +4844,15 @@ sptr_t CallScintilla(unsigned int iMessage, uptr_t wParam, sptr_t lParam){ each replacement then the operation will become O(n^2) rather than O(n). Instead, all matches should be found and remembered, then all the replacements performed.

+

SCI_GETRANGEPOINTER provides direct access to just the + range requested. The gap is not moved unless it is within the requested range so this call + can be faster than SCI_GETCHARACTERPOINTER. + This can be used by application code that is able to act on blocks of text or ranges of lines.

+ +

SCI_GETGAPPOSITION returns the current gap position. + This is a hint that applications can use to avoid calling SCI_GETRANGEPOINTER + with a range that contains the gap and consequent costs of moving the gap.

+

Multiple views

A Scintilla window and the document that it displays are separate entities. When you create @@ -5216,6 +5269,12 @@ sptr_t CallScintilla(unsigned int iMessage, uptr_t wParam, sptr_t lParam){ Subline is indented by at least 1 to make room for the flag.
+ + + SC_WRAPVISUALFLAG_MARGIN + 4 + Visual flag in line number margin. + diff --git a/ext/scintilla/doc/ScintillaDownload.html b/ext/scintilla/doc/ScintillaDownload.html index 7803a78a1..165b111da 100644 --- a/ext/scintilla/doc/ScintillaDownload.html +++ b/ext/scintilla/doc/ScintillaDownload.html @@ -25,9 +25,9 @@ @@ -41,7 +41,7 @@ containing very few restrictions.

- Release 3.0.3 + Release 3.2.0

Source Code @@ -49,8 +49,8 @@ The source code package contains all of the source code for Scintilla but no binary executable code and is available in
    -
  • zip format (1200K) commonly used on Windows
  • -
  • tgz format (1080K) commonly used on Linux and compatible operating systems
  • +
  • zip format (1200K) commonly used on Windows
  • +
  • tgz format (1080K) commonly used on Linux and compatible operating systems
Instructions for building on both Windows and Linux are included in the readme file.

diff --git a/ext/scintilla/doc/ScintillaHistory.html b/ext/scintilla/doc/ScintillaHistory.html index 7eb34f81b..a40138965 100644 --- a/ext/scintilla/doc/ScintillaHistory.html +++ b/ext/scintilla/doc/ScintillaHistory.html @@ -394,6 +394,12 @@

+ + + + + +
- + Windows   - + GTK+/Linux   Bruno Barbieri Gordon Smith dimitarSébastien Granjoux
zenikoJames RibeMarkus NißlMartin Panter

@@ -406,6 +412,188 @@

+ Release 3.2.0 +

+ +

+ Release 3.1.0 +

+ +

Release 3.0.4