From 4cb233a71be62470dad773f3b891ef6c74c65227 Mon Sep 17 00:00:00 2001 From: Sven Strickroth Date: Mon, 26 Jan 2015 17:48:24 +0100 Subject: [PATCH] Update Scintilla to version 3.5.3 Signed-off-by: Sven Strickroth --- ext/build/ScintillaLexer.vcxproj | 1 + ext/build/ScintillaLexer.vcxproj.filters | 3 + ext/scintilla/cppcheck.suppress | 1 + ext/scintilla/delbin.bat | 1 - ext/scintilla/delcvs.bat | 1 - ext/scintilla/doc/ScintillaDoc.html | 13 +- ext/scintilla/doc/ScintillaDownload.html | 10 +- ext/scintilla/doc/ScintillaHistory.html | 71 ++ ext/scintilla/doc/index.html | 7 +- ext/scintilla/include/Platform.h | 5 + ext/scintilla/include/SciLexer.h | 27 + ext/scintilla/include/Scintilla.h | 1 - ext/scintilla/include/Scintilla.iface | 33 + ext/scintilla/lexers/LexBash.cxx | 14 +- ext/scintilla/lexers/LexCPP.cxx | 4 +- ext/scintilla/lexers/LexHex.cxx | 1045 ++++++++++++++++++++++++++++++ ext/scintilla/lexers/LexVerilog.cxx | 876 +++++++++++++++++++++---- ext/scintilla/lexlib/LexAccessor.h | 3 + ext/scintilla/lexlib/WordList.cxx | 2 + ext/scintilla/src/Catalogue.cxx | 3 + ext/scintilla/src/Document.cxx | 18 +- ext/scintilla/src/EditView.cxx | 14 +- ext/scintilla/src/EditView.h | 1 - ext/scintilla/src/Editor.cxx | 27 +- ext/scintilla/src/Editor.h | 2 +- ext/scintilla/src/MarginView.cxx | 18 +- ext/scintilla/src/ScintillaBase.cxx | 4 +- ext/scintilla/src/UniConversion.cxx | 14 +- ext/scintilla/src/UniConversion.h | 4 +- ext/scintilla/tgzsrc | 4 - ext/scintilla/tortoisegit.txt | 2 +- ext/scintilla/unicodefont.patch | 161 ----- ext/scintilla/version.txt | 2 +- ext/scintilla/win32/PlatWin.cxx | 75 +-- ext/scintilla/win32/PlatWin.h | 1 - ext/scintilla/win32/ScintRes.rc | 4 +- ext/scintilla/win32/ScintillaWin.cxx | 339 ++++++---- ext/scintilla/win32/scintilla.mak | 3 + ext/scintilla/zipsrc.bat | 4 - 39 files changed, 2226 insertions(+), 592 deletions(-) delete mode 100644 ext/scintilla/delbin.bat delete mode 100644 ext/scintilla/delcvs.bat create mode 100644 ext/scintilla/lexers/LexHex.cxx delete mode 100644 ext/scintilla/tgzsrc delete mode 100644 ext/scintilla/unicodefont.patch delete mode 100644 ext/scintilla/zipsrc.bat diff --git a/ext/build/ScintillaLexer.vcxproj b/ext/build/ScintillaLexer.vcxproj index f13b924b3..25fe25c6f 100644 --- a/ext/build/ScintillaLexer.vcxproj +++ b/ext/build/ScintillaLexer.vcxproj @@ -55,6 +55,7 @@ + diff --git a/ext/build/ScintillaLexer.vcxproj.filters b/ext/build/ScintillaLexer.vcxproj.filters index e4a313eb1..50ed6589c 100644 --- a/ext/build/ScintillaLexer.vcxproj.filters +++ b/ext/build/ScintillaLexer.vcxproj.filters @@ -430,6 +430,9 @@ Source Files + + lexers + diff --git a/ext/scintilla/cppcheck.suppress b/ext/scintilla/cppcheck.suppress index 10bf9dbf3..5a973db87 100644 --- a/ext/scintilla/cppcheck.suppress +++ b/ext/scintilla/cppcheck.suppress @@ -30,6 +30,7 @@ variableScope:scintilla/lexers/LexRuby.cxx uninitMemberVar:scintilla/lexers/LexRuby.cxx variableScope:scintilla/lexers/LexSpecman.cxx unreadVariable:scintilla/lexers/LexSpice.cxx +clarifyCalculation:scintilla/lexers/LexTADS3.cxx invalidscanf:scintilla/lexers/LexTCMD.cxx variableScope:scintilla/lexers/LexTeX.cxx variableScope:scintilla/lexers/LexVHDL.cxx diff --git a/ext/scintilla/delbin.bat b/ext/scintilla/delbin.bat deleted file mode 100644 index 3636b987d..000000000 --- a/ext/scintilla/delbin.bat +++ /dev/null @@ -1 +0,0 @@ -del /S /Q *.a *.aps *.bsc *.dll *.dsw *.exe *.idb *.ilc *.ild *.ilf *.ilk *.ils *.lib *.map *.ncb *.obj *.o *.opt *.pdb *.plg *.res *.sbr *.tds *.exp *.tlog >NUL: diff --git a/ext/scintilla/delcvs.bat b/ext/scintilla/delcvs.bat deleted file mode 100644 index d3ed21ce1..000000000 --- a/ext/scintilla/delcvs.bat +++ /dev/null @@ -1 +0,0 @@ -del /S /Q .cvsignore diff --git a/ext/scintilla/doc/ScintillaDoc.html b/ext/scintilla/doc/ScintillaDoc.html index c2779a8c2..00c6b7899 100644 --- a/ext/scintilla/doc/ScintillaDoc.html +++ b/ext/scintilla/doc/ScintillaDoc.html @@ -82,7 +82,7 @@

Scintilla Documentation

-

Last edited 24 November 2014 NH

+

Last edited 10 January 2015 NH

There is an overview of the internal design of Scintilla.
@@ -2577,11 +2577,15 @@ struct Sci_TextToFind { SCI_STYLEGETITALIC(int styleNumber)
These messages (plus SCI_STYLESETCHARACTERSET) set the font - attributes that are used to match the fonts you request to those available. The + attributes that are used to match the fonts you request to those available.

+

The fontName is a zero terminated string holding the name of a font. Under Windows, - only the first 32 characters of the name are used and the name is not case sensitive. For + only the first 32 characters of the name are used, the name is decoded as UTF-8, and the name is not case sensitive. For internal caching, Scintilla tracks fonts by name and does care about the casing of font names, - so please be consistent. On GTK+, Pango is used to display text.

+ so please be consistent. + On GTK+, Pango is used to display text and the name is sent directly to Pango without transformation. + On Qt, the name is decoded as UTF-8. + On Cocoa, the name is decoded as MacRoman.

Sizes can be set to a whole number of points with SCI_STYLESETSIZE or to a fractional point size in hundredths of a point with SCI_STYLESETSIZEFRACTIONAL by multiplying the size by 100 (SC_FONT_SIZE_MULTIPLIER). @@ -7320,6 +7324,7 @@ for line = lineStart to lineEnd do SCI_ENSUREVISIBLE(line) next These notifications are generated when the user clicks or double clicks on text that is in a style with the hotspot attribute set. This notification can be used to link to variable definitions or web pages. + In the notification handler, you should avoid calling any function that modifies the current selection or caret position. The position field is set the text position of the click or double click and the modifiers field set to the key modifiers held down in a similar manner to SCN_KEY. diff --git a/ext/scintilla/doc/ScintillaDownload.html b/ext/scintilla/doc/ScintillaDownload.html index 4677dc9af..c272a48e5 100644 --- a/ext/scintilla/doc/ScintillaDownload.html +++ b/ext/scintilla/doc/ScintillaDownload.html @@ -25,9 +25,9 @@ @@ -41,7 +41,7 @@ containing very few restrictions.

- Release 3.5.2 + Release 3.5.3

Source Code @@ -49,8 +49,8 @@ The source code package contains all of the source code for Scintilla but no binary executable code and is available in
    -
  • zip format (1450K) commonly used on Windows
  • -
  • tgz format (1300K) commonly used on Linux and compatible operating systems
  • +
  • zip format (1450K) commonly used on Windows
  • +
  • tgz format (1300K) commonly used on Linux and compatible operating systems
Instructions for building on both Windows and Linux are included in the readme file.

diff --git a/ext/scintilla/doc/ScintillaHistory.html b/ext/scintilla/doc/ScintillaHistory.html index d1afca38d..4360b2824 100644 --- a/ext/scintilla/doc/ScintillaHistory.html +++ b/ext/scintilla/doc/ScintillaHistory.html @@ -463,6 +463,8 @@

+ +
- + Windows   - + GTK+/Linux  
Erik Angelin Yusuf Ramazan KaragözMarkus HeidelbergJoe Mueller

@@ -475,6 +477,74 @@

+ Release 3.5.3 +

+
    +
  • + Released 20 January 2015. +
  • +
  • + Support removed for Windows 95, 98, and ME. +
  • +
  • + Lexers added for Motorola S-Record files, Intel hex files, and Tektronix extended hex files with folding for Intel hex files. + Feature #1091. + Feature #1093. + Feature #1095. + Feature #1096. +
  • +
  • + C++ folder allows folding on square brackets '['. + Feature #1087. +
  • +
  • + Shell lexer fixes three issues with here-documents. + Bug #1672. +
  • +
  • + Verilog lexer highlights doc comment keywords; has separate styles for input, output, and inout ports + (lexer.verilog.portstyling); fixes a bug in highlighting numbers; can treat upper-case identifiers as + keywords (lexer.verilog.allupperkeywords); and can use different styles for code that is inactive due + to preprocessor commands (lexer.verilog.track.preprocessor, lexer.verilog.update.preprocessor). +
  • +
  • + When the calltip window is taller than the Scintilla window, leave it in a + position that avoids overlapping the Scintilla text. +
  • +
  • + When a text margin is displayed, for annotation lines, use the background colour of the base line. +
  • +
  • + On Windows GDI, assume font names are encoded in UTF-8. This matches the Direct2D code path. +
  • +
  • + Fix paste for GTK+ on OS X. + Bug #1677. +
  • +
  • + Reverted a fix on Qt where Qt 5.3 has returned to the behaviour of 4.x. + Bug #1575. +
  • +
  • + When the mouse is on the line between margin and text changed to treat as within text. + This makes the PLAT_CURSES character cell platform work better. +
  • +
  • + Fix a crash in SciTE when the command line is just "-close:". + Bug #1675. +
  • +
  • + Fix unexpected dialog in SciTE on Windows when the command line has a quoted filename then ends with a space. + Bug #1673. +
  • +
  • + On Windows and GTK+, use indicators for inline IME. +
  • +
  • + SciTE shuts down quicker when there is no user-written OnClose function and no directors are attached. +
  • +
+

Release 3.5.2

    @@ -498,6 +568,7 @@
  • ANNOTATION_INDENTED added which is similar to ANNOTATION_BOXED in terms of positioning but does not show a border. + Feature #1086.
  • Allow platform overrides for drawing tab arrows, wrap markers, and line markers. diff --git a/ext/scintilla/doc/index.html b/ext/scintilla/doc/index.html index 89dd22c7c..900b1b661 100644 --- a/ext/scintilla/doc/index.html +++ b/ext/scintilla/doc/index.html @@ -9,7 +9,7 @@ - +