From 53912f88515fd1064971d50bb1a14080f4c53e9d Mon Sep 17 00:00:00 2001 From: dave Date: Mon, 13 Feb 2006 01:13:04 +0000 Subject: [PATCH] Add 4g grayscale support for the win32 sim git-svn-id: svn://svn.rockbox.org/rockbox/trunk@8672 a1c6a512-1295-4272-9138-f99709370657 --- uisimulator/win32/UI-ipod4g.bmp | Bin 0 -> 217604 bytes uisimulator/win32/lcd-win32.c | 4 ++++ uisimulator/win32/uisw32.h | 12 ++++++++++++ 3 files changed, 16 insertions(+) create mode 100644 uisimulator/win32/UI-ipod4g.bmp diff --git a/uisimulator/win32/UI-ipod4g.bmp b/uisimulator/win32/UI-ipod4g.bmp new file mode 100644 index 0000000000000000000000000000000000000000..85af574d7cc170a433182e50888aca70532e83e5 GIT binary patch literal 217604 zcwWT4XS5tib{;r7+TZ(o9No~5NDj4HlA@N<;UOuSl_*L)TrEY4J3}tX<&tCCTcCjk zx*Of-hBpuPx$>FiD_vDRkN4oceem8lytlJAu8XJ#OpnfvMnp%~$(L1?Rh9AO_kH)q zjmY2n!~gk@|LNz$prDE0h zD@DIvF4an2+4HM@u~9BY^-9vHC-C|ze0rr(F4fDhzZ6y~@Lqfhjvqj424T}|G@3!s z3PaPPs2#`D%ytwF#L-|B4JOH89K+)Pv^a*x!DbWMP|Gyh{_IAtq&D1cL38&^Ifywv zeU@o4f2e5@evQ~X;&*Gu=1aChY(dxx0`psfppB2b9b$uLL;jh#2OiCK6I)w0;2`j> zB!F{LPoOpG&7c8);#sOU@m!fl*x#TRG@A8BlOF5%0&EF3-Hx3W)*A#8fJacRHY(6Z zl>i=1E5mlZRBo77iA<;0!zy73UT<(^Fi@|>&%qKr)(I_Uf6crmQ7qLvu~bK-!O;Mj z@S!CDk5}Brq>ue)EsOKx1WQMDIn3EBLi)1qk{v`V8h){Z*&hb6_5z6!DhnS_^k}mV)&j> zD~iqcH=qio=>>w1h%3Zf*d~Ax8Hq1qq`Ife{3}(ZD@HVByqo zu~c`lq%Af;YM8EMPN)P3B*IdlSc2|@E<-+n$AqW`H*mY|;s>9q3oqgRI`fi?&@z+-Uk_d%;gN}g;Edr33Hfq1rxB0?L=*#l z%q1^vfV=Pc#4-gT3XlI*Apf!cZ28b}3wcsj%pFlq+BIapFN?Zh( zfM8=lC3{>RlMTMg45-3@0FwmF;Yna0`6-5zkXWEhWp*k@#mEMtTvfzU6-fyQ$^a=u z2-VAmsbE9#(-bgRp0V&?+@jVrTrNuL!k>gU+(-meW@nw~(V!YBv0N{eQ16OFQ=oeA zXQNCURgH-2>U13ibhS3=VvOKqMs3lu0U{;2{0AHs(mo~pd@qEolQupwyw3R(+Qi5( zwDIAgb;ConO@HbJJ*BUof-V}^q;pL}IzpFHi_rNPEZJr_%JeC~sUlc%TIBwTQWZCX zm=4QY)3A)8%B$cZT5AxwF(eR9)C{6Rq1;VPz+ zuO@`>GlhZ(JX0VAk;X{!MmC&Nx8bAlyRr72iSnBs(s(ETQepM-lnP?2pR#&Ev?9$(Ou?N|hqXj7ee zg4={TbVN?WD-KR?JK`pW7EdpBgy5&yK|#-G6Jz5u5#r)!!`SG?bz`5=;02$BGz1tS zirH^?XbNRn;Y-+1u|=oLMEw<-_CaD`1r@LpZIC905z|x@IGPxUX(tG2Q{rmSYntNL zNE|u3Y1E0JYz$@ku13NU2q%bxDx-HYF;vtbBNPahuye`w*Bh#^Z0g{%>SF4MHc7IH zi$q_Lxp#n4XmLM{c7X1S6CT~ECaFxWJ{?zxTd zqknFm82^l>Z=nsBrU;7bpT~Ict(30__6}LKj7v}AA?w}|V|wi*KvM`RCaBoe`ZfV9 zM3>InV$>rPK7Q6Au7(p1^Eqeh#XU=6q|Fc%Szn|kM3G|2Y$GpeBf~>6n)UgFA0(Ni z9>n#4g7l`v5=N$kF=WeTgY6=0pjZP+1ACl+KaPou;|{@+;}$3`vkidc7+NJFHN)#r z%S?wlJ^SB?5ck>dg}3J(2mU0cqDCJFkI$v7agsto6n$+(REJU%Ww8_{O>~3ASEPV( z2UD4p2m*}tF46>o5SL;}atoY}2(2tKo0-eMDOl?8PKc#QumqrLR1i@DC-C7hOIU+V z5eIR?Bt(M&iY&P}&F}<_1nc)2f-8(+Tk;fL1tiLJsDvFL{{(PEY>GI>H*L_h*4P{_ zYN{QwF6bGbqrCB4R{3v)%4hJ>XS`(-vex(VV5)!$r%@;8Fa=Qxr@>}S+%*`Bl@d=i z(1E3x&Z+?z1uT(+C29g57fag3k``b;LorlStvCP)A2FJv;EwVG6_Pf53=&No)dSjN z;2~+TrhHt&5DM7gumo#-feK}|ErnX-D53(1K0;0n@r6dt0fD3mB2oc2G*ch)EPlw+ zOzGn1_WPFg6Sl#hxM$nO^*c6i+O>7FXg9i4cqpO8+LBlv}fDaeLJ>K?%s)Q*P(s; zpdFo@JaOdksbfb^9XoasH%=cv1`Yo_0(;=uV}}kNnVf_dPwv^Zf7gz^+qdo6z7^oN zO^)wEOTUADPZ#;mC8#O>oPN7<6xnjaQHe%>5hd6$Tg9LUKmTR!Jd*MqDy#O=tv!ra#06<#*us`#&>MmxO4NSeLHs? z*uC@czP-mL51cx7{KDC@m(QQS{^^yQ*RI{Yb?d?1dk^m2dwBo;{d@PQ-Mw??p40B$ zxotM?-o^cQ@87<2_twojH*Z|~^wUe{&!0bi`tE09AyYfQU{y@#Z+fZEs~@hUevMVMY)@#F{lE?Qj2|T z$T?4qzU$RUuoNkl&^Vb_)+In=nWJsC&8^VYBmAI7M>yGmB@RN(<)CoVi$bD%;$*y_ zl33`d>87md@!2%vc&DgMV2n0}g;cz@Z`!zL+ZKS(v4aOrA3bv6%vtF7+c$6CzkB!b z{dYk;oP$BrI8upd4h2yn;d zjqrWoi%kjfrg9YiKsTGwiKy08g3?Ta$s5GnBwfHJDn{myBq=J1DT^h3ls{GMG=)xi zP73AfoMoDdC5-7XY!?*^Fh;8fvPZNmAhk(N;UZExe&pf`%fM{a{kn*YnMO0bMw~y zyZ0X5zjyb>^>e3A?%%m(D6WT0DQn;stJlol6(aUo!ub1)qEZubltk2Oq!p?)>-PT`*@hyer+kCM*>;j}0E# zzvt4qv$w8ZxqB0kdiBbM^XE>UL|kp(j%1}7Di=|164mulE~2J*a)y&*!fj&HUa)-5 zom8iTC2l%`L;lPB#PFYk}Mj3UYIr^DI+yVaMgpR?A@{b=;Z!0Cr*5N;ll0f zH}0c0-MMk?+{t4*Hm#2;ML^E`GpA2`?d2J7z4896*^A~c=w7)Z*WXvkXBtH>s+5}b z%3x5%Rv8MRRow!oi`fBlvB zX1=p}$%4V4yl=<0D;Lh)zH$A|jT_f4Up{;C)X~WUd$w-_f2IEXS&nj32MQ+*JyiG@ zQLz|KEl4n0=0J-AJsgf1jM!GSl#4?3>H+bRW;mNN5KNkdspcAHEU5ydiUYp2pvt&v zN}iAcG>YJo&|;~{#6@t5gqK40ONbwiM$I;d_Ex){OG8s4l*{E{%>t>_!9`-Qr!=J_ zAR_QWKtv!S2lwtde(2z(vu8oV9^Jcl@AmC8#}19Ol1%sNC7*ow{<||jnl)qD!ue~u zmS=jqt6mns0H_!Ws>5Lo5HeUV4OIPh#cx$Scx+d^R=Gf}X&yang=VQhkFXP7Gg$S9 z>P2|(V52f@z*d8epqTg4{j0l{FPQt$tm$t}d-L_#)8Ae;Z%$At?c2Wj%K5Xmu3f!) z>Ec;ns{Q*wg12wl@LVfJw%k1%t}UO+Q3==BD0tdan2PHtcqf+VIJy=~{#=q#hA22c zz*$7puYdd$;f0zjgD{`LkOlMst1LAH6&M)fZow zHRGMt%a#;#xxuhD8rMgYhUxT1d7uV>F?b-@G)wuUn2Y@^G}xflG>=)_$S3@iX=cOE zHjAdUin&%X-zw#rrXkoW-axH1ST6%mTeVWX=yk7L`QhwYufF{1o3FeGN?P;tlRLLw zJagvS#q$@=oIZZ|Fhr4trZ6St{!ATtnQ~gx19TFUq@EJC-_Kwv)ZDN3SBsEC7CqA9 zgpd-RBuU0gBX7{qhK#CEOjo6m-vHs%l=O8ow21{1xQWt96px?6NlPnjlvBcQ!U_DA zL}zUWLW4?2`s+IBXbK}uvDuc3kdDC0LPU1_&>@JPZiDH)ckkwv%X_zNF7)@znLYEp z8SgBZH$T(8woxp!8^Ao!%0mrc97s?RXU=@% zl@~vFcSfy{22s9r_RN)w7f&5MdT`I~om)0--7uku(hBzH9A*=izn+HT0RSrqNaDc9wgGrWD3@SIBLFh7BB28+KvAl7iDz%M1&H0ERprZktG1Wru}Wbi7M$WHUX7E5&PhwQBQPc&35 zVGqg^N@AI0OG+FGOLeItKrDr(2-eu4gvVjsIL>0J8QL5*dHWW5HmrxiuOWH+kcO?F zhb3(YB(mJY&X^iKu9KP#B_*=iAZo^1A3S*B%$YkkZ{EFq>(Jia#Z2G)58wOvgZEY} zUE*akt%flz?OL&g{A09<)T)$kD)|6oaF3^{(~(TD-wSC17!eMF%HSk6&`QVV^=U*- z8c+mCYx>Gg_^gj4hPZ+j;_LCq^bv?*_(}ljDv(tf#BOcZve`41S_oH~(j3H?4ilK+0Ec@#2Fk-OR&+2T z3)MC|SgIkG>J68dB)1u23t}C(r}~zV^9(J?K(;NGLd6pE5*jBSO^bzTxe=PbhHtz5rp}N_wBs^*829{d$(^LIk4ADb-y?Lt@qxY4Mfzem4~AGV58is7Mo=+ zDHWiOjvDFTqA9v`iDr#IK>ZAeNAtdpimZxU;@nfKR5S)Unk2?iX zQ;gFT%QVo8@j8udSjWK~S27Q>-o=#0)bW?LG-9N-?cXpFGQn)N!BQlJudzEq2UrT| zH31S-zy)uit@domfNYy6$i-6l94rmG!I~>@@s#lYc^*kaV-dAoTQ`Hd29J8@)-8yv z_iWpoS-aw++3zk}w4jjg2Ol!fD7P6xz(S@G1(Azp{VK|KG3S6JN7#swGys9kir+*W zCc!xxbm+RWeND`Vruj-G)4RG?XlhH+_M7k+Zbi5Wm63ZcCQ2~h+8B~>gwrlai z8E?HY^X=EOYgZiDwe8}W(}1W0yLWBdupZ8a>z5QuQ$|}P@AQmQwU$YVDAd%=09P7> zY`>#tc!?8D4Ng~LP9WAMSmJUujpGHIZT0CNCKBY+`Zb2uOV&+T%k9%oM9ba=% zq^F37*pQAu)F4a)s)yM5_Vw#`Z{9excW1hL)tp%~7tfzp@$$wI)k|PPAUsb>MmxCs zuxEiZ2nI2e5<{z5RI#I$2l+DqD;3rcHg{~=uzqM@piu>=m?S{SsHD+HMCn)(oH}v{ zzS5vcCe$YP>^ic450GKPO3F-Sw$1m+p$X40Xg!kAw2@H+6)2!-1!%CHiQtW;Lc3N9 zE5)uQi(Y%><=NBT@Y6l}cWyg#;@FY>`{0^_D+|sHL1;=e=Wejx#aQx`#L7+swpNp? ze^Jyl?-yDu@nA|op;m{+HAv&C+)Pfu<}GbbAf%BO{FBrT^F=cH9P+0G%u6i}Dg%Uc z+<~Qt2G``b(CBv4EgES}ffHeg1a8a3`0j06k4_%Aa_REDyZ4~WvTM6OoHYY3+e$vu zu2&2Z0f7`vmtj-!f*vtlnK1uQFqKux2#7xRnvCM}~vkwk`uY0yOS59I9wissrX za1ri|LXld+l6bs?e~Ya+ZE7~@Ic02Pg9GE}j)0E#@7f7;1P1&1<%=WDaMnB1-kOj(M}LmIqz)}YECtXCNK^1^$>=G3bWrjZ&HLo#?|f^)hqE_~44yuI?8K47aFuOB zPfnFiVwRF2nY1;iwFHDErXbEqiaXLhI?f^m29&gvIPSBsRIf=+pvE}?N<)P-hHHV8 z$U#hfh#lLNToVrwGL|%`)HW!w1FIV}=kl?!8!-|(B?w!99F842c;({7yEm>+?%uv; z`I0%aX7sID2>}|Q$e1F!-W4!nYtgQx1w|Rw8i$eECaqLxBg0U4E0)YgwX}QtHo_7$ zpu|J__6|plrb&I9mnoLODXnY8r;i^$d*T>aCPQ2mc!5u#5=}(^U(5BXB#JO{na4+vL%^{EFM;(f?b!jm^eLd|#?@CmHBgKZ5SOsb?oSo1N(Pu-Z(z2aI#n$;;K2( zg=dVn0143&QGIyameTqb&+DY*p#X#Er8B>z+3&Dg391#sl8_SPC()u`C%EikG{Y8saPN^?cK5c%B4$}&YwSi zaB}O!x}iq7$)1Wp2v~}Nh@cY(8`Y6GICkjJnPZG41EeA`k~wBeR1e4R(8HHF)0Hrm zvJRF&=GaPwv;kzrBACOUnQNBw1699P$Sj`s@r<`#&-JVV8*mczZtE6s1#l*5>cLPe zK~P}C;Umc5#4l8IF9AkbW8OIV0=0#RV&I0s9v-&QOUklsldz2eD-0xFh@bo;-4BB&wsd5teA=7qLX%2|tKGrG&;wz9)I7 zh?7qAn2v;_*jbTdmz;(fdajrH*Q}a3?ad|gKi)Jpa{SQb{@pt_jU$}eEvBQU20PFM zOAa+zEb*vrNPWkVol}d_61RhK*;Fjy!Sqc%+>#_Tuf z0w++BDDWUiEcr$hI3X5N9M~=vh@CJKRZs%KtWn8;7WIXUR)VPpW7OdVRexe29&d%< zj-ZV<8xt*)Ya;8F@Nv+aIT}Y$#K;i?OiDKikRowSCQD$vcPjFPuPkvnlv^qQQCGd} z!g(LhnK3Oad58AxJFsW>whimSJ{fg0D`UV_9GQen6D^Zg^GY1Yoy7wdm`#cT`)A

#Fw-d3;T=L`89crI02%b&l;iNlV_QndU8dK}#|NO&3ccrM!qF5lfT`cxLEUV_3ox z1S3Gv5bApgSQQ4NGl0H^0_%qNf923d1nvfoVF9e480gVlIM7*LPs5f8P0RYTm(xoW}nQ@ zp?s!i@kW;nz6`I93OFc#?aJk|-hLy!*67{Q1N*=yKyXLiX=>g(vH7JAYU&7=IM3r^ z$r;<_S|+YJVO}!co3Mm6x+d@K)(LQdE|C>ZVQX=oA+WqBxI*)$fdHn$@VXe3uwn|3 zD1w8iZOgn}MB%+ifFuk`oZiVX@@;CF(>r zNP%ofD2Ju~JcX?p1hRSwk`j-~VH_tlx>!Ef5bUwQNv;wTN}Rk3v~se^&>XP7d$evt!f7 z^`j$js;Mtrc492?_=P10ozq0UOzgxh;Gq)~ub@c=sm_asQ1J<1iGUOlmMHW?b7eRx z#1=?$lIo_!kF{lxj%f;cX;24E(D-%Ei?mpRtA<8KAY3|o^0=SwTe@IgQ1T$^Z_8L% zEZs_YP`L>`&O=5_P1(4R5v9ng%<;CxQeI7qZAA+tkseCvaI_c;x?=WS85!hN%A#ZD zT`a-23p2)&u$cfJKdwl0Dyd7tlAl&B6KS(i21a>YtALp({*4fxgr!2);ze_2PJ=-2 z;GW&Pw{2xOah6G|1tJ$tmYPBt4wHbZC9xZB1iaXe%o+kyhhil^D=xTJxg7tI>oSY$Jb&85~mt)$V^#C_JfRcH9np_vT{M($ma=8 z?2()iJr^+M1(t}I*>pSMvP@f=(xGvTB{Rq(>2b?lp|8AzV=g|0czKgbtrk}XqHnVbG^BEsUfp0 zT^bbEjU&8))CouQ0?q@VQ*!BO3XoLVP8>O$?(1E(YzY|1ff^Vj-z0f>?2K}HIpXtJ zGT0;vI1-VSUZBxZxzfc%ac6N4W`$&OVpnYED&rCRp{!&r#o=~X$o>6a@BW29Xj}l zRp~U2vsg;So~;MQQ-_5swc^?p%V)hay;ew1?%KX{%Vx_?P6-(gQUEo@K|2ne#wv%3)ffa51C% z1&td0**!!B#0D{|OghYGzy9ZwU;gsr-~H`#UN`VkI_9xIY;ur@vRi&uxHP9+nkbg2 zhFq$|69K>TTQZWOaSb{EPeIo@BHmw?tST-+n{wX4TJ{M z;@M2sC)ngu?1&)>A7RX3DQ3*$Q$Cf8r^yHr`Ow9JpyCvP3%O30B{?Vx{m8#n{A^$M z2eW6Td)DmSyb<6ufoV)@ylHG#8Og;G6%M~G+ zqy;A}4cr2*IdQSnQY`6|E1Haqc>tY(J2KGTxNdCU&g}rCgZuV%uUOi%vTLAT#+-Hm z<29y>P9Q0kw7WCZFA}pm=axftK^nc@L*F%3;D{wMoS_l}&&G*5lo=qsd|vX4zi{hI zUw-`MA9?)6FFgS)fy}|djwxd*K-Q2%Eb(j_J1GEw zw0>-K_tq_^j~#{9-@T@5@j?jM+O<-Gr6a6C6fqU2U@3>^)AUPXor&6*j=XnlrmCT) zIG)P!#&~3(s=jkpTspBN9mIWh)4e!Cuy0hLO~f(4U1`{AzMKmkwDaANsS~)?qJDYKFn#x ziX$KaQ5f8%R&`B#{S~m{22L9{fJRUb(}Yn$dzI-UdyW?>$x>}y}?)(6X2Om zwW?i+3FkCXZ9r3u7UeD3FGVtsGLouJvD{jyfLP)xN?LkrxMfmFyS8iw6rDYB41lzJ z;d~=)bql0eqMn3mBW<)rkG8MfswBSNsf%N;ZleBNhkl9P(a1X?P!A$ZB~caj zY^$6asHDIk{pEi>09g9H-#J(8^V^mFcDWyRG60my5HJq3Bwo`!GOR;EoLm}d{yCy< zp{246`|XY+r=3RUBuzgslsY=9_zfW}HPr=${xvISy!B=w-M4$&mQCa9Mh6Cn)S?6eW-MK=MY)A`2bLm>rHD&~U0$L> zTp%U51mHqAdT{dc`SWqmm_PTUu=76_enwN}6s*OHE;Uphaf>D#GM#bU6NuyT-6iEB)wsm+q%ekOCtym*X5v$v zaF?YycZ>w&A4e0@p={GfFv~Gw)6N&`pu~vgPZg|6a=C9YpHzG>sPDe@TC-l+xp@;< z4$zB%ScHbK6bUck&SnReoMnxv5DxK!({!C-WiGlGr}@93NaDq1|43KIF80jRN5N4T03f-S+X*g%w$-t#_pOql?sYl zqs5aBWIV;GfRei0fbi4m#F9-^Vl-#`_W0QTT{|wFJGXoLwq=W#R13M`u-e2tlB$m- zoRSr|Scc)Wy9Fxh6Zjeo5dioa6))){Cnpg5QmNu#NqrPOrC%npnq{wg*y;5Y*DeXc zK}CgZ;yb!y)rV9jrC1W=lZ4^6s566yNm5b7O~!Whw=0&cqjMv>NT4WJhiD~EZ=v%8 z>=gL9Pv*S8;KTPfj0|nvuzuap5I9@)!0kXuiTqOCr6XOeTa(^VjTfe%F}Sd5P!!_Y zr=*WGERx7tf^O4n1C`!2acRxAPOY+7iiesB*rYw%ww^zA^7z61{cBcxsoo*1A!*9s zm+4BIesS}Px-%nj9KQgSC@sRoSc7Mk8ustyG-EJ}nx+&`NYa_qgdk zGex%~d?M75h!k?8!7|Gdl;YqIO6152JWN?|@5lBaY4YCuN|sTFC+Xl`TILsbV2Ra@ zHdyRv*v^asS99r)KX`x5vc;RmM!-0YwA*bi#|>#>T1yg=x^}J|fKx=(>XMwqzzyqi zO@Xe#67iB9G)Z*uh3u153pAz9q{UdGYye>i!%Q4N1X9|zal`S$hff_n?5BHsR;?Ju z(f<}tgv9=3Udk$dv?^NxCLNs-p24fKU-d88VA{pTX6}YUL7Cqs__t-M8PY7P4E`Pf&^g353dMEgiWn5iH3fy1G~;Q4=Nv3@KSG@r;88 zFYZk>1Hw^?rHF@7aAQz34$H2R@!_G}+qa%Od1Bj!@vbF{gJRwcw;_;PH2WrTlpp$VsJ+`c9j!pqqmC5dcvJyM2% z$8lvr_B&372t>bLfkt_+>jp5Y~4%d5$?bG*1Wr3ji~ zhsL#NNip(ElwV4c(SZR_x06SY9^AKY)sjWo-nD9zOxjSU7wOdOPDNA8ludXFKOq56 zjyyH>r1`9Rv2>RDUMF75O3$jmNzc;jwXcau3d}+ZIkO?3f=ElzTOxK%>86eTxGS~y zLyIL>871uUS*I+#5vYmaFp^xQwr2@y%Co|UM5n2c23CAd5k#00Z+O{H-k-H%;V0w6 zW=WZ$X0sg;hbWdDAX&>~rwJf6bzmuUuq2{p=#JMItm`f9f+o(jBp~5v4r9sW2XH)Y zsMP|HPwv?bq*O1LRxDjS7@Fe$mQ!#@voHukJk#I781FA;g}ykmhkJ6OdS=qSQUsm4 z$V!-kEgMNy01n*qwTUmVgeI?7)KNzmv_vwoh$TZ-0ATOb!CK~7ssNEs7c=Z6j+`bs z*pV!<>?fb1Uyl%ymESexI2lz*hK(G`#Bup_kmuw;KrtO0p=gX#u4m1A?@TLYQqXIo zg98IeOyS$}u%y-9GDR0}suj}Gf;4neL4hmt{ZL}dMSMdgW9EVSoRZ5HEksF69cr#J zG)lK_XmID|O{b0?fp~N6%C2UuXi13*4vUxyaIi$=V7zJsL>jUyRlfOQlY*e+J$l0j8ZAoL8D+y-GC6OIkOxLd_XDdt_B!uPs|@|gM} z$z4YU1)=7kGLE__ggS^idM=iXWumn&*tt>dTv96F8nEvq^(xkfn{*SFXV<4-32%;5 z;|dd<3MZLK#+BS#$K0o>?%wdLPHaYZ&*6a;%S#9(q@y;99sCL31R!)|^1zV;ll|S@ zg;d{gRHJo5I0;a)m{Aro*eImn$C`6n!r)FIl<-g*pHDXDY&n#d%n8()iJiPe=QgWi zmq<=YA!J<#V?a)|=RWIVDb0fD$e)Opz|UgF?O(=Hr_!0m$;<*bWDoWEnNYjlepMIJ zOt2k4xgg;uYg`7gWP$>^2C1?)H1EpS3fVccW_B%_H#TS{39ba7hgM~fv*_yqZW<2LO8Tvjud%JWPqDjA+$p)S>A9m^ryE1xpH{ zED@A_3&J3t(tH*p=fdaQ@)Rt^&OwCe9KS6Gai1mjh^FKly^Mg0r-a+#HVehH1tc~h zBFsTCv>1@gbzG2XDtnhNm^bI$8LgmUs3}P>@}fxrwS=df=m4Au9J`}3nQaodMyXuK z+Yt(u8j0KsRqjhJYchwC5)@)7B8&kkO^gih-(}XbEqR4ZZ#OL>L9_Lp*@ZcB(L7v2 zB;(}-36_gE0VR6mJgbr?9cQoiOe{``!Qk1~XxS~kKziB548KwaMwtv<r*6a#d?jMCIuL6M^`@=7Hf?jTuaM6nS$*oc%;^o^b-(+LRxS*6>=`9@W^0FF`y&3GHwa9W+l3n zOBTKU(hJq1H;khy%|?JVlXMTA8r^(Q^OM1Iv1D!nUanWl21|VN`T%tevr>HXI8Ik4 z9axGetOHV7KRU91*N#K`_NDv!3Td+@2~RyM<`XT~v8kk-cqfY}Tbtol2uS^s6k8Ha zkzZmhi#zb3#Fg$e!&8Z(b3e7LzLzfKkllzn?X)2C87#Rj%R(+A?^UEZ$aI@Hu9yiY zEn%sPlQb75A=rWfaf^-hY>rf}6iE)GWlbkwq!gh@!#duiL8sI*fA%pDPnS5Eb-+p zri)L0A#HOGg|r+nV?C84J@zSY3YPRE>{u-msc%WfV96JcO)xeYAtOfgMumh!O6dR; z3U|iBfx6)|;-6HQ?{DP#;jok+0CyUSlJYM#bsG6>&zg7Me7%rK4`J~@EL_Al(BT_@ zucP);Ll1T@)Fov~vfTC9|-0x^J*v zF^gjH{F~p5#~_smCMn zx5POS3IX@2$D$zILV&?u$Xn{LsIVC!m#osxM`Rdp7;RCa0PU9P&;TLCtHZ%YKJ8#5 zEg#2l;typlwpi-0 zhu&(6rBG5yKv38!#&{P?jbgE0Eb{%D8x30AJL3CV);TSJO~ej>WQvyto6T+Ll;ES6 zEu7!1mD)f`v^8fR9d ztB2ls`Ma&kG#iriDN#62r+IV)O|5#q9em_}{F7z_;Q zXoZkm@&y`W#gE7fetabaNe79OalTB(!a!Neeq7q3no>!MpF%$Zm>ykTlJ6;BOuu== zjKr5lDA!KN$RQMwYht>i-x4CsjO4+`<*}B)&q7%D@%yvqe>jJ-LwsinoTlU^x?L<8 zQ7e_|Byc4IB=|Fts<}j}o@`lNKofZBz7;f*5)L(+8`q5;+P7zXc&K~T%9gq3NRcOT zI|KBH2W1!)I0gd+6wpTtwjY9mwueZNr$Z!rL$&9_D7QEar|vs zY%ujm2gcY?6$``Y4YXCKS}!Lm_(*jmSqRGU@pG_4wIN+h%_bBRtP& zp#uu#ru8_e;Pfp0mJGP2)an(lz5HUPrw8W|1TtJwp;9-jDiLWz{FqiEUV@Ec!)$k8 zNePOmDHgxfy@uCzc#q@LV8ersaacX3vn!!hY5f&pHqNd69}uur8Uv=`*#WC`VbMjPyD zkY;fj2d@`fX28G>_+32w8YzS;h_9y<&NcYhTkUB8n3i6~s=FIwHwWaw(U{lN+@~HpVwl(KNUmmawGS z!Q*iB>_dF&5C6f~gmN4`d z6mds0awu>k*CcVAr4a=H2l8U5EYz(ZbFK^fUc+@lcYG-J6`ou^gkk=%U|;h+T}xm5 z)<0x=d(1GLL~Vg)0Lq-=Zm?4_(gtvHTa zw+D`p>+do1-FOCWju0LxJS3J^v~+G{EJBLzBG@@uFhmYT$w}*QtY5Og5bW{bf-iX< z*QhvW-Zbn=cbmJOGXrwkS*kKTp5?$&M>-fw3?~b=fP-I>2hi47z1TFh6TWGsn?`Q(_Z7=He{)86`c&IdHXBoc$?H~ zL}gO623l@}6Jlu!FL4~Fyu>*r%3HSLc-`>O?rmFkZQY#eSsRsobDJSrqQu-Tjqs2C z$ex+I*d=+FzAReIAe|1T5TeYxWH2rp`4(Lgv&bImBZ{&mPISQ|JeHQIoPiM(Qjwyi zpd^zHZNaS`4Ve=!e6j1cv1}DAx+2k5(virUnyAEg!KWaW41}=dMUwK?M2QkPR*j*> zAu3A0wL`iQbYO{CmydwPJFS$ZC$?G2uUz!W>o33LWzy~d9F>%CTGC_y%ILJ2j_TYD zqFCB$RPv-b0jK6fCe1;>xM_Udp~79u+d*U&!3O&XhHqrO1(5vW)u zK?pHgVp~^fu#^-tQpl7MiA25gxp$_Fm^+|NYm98om8Y(o;KZAv`v_=lgWh8|T6mc`(Ic?y?osN=3y-eW2KO3;$t$ppq?<`#~&&&&;g(z{*mU2oEzH#_(9@!(oo|-fqe5r?3 zTwJ$ic}eo#Gy&5L^$xX~+cvJ>y=`mn+O_#qZ@X5C{d}Zd>~X}z!{W3$7J?+CY^?xB zFL_6ya#E`!9Y=o_*_n%pq9zFO#E?r4iV?-eNtDi0W0rHiK*qs|1_eC)AzGP@WQ{Mu zSX!{%ZB2pL)yMKMe zhMZF}WJu6rEFp#qj4|>gAI+LE?Ty#Ug#wS;lHVqQL%&@|T_Y43AQhn%YbIA|umnH? z2oaD7OO(1yWEchh1hX_YIJjr~){%i$*U}|X*-Oek2V4Xs=6SwGB3XF@moK9|T2qt} zs>vieT~07#SN!vMQEM?#8OLj7EG8YwQj|LOOp4|7m>wgIUaZIGw_8unO`|F{gjV&K znk+~8%zHfGqRDfjN{$Cj&&J&8DMb|e_Wn?}+4(4n(0f}Eq~7Oe5t$uW5{;vcG!4lG zdNe*bVYOf1LPILOE0(|Tt#9_OSq+XzY5+=R=(b#`I|hm92(eVG`hLy#0b>y@s#AAz zl{y~BhTQlih~OZ0JFt6awdnV*UTH|FRM5!XT!x%S70&l@F;DC-2L?nA842QaIc8StB)0 zET9&a{GmvCG$h1WVgzO+Gmvq3iFgbn1#|J{>iP7v*I%7C_oG_THwN1j0F;oODq^;a zHEDt+&%mkVbNQT@CE+Ei{jTF4W5Uf~?uPYyw{Od*QsrE_S@FRsg^DF|vw3DDzIg5B zPgH<<=QCx6Je-t`bzZj33{cm1>K8G9Js>NZSSfh{GegF8O7t2H6$N`aO{n6@OaXZE z-7EXLmUJzezwqO^i$0mVbm8Jhk;6RI`251@e2d z!c@7~mv16E9$7e{4vOJtjYGLnis0rsk9m?dKGo`rVo#1kyhj>MI!GwZYbuOkL#!2` zx^Z++kntus)usH>`Jc>q>kTiHX>bNf5=zAqIqfPm&^6z$`i7J$9%8BJ$429-Va>sk zRDc7DaNA@9Op4On2yZmq+YJ$1QZmcAGxOtl3p!GHtY}WxvtN=W8Pg$G9=aTov1^Bl z$mC=LV%Ud zc>WZ_n@@5s)4k@UZ+~m$vSr2vl`v{6T7yJbsxg+Zd0x!}EET1$04tz$!Y|Sh$8?RL z9mi&&fbCnOI&ge9rvkhj8zi4EHz^LpFD7R)k`hV|mo&}jJMiepRnoSKAiq8KofLtf zh*9CZ#!94@laW5^-y8*r;_(cMK&|>$ELk*f-pZ9dNpon|zQd=^Ub=Jd!ILLX;oo<^ z$3J-L@#7~qZ$CJB=HjNU`)c)OUw1Dcs$qB|7pZOvqL>NkJaAx=36xuG!Y3_+V+mGz zoRZZ#;!g(=C^EOC6@g)ACR`1?(?R`;CE_l{5`5u$KK16SuYBv8C1+r z>#w|=>FXwd%X%C24;igFiFuNUDw^e-gzqjYSQ4>7NH}m6?W5Ol(G=F* z;Y6yu+$qV_50_KjU5gj4=vqBCvE|aI*B?E4^8Fz5ef|NW?%aKF@aU&) zC7y{xlX0*_Q0KeZB!iqNMpS1b&VLpHKjWD*sLbeQP6pCvP>?P0uEOJ z?YZ8rB`9eE)&tsEQ)!#*@2lV zD7EX1rC1^nH3@-)d&U?u3n>?A>5I-=Nr$3(YBnN?gSZ}mZsu#rP12QllL(dLW3z)k z*;1+xcxnDe9~Sdj0Es~n25wa&ZACChRpKJts34HwPhQXxu|yMoC1Xi6)oA0)-0hn- z`nhbbuLsN$nM}^>Y6gJQuof5*y9GI2t}AA$m!yHiMXHbVthgh^kA(9@eX*8{lQrVn zPGWC96NhwFf&8XENBT$PVz zy)*Oex3c|xr9vLVGzF4RidJ!2*)LQGOMG`Z$_6;Rgh3qD25jHBA>G?s^|Eks6icBe zg@X2$RoKy*AW|a2jIosCYTAdB(vc^EC@+XOnl`KzSs#wqwZ%`C-XaU9FvcRzf69GN z(6==5>F%zj-94$j2abYs{(gw~LI2&jd3VFctu?O@0X17I z-WCT%qre>07p$CxTpcHt$>A|9eM#w`oNMBW7bYdaQa@(DB>|o{RpoFRS1noa)@!f! zty%5oa-d>tki;5UEO}npD-fKJd}@+P(iD@$5}2jU6YGGQde^K;u)>6<5@Vlgp*}Hf zDw4~Dt`vHT7g6_>wK5XC+e9Lfo1}oqqvEs0oO9+y9T;__WoBsD*ldqA&bTbmHIg;} z(wb$9)7jFgGZ+5*>e^@gyMOQAu5H_DMK8hIh|5juC=j48PoZvF6TG2DaO@D6ov>j- z(jyCvB~rvxq;XzK6G|eNICd?RW6$%6=Dh?+sNhoKr1jc)~-QK!k0?bmXyPM3C!4eOCv3q2}@;Km)#V<*w zF0Dgd;+HzGq|zqhn3ZvVyC)VkbY8=mMt0ghmmHX0<3(0c+Wc(Kie;%({?zI7-#gI% zq5OMr|Nidn+Z#o{T`fZJ+p6$A!6j)RaH5)RXY59C6SVmb(ZPW&>nDKU3dSs%d%FjPY#<@6izSl0SWI>7>*%Mg(ih=ls~|vfu_SpIj{CAY z!rM8dl9iyFtPweF#7`L_&3qy*rGNE`{x!-g#l-zZ(X;(!Ujz1=`f#5@(h;M$~Tc_~6(a>nCu!_2ueLEQMrFkmU0O8M!&R>g-N4CGfc z)cy3cSmIdTl{{ZF)g4&U8JDs6CG%cpysRX&-DIX-)H%&S@-$%sqyl28fJ;DoeqZ;h zm82l(cpH1N1(5dh6Qtk-@>B#LAP9ZLpGpDFP3=)syu!0s zA~;2Ss}zH!P_RT|2OBgMZvE&;@0!)sd5BHDwi;lveT3R zt)tP&A-^P1UM_Ng6wAE^V!=|A!hgh)iJr=SBcEBja^>a?8y?=j|NVOXhw~2r{OIx1 zM~^RExMWuLs1*TD0CJ;rMH&8Y02G*`x8Q?wdLX%l?v7~6x=!{QJD6yCTDeG)MGjK)- zWFwSuo<-z%VuyUb{VwMO&>%H=H91V>`b^?Jd6e`nz*A7}gfz%nTXsi;laBR5NxWx(+1#6j}I0wkdzVJDxBlVFK&=ANLs zB{-v@obK)K?mm9>=s${0GXGHE43-`}hR54CZjO(PHftri1q0>b?JOH{;MNcD9>+pZ zVTplIzhEiN`IdZ&BVXmKg7Zjf$dmq5_)?)DDkx~JLRvEeKuy(b-`lUf^3i*<(!D)o znUsQvofJs4Gvoy=F_bggb#92Ifuy-%Y!vX8?p^~O#2IfME76I!_}i_hk-%le@JR3_ zbt6nxY~2|}P6jfkM~HP))J~6*6hTSEjG;e}fRUV~B{i33IUp-VmnS`? zOY$nO~y?jgA^-b!^4?Q|?5gMJ5DS37=zWIAWWV ztS06pi>z)Qqns*l6eyI-%>7{Y z>#x4DcIAqE+GGX@OG-*EJHdvmvTKHM1xs~wP&L5PP^-ClV!TrDN}2vvrO4BlEa7BS z$Vjamb<1dNo7_2q)i6+XUm>hDzoC} zdwbRm58uCc@B4N65A7c)t1uE_>CuA+kM7^!w`+G;_J>2W=ov1Z$2FzafkUH(Rr!2M z&Q?%F0PDb#*NG*M0y@k-<&lnfDe07AkF+xOT(2TOd}+80xPJK_TxI%;8V* z$1x+9#$jqb#)5&jUVC-<;zgOhUO$%wnJeZk9XSpP_UA*%32@#Uu|$Z98v$TxVr1A$ z_Xl1EqYuUsQ+&DMKR^*RlC}pApFDVI1n$9uhxhJ3ynFB7?K@jHZfMr4 zxNNmY^#gK#h^sSl^OQ^{U0^;qNpOp z#6D)7ftOxf^vTDmo^CIf0l)y3I{i`}wGUc8lG~?}v<0{kFfRqe?bi74aJILHmKjfY zW@X0tEhscHgc|$W>3K~=$AT8A1IfqSXau(4+{MY#l(=!KL=wbGOC&!K)6g4)Zw5;q z-nqDU-N*>^-S_MGAHqLE5d!JS!$$-pczksK0RZWNX?IT?Iou8!BXJ%5XF5&*o zd^J02$pGW51d=dk=v?MU+wt@)Uv6kK*nt%J&xoF#I`S?<^P1iyX7jVT9+MXMWX}8j z-D~pcG#PIvd?QlIku4AL9+v@ESMmyA0abuE%%YTF7t=l6v5a>^KeEQLH|Ak49si=i z3qlB0TrgVXHO>q2TwK(#O_wU4UUjFDxNgk}XrkLBlQGL}BF(awPiGG7-TyyM;84+w z)20mpk}*gR9snbOv;nXINcZpD1zx&v_S`@e0haI{*2uJYQ<#W613uD1XU(EmBeLS2 zdM9BdMmqXgmAcCr1olcn`#P;Wn_4MXffyYb-($_OLO0UuXhb#DTNf&0Vn*E zcLHo0=H9zp^&QYH!Wl~e-O)SUvla)teJlscN#Ji9R|gSh%pw&YHd;#8!~Lcu-y37t zxX1_ISkL;Ej9`qvD~J%s+g~pisw(C`GM0)rsVtjwWpUa zJ-vMS>8)Ge`)HDoWL-m>gj{6sBXq<-x_j&P)ytobwA;gRVAiiT^#ky`sJnUWz$_e% z_vAJA@=%-Y+oFq@Zns*>R3TbjUCXVl!s&uP)rAtSL?aYGlxEK}pW{X%ni4Srvoc_3 zY!x`$Qo8S*H(#GKdsfftRXE6-RhfG(mLy$?yj0~4@!qY0B<^3k8ZUewtIJr$Vjud~ z8w~10K@CDYTsa4Rk)M={%}NRHVF3v7(Zhhx^ch!0LJqYl+l3%s{F|@u{nI}={Mrj! zY8jIprt(@W9m#2aPe`f2aU7BorYMVnpKFD|&8t_w`vX7;P_%vflX>$Wee)k4f8!gE z|MDA;Uw-LvD)r>rwWsj%Psz>{pbJr&~N^mi@*Jw=l|kQC*OT@qLwM*NH@bnBm+-Q zk5xUV}Xayz0HdMrEK;NhA>+5uDI_*K+Ciroa8(yEE6WSds1T_wzXyOGPx^ zMck=zoXi0yb*mx3j9(fVXt(32f6ZzGhKk1-HkOzIpy=SfefxIp9BkA8HUPGEy|#XI zWZQ=IgF(&kSh?74)Ygv-Z5baMs8^M%pr-6XdF<}{0|0x{nxI1@r&@?9{%``KZK2+{^@(){=1!Ffd-Bl zOMsAV6YJIuwA%FwUe5ktP~EX{V*T(?t6EO5gNB0Y;E1 z17-O^uml19>Qztv&A)#9&a?-9;o8i1PXEhayZZUhKl!C!yfrd($FS04C*(&(qh>s| zxFf=kN*j<8HNevT-Ma@AOGrvKjX=%>q+Mtukc^ntOO<>UF1tq$9{wO$0*yO%?CGJ&C+AL|YBnlBJYlV3;bG zc?7(rC?X&|#yliN{nT7hqYXF-+9vnyA4rmsxba*p#q5hRO<(R$=d@-qwQ5oHYrk?8 zzR$1xi>oUaHj8}~`14C&e*AMkdj~E|%G}Zb<@&+o!l@JB&@P@mcVzOwhSA}TBZIW( z@`Vc!QEuI^0eb4x(Ic>JzE9b%6-nvnMn270TDFw142}?!7()|ZN2$taArAOEF7+-CS1w5Y`7fKV_wzq@XZ51E$)uf!>r1f&4KC_aM-T7bw&mQZ zld|X2bEi-5*}fHs3$A+bZ^BF2h)ZY9@&bgVl}ndEIA6$SQ08()N7{AC^mcFVev-CH)DJ9+&2m8&XZvhJ{|(;hg0!q|M^IIRq(Z6yb0UC``hO-tLu?pXtI`J@|?Xlr9dgS zz`WG1FqTUHov?Ip^2t}f`rzk(?%vP;-0dI#vHPF@yaCdm{^{d`2Od3rWFYjAhzRBE zL1(Z=q6S(<$boZ0O?c_rfxUa-WB^eUmSQ3$Uqe*7J2?hx7_yN^N(f6O#?qI*^yJ5W z?BQ?y=7oRpzgz>o`@$EW{Kl_es-%lN84!bO#S#GN^7|Ndz_Em?vPVwi72 zM~c8_4Ab3;rGdKfOB=?=9~+JPesKBBf0L6>zw#9$wLkS!kN?9TKK_sY@$s8)KG?ta z@smfKIC8m&e9^NWyAwj!EtdA|8E&=UW{?rQwB?i081v6qihcjw!*0nJi|-V-+ZHM@gm|SFPFu^6w66L*Pk!c9!vlLCT)T4r{+)*p?ip)D?np(=g%Ek9DF#W|30N8|<=!1TM_MiF$|l7!=B%b= z9!gr9CMOdaL<1A$^%TK-gE4?}_4PlST=vO8*Zg+>iXbc$V$JSi95)nHc5U7WTm&CP zSrq6X!$o7m1GORv4fM;A{m_YfhZk2 zffMi&01V(nOMX$t67Nn}#nt4@1~3%_adABWECo0eSh`03tV_Z~mEr=;}2vDxahRp3A7K^0N@i&6zO@Q5)#m&-~2YKmMcRfAL=q{oCIeyPcMCj%s`StXSn>RMh}<{hxcVJHV!Y6SgjSK-($&Y|GEH&=t8eKHQ26ZM2BUs4>!jtyxH zvle=96V6lVQ6-;Q9eg-*-TTwVmwwz13;Cvdi{2vprG$4@?OGAAGz8Eu zm(HC$X>y5Ao~Xb*75y^JL5>+a!_?4Y49Ymu_~8E4%lGTm2bI!&ZRO(quyO13iJSND zu%c<=h$1y=N<@Gn0?(9o{`8sg;lT}KBk)z5j3x36x>$#;S(28b4T4!@ZU&kGe(qix z%y?tsAO2?N-+p7yxBqTC{K?@w`sbJrOKKvrB`bf*9`4OpqDTX59^26k;423+4{)$UEYN*SR-NMkJ6PUDv4@! zKM!W}-mTk)ZSFrX4Xe?pJ;HGQk<$P+5AQLGRJ>HbT>fz*{VArJfCOAbqITty0neuw zE^51U?i{p>XV326xnupv(E6dasT05fDZx^jikA{9q&FL+ZlZLqC->YkC#jnA(qUd+ zqibQ&v=p9oA}bcs0!>tMUtm8*>2AFRrwJR2Ilq7H+poSfbNciZOP8d3yYrb0UOfd47YY~7oPDH; zXy%;zyn(di=7khR1RKo}X;3yL<*0U=;xb|$+8|#%1R4!$U)4)y? zdRD#l>Pxd`%viZ>X{N6iQN&mx{7^&7lrff@Zx*JbC)Iix8i#U85T<*3{QjO6Vky>{ z`;umlof1=(U9Mp+s_V1rEYGs(;^@pyzs=&rF?qIVT@&Fa=^REO^=#vv$H9Sva6nX2 zDdhI<+(DrhBIo%TMIFRf3Q`O0qKKLs*Q;!~zS?z1m(QP9B62s59N6EC!p-YO0rM@K zCC4)4^XNSHyKe_}BaYw@3{;+t^W#+S_%*W5iEHj?c@6h^m@Bq;yn! z4<|XbYWZs~z4*a*m#~0GJ07aNWOSM>kyLJewMtk|QEIa=nmCJ}!h**9bfc zB!SbJP2=k(Mn^V|4w-uIQbGK%=}MxDxL_y5#hy<6CV|5{Bm$7~{jq=~X2N0E#E3V7 z8NQvDMxo-K79ZE)m_VTseX@nDfSn9nEmdIZ@+=5Y_ z&fb-Un~1E;oRof|IIXWk(`C(=Wi2n=$o0XCp%+#!`sB42zcY8v9Gupa>LjJ9yksC` zQc38VOn#}VsY~Rg3Iy3+Hn+BGX(A=xOhk6MzZ?snC(E1oS<6C2#!@U?Bz#2{NXW+t z_9=s8c}da&B8XW9o|y0g8z;(G{(tPfca&Vmc`qm;On`K}e)idOo-FxUYs<1M$+iL| zJzKJ$U+}TlVi1GC1d;%VMC6=vPBQ}xCgH8BpGRyKnc89Tpq6Z{Jw;tKawgzDi#9)Nm;%xW_BOU;p?o|GO2GmQ>Zi zVYhVH0mj?WkF%GRd zXMDFbsc7EdQMzQFbkKk%xpE>1o^owT|rH zwRZWEhW-2cySo`nu__xUa4N&1^Vnztq~rn}aokcVaAocsr@O7Cn2JF>B+2nE>YOl4 zT_zGHWWk2dj*=k~0*Z_!aDE9JOVnZ_stG!&j!-a40uH(jr2+=A0=)$)B)?M>c0e;% zc2A82#a!lcnNQT>xcnI6_b(uTi0(~wfxY*0|Px8OAdN)VIT>d$}X(z z3>}sbO8_L?R%T8|zuio>v$ZuFQ?8ujXOk2)b=cV18r$l%ZjX2J{3+0ZT`<0xus6%EP7NkG}uDZPcom2OTl|w81mhDMJ(~ zBKnIT{6GQe-M8LUR5KPrDk<>1f9u_M-+o77NqwsA@4urvbX`7w?#j8-x|?^F!`+~| zaoYSc31)QGV#q2X(?f|65`-Xx+DTCjO*K$Xq8+pj5G?VC-GC&PU=?U8N$#Y&S3cIS zfV6(~s^-SV!QLLkl19-OATgFG#ElC*)3(M{0SHRNtdPrhwzbFmdn&+7Ws1OaKR}Af zi&DbCX_Z|A-%U)FNg*t8BTI5POUnd|5SA=IF3bG{NI~H=0)!~&W2r~UX@^czQ}+Cc zV}JGIAKMW{+ZVINPgSbg4~Y69DI&00A#(;6?S0@Pgb*f8O}E~C{_=&h$B$mWdii9f ztb3?tu>(IiEa{k;eZt`ZaRwey2sA}`o<{xEa?m1zlK`=SSVD=er~Hyhz|2^0ygnbp zkRt&P6Qxp6BIfjWu2{5S^M>_Dnwo|nP&pPtb}Hd|bHGa_vTMXq5EjTGSq=2|MY=no zWk0FcwWqFkF$%fEl%!?=W;!NlVZOpZK|-QNCUJ}HFez&6FquF@#(ZV4G)!uWPFFht zKiDb|L)$DrE-nfBxp7Pqi6{#Z^$huOMnTE8 zMP-5|n4nUJ+^N}xM0oDhaQXDH(MqxK)~l~dlk2#g^P>+xtiqDU4}^*E(-uXx(ngCW z;F0w1+xqjZS6@*w>AB07u3b27^lSuB0KN4%!p-IWhqA!VNJU zMF}%$ZeekTlyWX52Vkc`Ab|~`t8Y5QJBMhPxnuYcEl$&(9m^Ixy=UhR2zn2EG?p|k znN`96Tq@L<;wN6wUtr|5=op+JYc&Amlo(X%bC}Isz#&Z|xibJH zXq`bu8p-M=x#3($mfLYB37Dg%8X;qs;%sarpL>EiR3FDcg&yW)^ygd8hd>owr?N4_Hq z3ZTs*oUkUx;zp1F(m*w7V`mr)Cx|0bvTY*s5+N{P8)|89jFIYc^b(Oxt5>XCvgp9x zy2U0o8j3ZIOEH!pIKbRO3??>?&784@>hQi6JQS}5`jDT!Dj%ovi%GE)JfMwO9*CS&3yn!#BAWJf+L8Du94m>cPp z}b7J)L(b3_O_sWac8BT<#zfj(NxTT|N1JeiKnvDw{p(9%(jryf$ zFI~9#{Izq(hV_I?;8ujDwzET#GQBpzNhA=JILDF50tS4FQQZ#yDnHR2xjshA9SckP zm>7nhLX<@WMKQynj^>q%=5N`!;c#P9q_0Y;|ftJa^=FHibad4i*$&xP$Wx- zT`MIlkaIH%OWFSJ-mcabWx2}8&rZC=i|V2dhuQMuxb78jIAKZ3TAEZUG}nZ{0OFH5 z6ibLMa@GV+28t+?jP|DJWrgG9=-FAln-ETgXHFhJck;MjaIZXb{)g|~Vy~aDR7FZZ zqn>wq}V!6!sc%J3n6%IMJ9!ElZ;1q?{Euxwtn&22fbciF8$fhQ0ZD9zp(L^g5=LTZvn+F#e$#Se9pC&jR zAGvh)^s(W}(ZGM@#TOMXDMTTb6l_#d5K_qbKKT0|Sc>{1>4=Ql`|rH-^7ZS-0{`^U zkr$u6_S~flrz$0NUzju?Ns?RQZycgDLd8tuMmwo5C5I)Mc_08zAs~)b3b(KW2$zcJ znZN}nw81Gk#LM{79-1vjV@ZppotxIJT)Jf6?%h2dZP2RA;cf;JMl6kpO2jpCVD)*l zbl}J35LzDFIKWayvD}fSL-D?DW#&q-jAIF@2^hOfYgb9<0XB2l1a~SxEG4Wc69OP9 zSQ^GqHbo}M(HqA0IMz#esiAdTKho{mz@O+&q8kq{_6*=gz+J!t+@StvO%i86r{A0kZ!k?jgYh+I5NS)m%IHWZrXG@{q= zP2xiKQN|KS12PF`pnJuVg=rfK# z65t1c1Tle}o6Y1LC!I)kwY9_erUX(`iAUu5+%)O4#tuU5ZtUy9o?$~%+$8{=vaA>> z>Ps)a z|MuIq`~QQmK;m=@6GBKq?$%pxy>{cJ3ujK33-0OT$6kK^S*5g}IeGM0$%QewNou~N zJ~?`bkY3TonwkbZI73QlYQI4IU?cvpG{Pbsf|ezLJ-Od<6X=5D>pxpQ??ToIJ=t*%`-clE+Eqe0+h(kF(4XD?rT^~D!%z47{o z@7?<02io!ffYQ4vp%we!*4rPv^Y+`Xzy8V#*I&4D@!ZK1l~VE4v7CZh(gHf6H*ZmOY9)6I{>B)0LGAr zh-rgKaU%vx4uzh`MB0jr8ik|MQt#_Aahn#*o3mrTh#TL8mL`#4pg3G2Vz# zvhpb?NsMpX%jQy|;wC?fjGeJ`)&}aIG|&q+@srC>*Fhm&V&kR1;<6XiD#sUxQ%EBv zIc~u4#$t+gwJu*cfBouJO$QDP^z`7^lkE6d!m$G}huTn>lvpg84PYl_=?dAbn^BS} zor))VySmyBHx~7J8OgHgDv+Q+Q&rqM!=XzKkB6IgQEyAbE@4T`mc2)8C2O#1PODhZ z;;$5iklUH#dB|RchW+XWm*Zvk)NtwSv5||XPCR?z+zVGPy>RV{N-sToO{EvFU47x| zWo6f{J#+Tr=@ZJ?KNWb#i>^8mmZeB>&n|v_g&**9%Z8D(G%B%IMZ|(kVqpoj5U~eI zqZq*{jfO0OB8Pij;&y}qqsgr-4jRWQtDLwJXm5Ze5+lwl7+2ee_Uu?RZ_c*Oo7-Ax@lfY8F&)L68F)Cfx4wJa6;824xN|P-drZ-LCi0)>?{ zXtR-?HOrT*T)KGA&K=$D?eWN(4t4U+G(uV<EX}y_H(QlR5~hH;-B<`;rfPcjr*UTGi%-IRgF3t(4B}5L5Pw_ zDHX<22E*WSW8;LEN?IG(yp$(i0(*y+&WXqSy1H8rHx&{QHeT9_fp(I90x{IvzQd%1 zhh;(`0^uTpgi$+jHTu!@B}2r(h!pdHteE177-Oqcyq9cA8``lZb+hntjngTzc=fpp^_jIm+=KR zJQwZXuzDpJxJ@mG4-fV0ekL-hVA>K(V&l?4Ru1*|)`7|*S-KojkR)jB#YPswlDe`o zb4Ly}4Rv=wSb!SfbbNp;dmNi}#K(l*O@t-rd?GznVwQ%n9e<6bFaPde{mQ5QDXU@u5>(>8Gw>?CD~HJwJ|!ZrdRMxVy?u=Nq=9mIAi5$|a(HSZfaqSNq^{gfgd z1r0OPwKB%da1rwL(nw9%stY2@83JaDlpR^n8BJ=$bOMLxjC8gxTeM)s5@q0a;=mJF zw}R$>tHKiEM@KJX5?_LT0=ayNq5=707J!r;A4|$Nj7K6Jt*xzxn%u-t8G)oz+$SI* zFU9z4A{6)LxDYm`SOO+4{Y=!S!qV^k?q7ZWxBm7we&g@|<2QbEWdF!;E;gFiX(UIQ zN{nWRofuSsC@~m%FRF|SJ%%7bE@J+)8>WR__0@=lxGmel62{HqMi&uyXo)>C@$8QI zJcLae+9*Lo8=4^n5~YMUu6pL{cmDX!ul@wnm%jM3FZ}l3eDMo^GjrOFVw{4O6kkeX z3_K#fo=+%3MMUc{`G}=d2unyx7#2`Z+q7rL)3ax8ShK3B;ov}TcQO{UW)w7f38Mkd zc(F=7b^xe}uw;=E_Gt1bdYLO^vbnUQaHw2GrNFVvjR=B?lLXvC1wJmo6xQ z)gw*}T#&A|aVqzwJ@m?|#g~8W*Z%Q8e&a8jXrijP0OodBB9=fdBJzPxC}pxfXnGJ2 zj1#7mgwGQ=kl8Y{!?lAN)cC6-)dw*{yyVmRH71FbK^LqnJ^39It5hYM8%pljaC-Ba z^IIToTy;US^soHOr$7DA4?p;NAr=!m$X$G~J%vOOA(lKuMTr=5;AUU&?@BDvsCCbY zWd?iJEL*a4!F=t(9XWy?oTEKBj#4HPqL&a$II%!lPo!h<63i-43j1-qtvfPN+$pQ% zcUoaJHaOVY+}zpRSW3l=T_DxX`NlNmQzy*0i5r-qyP+iV*o~&Y^o5^If9$4Wp{4V$ zDogjxum9!YeWMjCxFp40rI&Qdm}PuL&jiGr+$o5{DKP?4TK15rL%{1wkujDlFpNa~ zwDC>35kJN*e2gTG1tdN)260Ql5-*^fwBtpJorWrzq2Y|OSEQ=oQ&a6C2UQezy<_NVmzUosIkVD=>qoz;#mM zl%G`J4VHYv3A#ioR=F^Hgs~I@EIs=2F<0eGa?h4iIFsI?Jx9UukAcpKi)E}vqXYrc zF!3X;&LfY(h!UtHmaLryGyK@bgkd;3jm$>jL|Wd|!6=RBZFIwJUc!VZLJ@^N7sUz) zfuuHdL9bkV`7@vShp&I_$4j2RcIQ`r`p^TfdvTGb6vp(aR|!IhqXE+AM7QBm%z?24 z&Leek(GQjz>fN|<>5}>Lwrt$c+yot#Xv$of4W5Hbtdw{zuY_!KOaP~(sERL97nGv0 zfZELE(~i1=4m343b~QIa%QDZunvSs?Wp$B`2OJp)=xa>kCqX(USQ=)*JPcS;^5Drw zUq0&6(wR-Wk0~rEc52*ptYVx&6ZfvL_6~6!V`-Rd9F?p--H8Tbf~8y-640q5MuyLj zQp`686VKRe6P8TiWv8QT4PhsarerC_y}QXOm06H;kEZy_#g`NvDIhiN9_>8jfAbqZ zR#-wC#}90^rvPkx#;1%!LD+Ce9M@oq;JUh8@ngL$jZ5awU9)2O-rc*p+DLWtobWgW z97&@wSfVL(43==*ZfF0{d91TO^{f`zq7nRs%wuv|FJn^T0^8U^(=gOP|BD%EhG7$z&41gfR zQa{ZD=W*G3;zolFjy99c0>C3LNq1ScXdHeF91AKo1zB%uP)A@xJ^^T@aB>ooG0aOE zNUIiKQjGNb-~7?xy(7xxbsZ{y^Xor;=>FHeSe%Th!=nRIB(}>O{WhThXNa)GQGybR zB|}Q_+)&TvH7gd)oxNqldPPb@eSNs>puOTrhJAlg-H0VSmGd-i7vPjDaeG`CPI>9L z>*A#1fY>0RUX2SVaog5;KG!YlbW4nJ9Pc zk0PJ2&|*tR#>k+Qz~hAUQq@m1Ha116%yC7*l9z}rUvLGSjvsY2`s(1}%#h-xuYc_) zk3IBiF&?MqcX$wigMlf7CAMfJ#!B4t4WU)0Ux^z#8u!k7YWniUi(uY>PH2FWl?8Bl zIMmqWvH=m%+_{_pOSxP*2lMFa`Iofs?~TP0h^25-uMQ5xB7+^Rtqps3r}{briZWqY z;LA!$#X0lESa9`s7)6xf?44ohNPDiOqA|DC#!zQr_LDCvUvJvOHzhqb^%bR5-Kc~2 z6$W6#O7s%a8$dFI8OJ;}(+E8(Ht`3xYvxFbhLc8%w9*D)0Q+p$u;DeJwp|m`R>hs{ zP5xvXh9E>VqH~+<9r76OkJ}av&r*EVa$w}Q zKmWI%{N%sj{GY%2t9shoPk!=W|LjlROZDa|nHb%adBb2JZTN~&p#@A8;Rx;E75r+L zMY(yMTzgBmFu5_71aH0w@>H}COY|No?%Z%%fq&cjGu_Q4H=4k%L}gV?+uc}q-Zy?( zv=EIad$XlvTq|wktO2O3{*&bLOOu@Gf-7CYN$ukk_BJ0}Fni|erHhqP>gjAxfcs{x z>Xl^LN%FRQm7NHuWEqtbsHP$f)Xib&vczq>VD-427qA2hJgxl1*r2ASy*qbAyW4zj zUjY0VBVtU3kquUD%|M$hfhs{d2dPYltP=sXZbLx<(FP4~G1@ydq(p)i=jm8>uzSObCG%#@+_GUqizcN3Zpp=UbIkguuqPqrYz&t4 zhR-WC!(aglfK&7`XJIM4?PYZsJg2BB9#_=Vbnswv<3TSGVHV=pvaLO=J~?7;gp_E4 zeU1sRDKvcs0`~ZVG_5{AoQ>ro+4-}s|MutqMp2V;@fvm>qX{wi0V<-As=r1 z|H;&Cuw>&WHFl>@b9uqMa3L(^kftmfhy7A=(kEO82S7C`FY@5NeFGgWfE?5LP0SNw zN$Rz4>2RQ$1o?5~moc1-?=M&)4;_XKXs@l5Os!pZ@wYzr-w{rS_a2o~^wnqvQKQog zmeMhaXJYA-)N$C@rFjn>AnmR8kx{8H0Rstv%2JhA-KG``-Ahgf!0_l=x+!Y-fQ)R^<|V;W8HWiVmVj(y-EGSj z&R;O+sjV9~v^F1(M+T9Rtkt~@R3Oll;#uPDCFBH4sj``yLI=RL6c-zp6Z}YWJ+^m% zrA!{EX|S*7aARZR{{87lpEPN4F-hB&DMZ>MMZj_h){()swz%k}N+x9EkY|R?!McOW z>ZO;InNVf%N8kQ1(VJJ4MMol*poKRel96Y?J(jkCQuC{N%Lxbi z04gQ>&3L60%Wv}RqMTxd_`vSX>*hT*W7V=H2lg6LVj!iMe-Jq$#ci0`Skl`ty@~EB zP`0rI$SKkI6zE96!^2UKW1xWhfv1#U>uT53)OqA^F&PbGiCZWvECo`<07wXok&Mh< z0%swIXH9bk=_TSN12zSx^g!mW|NWN2(&v8j@3yWxKa!)8#o-&3EMW;O4#CN6q@pq* zwoiR)(O!UFLdh+~mljRVq%jsP4ox(n%Xd61sk4SU^2$w9&cfHe^3%`%*59Jz@YS#U zbm{!7FpZ1=hN(|j-3j}WbU|Q3P7)I+al2ayLf`m2K1>7N*068>?3qg!&fl?hOGisf zA`(%kP(Z@OAV~^xWWW7c1+5aV^F>Re=JFH<&(lnUx~UFqpK0yQf%)UUyE;CQOb+$; zDe-n--@c)q&N4+QZ8(V&Cz1(kF?8w%AdOgh$#)EvI8Y!21sjTvlF8khPxrSLOUY!S zH}~g%`YvK=%i8m>kTIOaW|U|ugDcoZEk7=fg;geinXrH*mZ-|3t_~cW@L>#=92QIP zYQzAxlu8SkLDPYkA5~It`riF-M%oKd;?VTZw+Jf)TZ6H90FtCe z6ADg3tSQBP0|-lax~h8JE0-)>F#D;EYu6lVXc*E9og@@Il$BAs#l{IH1QPyaC$&eg zq&{)_T%VVcN5`KVR`NyT;_=KUT6j~#ic;WSM*DPbI-QEgdb_$B4<2mTw>J~%V=N_D zsKlt?#H?TyLo88O6NHln3^m)4c1josSy*yojuJ?}^TnUtd)M0!-22wA|N1{5mK4A$ z8IE;x`3B@Ra!ZQXGX6?fV#6WDL?!F+ghf)mVu0lBQbr^BG=WLfH+Ebwrg(B__LDCt zv8~tc`JKO7xBSB5xmt?<`v3M1k39HFF-d?SGg?J``qo2oL4JNwRytKHr4&w9NjzML z4<6XLZSGSuRxDbuZ};xL&Q2#DQxv4I;iesghu}vrbGu}VD_CW~#f1iV*)LoIj3$k@ zumpab?%I?C7cW=L0-PX3pnSUcP^7)3W#_hStxX4u$w*i@#jI*YpbF5*q7eiMFmr?) zpZTN;OCqHdCCe-lTt{+4k4?R))RB7TX%D>;>&oxkc=`*!{kLk* zfB8?}PxfXj76mb=kxR{+h9p;*lVOxtciz&8)JtA1$IrVrfil5_GU3YfZ?W0I++;k z>r_TAp>V?N1x188pv@U8B69yL#3xaXILUtcBv3ht_J-kg%P-EI zaee0FFD;z&T+^;&ZZu7pp@K$@5$6yVVVIC$xCmmE#;7rtxS;`TT#Ph~Sz83)K@;MU zn)10ot-utWE_bYChIVW`^@ZR5n@@dOFDv|?zWw2yU;W7^e?@m9oj3D(DH%7?$Vz^S zu|&>k72`z_@BuLr4KNW|jz^~YyH+n*ID5tu>sGC3I1C(Dw{Rdu8oCI6_)T| zTw@ohNn{d(N|v<1&?WnEfF-N%C3YUjWSvwp8tv)mP^xL~uARyLu7KQm5X@#?9mG|f zK^2!_M-OUV%qnVnWl#u}Z*9*^ZzZEXA-fA|LJBwPn+snbTJ+Ubt`f zF6Fx^AR$f!DmEh#TGA;wMs4HQ+hIv06Ga99U3#4+ieWOAn3sy#EXr>dPTJ%Zq>*lL zu>DBOo}D`y_wC6?`-8OfOHA;BQ}}8kfkM1gq0ZP*8%q*js!)qd8!((Dksp?4 zm{{jK(F&19)R0NqA`{*gEMXz~21}Y8%7P+lo`}NeBtVf+7L}$U1Y>}Ruk=ractVNr zwg$iZa49p8DkiwkNy?hAi&#_#y@z>Dcs7DDJMOK!QE?w~apeGD`el_&YTCVZ&dew0 z&zYsyH)(54#-f55cZ~R{0u0G=1`>eEoPx>c)hotL2r8Nu6(^I=>!3Fc!-S0z3G%FV z>(XiE5h&(tKGd{p+qSmG{W=)Vc;LRTF}vI=7Wp8MaJ6E@(y(dXwa=nHBtGxNg|oS* z0Y?ukEWyE1h6?#Xge61^VvOYzAxgHf)(e&l?L>u+%1P5eTy{izvvL-=I{XX{I(pED z)=a%crZx}=fko5p0+N85`HGKHS)- zs(jX#)($Bpaqw*l8oOZ-#qd)pyv`SZXIxOhEX}6b4z#y`N;`lgoHF^e?vB#m)7`Lt z|F%sVyN@)LsUI(PWU`iXrLrboVwr6tAyE}yA{z&$6UrK`Cu7E8XDx&1vND-BNIZ;X z9xh)SOFqke6>lb&D)#us#VbSjr~$ENjz9WA88#jVpX$n=t- zF5Cze({2K%uu@74(*Z(934}q#VP6JH!CqA@U^hy15uGal5G;vg!clA%Wt9bBq>+Zi zp~D*y>MU5&#&|%ovBbEIN?%Ic2{wySp;Xi3R7|o(e=}@^g!p@ z72}1W16J19Q6%=td_Ppf`qYgrdiD)DaCn+ z5l9XtN!KaRBv`BrKU3V*=(3^&zJ(<^*r9%mcqGF>+$-Lb3W;r>TPqC9g$*lR98WiW z3=k?Y!D^)%iU_2rL7F}nJ1-F|?YGDbc5h$5>ZvCmU;Omk-P^Z!x3{Na(QyQ}cx_|U zH37*<7t-nKfI#?Qvx+4I2W%UM+diNO`x0Q|9XGEKmZUAX#BIJFc5q6iVv)g?!-qF- zSifiM=2U+t3`s*QfqW8PGA7R`q6#*;S@9*oQedzYlkI?V4+G}$3N6t{#N|urSj8G( zL8J{+3C4WTw2^ji%bIgA_B<%_pnyP#g}XtP(=^kg2~GkmOEUGkfQsRS(RFU1A&?L| znfEeS66BCF%*4jWQYtD)4s=*@V9%EI3P?B6_fCLaY+DUmXIAnw3b17IdiI^d<7zy!UxC?$Nv-N?xAKAF- zna_Rh?>_zMf1WYzh8ItoTNoo16&O%ahIz?H^@4mw_K3u}ZvhD}f)DI79q|mwET0@+ z=*bna2b0jB)E+9S>(L(K;6dn{1xj@01A7s$}2<^P=P(?bZ$S_8#27f77~k z`*v)}MtTuWq@6gdB>lrH+)xr&f*e&AD(XrG=^Y1>#867X;(91b;{+sz4UGbzY$r`+ z%i2JI!5}Fn2GjjrUc7gM!qRX4-KReF&odqaEMZyWbsWnQ3FDayV*k1!TW%2-u_uTZ zVav!yldoW=YeRNrg01kI(v)$G?I4^AiNV8rcPOuI&deDb)~s&Qjc)zfRB~Jxh^ay# z70{ezGCFA#X%^@6F^Ro! zXjB9SL&)KSMa(n9VA*+zL1&=55btYmEX|$q!t`l3_ijC9hohN=Vu3jC;?uDTf}tOL zStdqF24fB_spFe5;0Pp!Iz4Ta0UXssbOpd+Ea8S1*FbuD)=XvH)~;O9c;G;!uTPsc zHeP}sLXqQO_{hxwBOx7SGs-L1_yH6ZG_(}PjP0XKS%wdsI527+M!$uZ+!Eo(L#4#Q z0oOFIKyZnAI_Y3|R{E%vXfT}uw$|ZE7@N6o&mIM*JzF;^II($32uD>IU^H`%wQ{{? zC+Yspt$4&vTs=u08AM^;MnjCD%Pvm)DgRM}#l(~ZtYnIbh#Mb(Ih2ypZ$XB8hY>=t zAfNmxGHu+95+WP{n;1bkCE#e1#u8VMiX%1@6%kD%fcF*FrLRs@7)b$X#i9iV_wE_& z?NvasO&B^~dDtKnAtQkyBk*L?o`O?Wvy%oDZfkp|iOY2?P_g0jUGn3wk(cq~3P%MX zr9unx=4Cux4up3eCQvn!iPcn&n^)56$&;~|VyC^k6`WQoIHmeJfEkE_G?qjfWr>kO zSTgLSGnvLtqO?HDvLK{Q0QZiD5=?M_%P@nj^SS!R@fd|7MNPU>v_72yPaa}IA{Fp8 zE@uf*$S|Cc*;oh!3s;yJx@wX0U{+O$5=-Qo+J z!b5k>9&5siiZ%S!k~(IPT_vok#1L?3JzkXa>=5Dd-p0v$|hMVhx{_)6BN}N9>7J6pNs;PPJks(l0q7QhY;wPmY1-N^L!72 zmKrMVLabP^1)hjrLt>a`mB(?%0OlmqV^Uzo$C&*A zZlvX`xcQ0uGg#q$a!~^j`G%}m^N`gW3T!MvtqII_45=6)L{vuYP0>Ve$CkA#rakh| zj3*vnw|do~gALIECAJk8rBT?}5W+;z0w5uHij0{efU20$T!cW_m;0jR z1WPJ;Iw5!jO9C_0O9ox}yia`zbWf*J0S6su{ODnukN`zF6Nb_{TpK&ZPASb!9s73g zS+jiE#+6IEnj4GBD3cGZ&_p)NYCT~@f!CJ7{+0qB?Z*vEZ>S8>mk6+7UbGi(k>aFh z)*Yp_dQ-zN*REOeDWmqJXv#tO2^ZXC)Zqb1ybc~_AeuGvpz57jG=j)u(XvFO4KGDy zT@qH;Vb{ztsSN%j*D`@g(Qd45KtQZ1dgH&|IA|j)a(gsUx7WLaH z-@oh7frWFPdi;@x7tNcqS@)A{RzMO54FN;Efi#j~e@&5+_S6hcFhWQPi3qJ*2B`_; zQzol`gh`X0NG9>)082jcj}0dmd8ryp(up^(!J|*d$>nl+gC&?!6=XTWiPd@5LK_2vKAomCLq!%f?wxOndy% zhZoPAvvcc~uC}%mbVA;@KiI^2n!*wanxW(fYF*H z3h*-!g0Hj~3iFa)I2D%wyL9@*r8B3FmGaM=Ja*~qnWM%0sY>b6nUlv$h2!PIa~Gev z@!YlRS1w&VbLx00uW)tc+?ms(6$QCtCHLCJXRcm2uTB|pGp9y^7q4A;^~LKiUb}kk zXk|2?8DSB{Gdw40&9Bh#t|;s3D0i%i^1LNBmLx=P_A6x-?QGe&dga4YzxUX~53N|b zWdH8neO+DYM1mrR4k1VUeW4>lk!F}QqDb2{g_C@iLOMB=zMN3^;vPLzgklArjU|U{ z9E2!I8imA?B;X~{OK_M567*4VS*yDkV)8XjnM9_LI0_>PTE=4|O9A^k>FIakecjy$ z_w8G^V%gHC=WbcIdQkg!Lum52YIAUaMK~+%1T6}diCIJ>SV{~Va&TY~UQH$n9UYgQ~j+}Ic$9LS-|M(wbs z1r4|;FqpLeb=9l8M3I-a`!y8`iE_{Pf&)%NBJs?o|Xu&K)k8 zVy$tB+B-1qzlbIDn=HI#Q7_Rda!T81d6Rb(&)j_Oib9J5#yhXQ^4^jKYQ+aAS#bl{P;?aUZr!|QrBX+aOnp!( z?JeuqDS@Qynu+sMt%QkTgxdE1PIKLB0Dc~_2ZD+bX>0qX|cddJNY+tox(Zad2wyj$k z>1ZychDI1sBUH_Ca1&#R{JVIC;z&lT!|Z}YZb(X_po@-r*%z-~y!HAkFI>C)`i&Qr zIeX>$bJwq4e&^L2r-w^`rMKUH?KNe*E}cDfqU@dlUV8T8c|}u-yEGTwd`aQz%Gs0e zzxCS1lcOVUTCv$XZ@h8!{29R#hp@;k4aC!z_>z@ST^m0x)XEdf4fPz{xn=H*Cm;Ua z15Z6MZS}IH`}Zij)|pPkU3SeRz=$Ty4jkc5v1Q<+5hEE#DR2Cy>cq`tMYx(PSc2ey z!4erSSJ;WZx@7pmSOObo^Ac)A7t~A*tAOdj9OE z7Ck+;Y4>*J;;Fo~7Fvo|%$x(nR17EKC=5~JSYQYT0Xw0MQ_@-$`nzwv_13F5Z#;YD z;>n}0yzu-RH(ypvrHDvj>Akn!y7l_2uU@~Vz;nFpDu5}N-Ms#s0@C#>7p`Bvps`db zUOjj6`)|LlPFMImb96*u>B`yD7M8H5A7UwHLX_CCMB4p{cFeE>0h{_-N)Gn7G_6~{ zXxbwWO?!Ci+^1%4ShKq2P*Xe-LDx+DHT2mfxmonojI<_oozHstj7n;Qal%;2TZl5$ z1kpki;SjxaGXmF)V95nPPOuavB~(NnVaWp^v3BwWKN!RG7=8jFh9aU14O|JR2_GBB zO!?GZ6MGtjI?=TrY2LkK`|`z$<~=oI?Xra}`*tYLh1(;jru!zgOjWo-9mORkfaoF( ztc=}g9$dM+bMf@a58wabhws0y@Sv2>%h#V*Ulm7b7CMSH?#hXvc*0i>TIO`6`0ndB zzklnUH(u68@cN~5Z)(()E}uE^{+q8|Qdr8RPmgF`Qg+bB61#6`-AvOP!zRQ_zGHgG zl%1hMd|;@vWyi*Kvz~l>>I3)Bc;fLjD^~2+3*dI9;&BNRxt1XVuRo1@3>UFo6HzTn zyA0ijw6By8!wE5#r#VSbH9@#3qep5J^+AXL;DkYSY=$Gva!TY zb|7jB3K2<(aGWKcjF=M{`wLYK&aq!)*b&L1Czm73o@tDLVw=J|`~{_?{gy!O%y z%KV)fttiTRC6_&WEj>bb#Pja5kz?1g7G_>DEqn#Zcdw1<# zvwZ2iSu~wuE^IQ;?nk6_vVHu7>4_(>H6D9Y?wM)-kICsp; zDch%*=fx`*E}lH5jG&^X=P#ar`B}YM+|~1^mD8udrlir8Gslk>GQ$csK~X_*)J>oC z3zu~m!Bv+%=4F)>KjC{EoP?XEtvNB+ug7GEA_IztYZN7VI}YsJI&bzYg`!8Mes95? z+3Q!YY}mhFdHqSRUuex{gV>jo$)QbW9H=PKtZwv2AL-UuzU zMATGbpH3S`Zc_2M{THxA0dU`C+vM4{2}hu4bQ!(mh2sM{?qp+$qf&?>xmtm)R+a%% z5*U{W3i3S06NV?~nV+Hkj#u+>h6V;(4>#}Fx@Gax^PYJ0ky+E9*t~XC=b;1HNVgtV z21`a3q3;KB%G{iX-3+k50Zy(W&Bxdc zm;z8>@*rrCiVY67H8=0rvU%yEg|lW%pZUbJ#q(w#+PyW})vBaLnIkYf(v1vY66nYGnN;#w>?8^ighTd^`4hyhTW?`woEpayIc&L|( z8bU7VaE@5A#zrh5ems0O2|XEA@AEKoGhc!9`^8`;4ebPbM=oC0O~dpTiA1EYU&)4@ z+qN!Sv~b4b)22^*bl&Wzc5PnYd!#`Xif{THqWQo^u6QNGr71=Sygce|M_9r}85$T% zk!KCdIKlw?G*;54_oFnTVcSQA{V%|egd(NUpH&ll7UTVip0?(FyH+k<@W|Au_uYH1 z7TB7L7VX)-y}hL+I*8IJO~XK7dLr%X#T#f8wT5&l*d<=&W8XJE@Gx2ND45c+%%)xWwJ2H8b6_Di?)w6J4BQ_p=oM@ zp$ajAAI00DWtoRS`e1g6$1H)QKvhac(gR(s4SP4NTsHH`Cm+23!SCL4@3e;>R%&p~ z%9ZcTF^E2NV&i>l|Wor+#nd z6OXN2vgpw6ZISlF*}-mAc7Z9)D5FUCQ5nuqdog1vPCwzEEYXY4)-y<Zum&>G_cr@1C)!lTkVdvJZE0^ji&=pgC@BaH9 zo;r2blaDW7Fn9aLwXFwsN7|a4-qw6XQPzMNMr0&YOu#1Qi93YEY{1aaejdmcq#~un zfO0M4J+0k`_c!d`zJA5hg>#;I^3g}{yXU+2-hIyl_uc=C4;SG=YREnRN!p#?5LDy z**G+~NKM$DLRX;Mnfn4xG_eT7R6;fmvBbKlSU_+ZYmQM!7@lTR#}|MZrP8xQQ;t6a08!HAw6!b#_Vg7R8&WWY@W{hZ_O^e)Y8OhB@R zwU)R?J-cSPGTSD0$MT2@4)2Tun{g9iNnN&JPpwSysmRKogAe0iiQ5-m-M@k~yO?}|O>5or)dhWax%a-rjzOAXDp{KJ; z88RoK{j$9BNn!qBwV{cK?S+>!-Eyk1M7mlm8sMjdEL6ar(hzcFr%Y|wbKBror}s`OIn*igK;t4A4^J-c>o zSifoI^5u)>KRs*u^d}!v^6DXFgOp39(mi+GbN6@d#-z3t>J&oMhN3mKM}6M)=jx|> z9{BEe@4xrE>eq+9H+9-0kIbGibIF1Qt5>etylKmUz5804n|r!?ha%BbTu~6j7;#iJ zgki}w%b_{TvAbb)coxg$a?fWMJrr{1%fdnwBN9b~ShAW&4T?g@vG;I2#h#Gl>y@OD z7lQ-X%Q_T@$WXsc$Le#!?Qwq9jqF%u!MOQQ?qmm%g@Bh_Mwf@tgOFXrZoq;o;w6tQ z7w+U!D1vJB9EKSW5LcujJ&adqL{${10O~+i9!IDOyj%jr8T2X0W<^gaEGLtQB?tQl zx;wjCj*J=#Ei!&PfQUm`699rIGQ+b7gGN(4ANF zRgMXneoa2KVHX@8IfjTR(}Hn+Dws^R+EZ}V03jtbuM+wm8(*?7OJpdwu>3pn$dH-} zj3OIL1(Zx4L88bTcpdUawa$?}gry>>AYw4M8V5O%{X9fb*|Zq4bobaJP;l~!!==)2 zsSIQVMi6c%u`Gosu$A~CrAkSqa!@Ljy`uU_5tq_hO2a4(pNc1w@wig*u}Cz^DF!JK zi#y4b);U>CCi*UTFV8D!ZuB_TNphGKvW z3o)6vx5bhH4_gf>SyRvkT|SFd=oX7MSFn^HizRBoar8I>x|(NQ_I6mpfB=~%7kLR> zHcw)e6?xjCO*K?0e51YTv4O#~#PXGnDx&vStY{b@sy*tZ5VDLe1|JZdzQ<1mWH?+b z>7+qb(w+hobU^wFp#{X{(zYKWF`P%SK>niyRITlDfspT0oq{<%*ma^=U+8Ks1YHY~ z;Ayd-y)yK`0gs#eF>;uZ{SF}<)QZ#xgDO!K48n2<@KE4la``Y9B}A2(v!hJl$QPLO z@CjY)ky6gl3?xO?-lCUq04Dd*9fKv&O9jiuc~r7;UxTG0yK0*Gq9 zrZ|0!CBCD=2K^LBAl)M}#L$!P3H(s)7c01j_zE^kz(ROshE^FhJmboWl+r{TzJ(v0 zNWW|e;}S3MAxt9C`!Zh#8=C-lmc@r^!fM2Hh-Ie?1BosNc*k?Z#t}lHLNr+Ncqc;0 zGsjQ_rmAJ1vE)mi>U`cbri8I%`Eg;rWJ_-IkZgveF6eEV$1)(j1QbC)a@ksW!{W*j zcw9gc7A3tS_pd7gpXkI`VpWe(Q1%}Yb-r|_BFC^O!HNp%v?76-HJkbs$jZ8lvT-Q1 z;5zy+0u0X`gaAM)1MHFG=~L{qP!jfVC45m}2Z3xW9-GLJL0uebq1S6Fw0| z1$?_QKZXRsd;yG0JE2Bv@Y#t$9iQguUT z+$#j;McBqkRu`C33PP|Zz{Oa~dsSG1VZ74qL{20uS@;acmyEr$`Y92XD$G}QRWdou zPe@TveT>JqAde3i#=;W2ewK#EPlN&LrGnTvn>P&Va1u_8M-_{9B4ROPCCkQ@!#2+5C2IX)KhBqnh`pv#aJd}aK!i6noDuvC-bBt7 ztlC--$BkvAoev%s8I_&zn8A|ArjXl_5lcqThp~h@ienK%u&AAite`MU*|ZVGapa#e z*^`DI+~bp{j2@XufvLz6{9&bmfulkgOB5-}^Ke2cQ!;QaS7(8T7lISrRPv`fxm0kd zk%)`v3Sg|+m2<1kGFO9#%=0Ul#y<4pyo~f;!nWN|tdjG@<%U!;bKHu-NG@=tkjG&0 z78J~e#26VH#em@?yiKtwte75U5&tCIXuX|~m`6ue`1)d`SQ-IZTu97*zC1>e^bFh) z;|X9KT@2B91~~;P5o!u7aDNQ*s4hpFDPrK;c*9B0(2((d@T~+(E?EJ}TXe(eB9_gn zPGCSy{+kVB?C7Z|8sJHG39j7n&&7jXd0L5kGcSRSv$2E&`DJD&c`wMokd5Pvwd@*a zqeZiDmi>65$(9PZBFpKE_g>xX1_F2iG(~Y@g49%*@j^+5*f0 z!IA|kVouM>CD+a_u8f6(FIZx|ByP1$efYBGtC(yax&QNcDTDJ3LcdBKlCrU63Y3yy zi6G=tGf)`MApqc038+l%<1#9s>D0$~VB+Zj8(n-l<~)lNpJf;qoGUqi;HR>)5@3(H zk)l$TUNXESq`_b-AXEfPvcEvF7g9USQHU9k3Rn;&_Rgqu+#EN;%I#d?CEvC+l9`2S zI925{{p3+z$p&sy^qASHLN?uI1}-eB(PMduv6Q#5gtMVmjcIbLcuBG=^cL2uK@}^6 zur&7F0StVd@RLI=uzspi`>uU@#bed&nGSgT`fP=zHrXj}oNix)5NnBYmF#g%a5D5s zP!xOTGQj8-KJUSVx|(MYGChj|#uC+W)5PXVvHIl4G4n|FO6E`wOOA0x z*aR@lfjd&a7B2-}sE8#`$^~~^USjP{0~XMalm6Z|mh9>oLX^=(1$kwo*~Z|_oMrK+ zfdLtaJhN+9NxCLm(0)m*a+pJ%BmfFle}Fq92{h)g7s`BzGUw=dBWM^b0;OW!Jx`hK1=;|weV0m zbJX`o{PMBk%1F6vRYoq9utYJHtH5!LkY38lSW6G+h(@FER3|{u`7#M_(;^^tKM>e( zafs&hxeKw4fw775JY0R)D4T*d$5U?TZHzIJ(zash!{P8Ihel1bvx3bxp4G5oLS7!Z zhsLKX*n|wp6A#p?&IJe&6xd8iJ(5f?v_S9TvGwt!34^VPfh#hnP^dcwOY&?o7aws& zKu@0MV=zCF%nS0q!zFCuBnM55cvX?vwWiDg0f9u3`0*iA4` zOHVHF7$>39E^+Tf)5$+a|kesJu*szwVy@r;A z@Zc^$O29cTDVb0$1{9SU>Lt6+40oz5WOyY4@Zj>+oXk|{jB1);5;1@%;saQbisp1> z@~$9+CDIjTGdf1H#Vzq3ql%IG_!)d-YV~7Cy%TFF_w^Z~R{f5iBo((EM`#Fmtt zb@pLqzjd$~-Q!}H0DAyYb>e1p?ebVXG)&i4*;&lu?8kHp6gRc@@{@>8V*rcfHX=4e zcSRlfp}7PFrJl@9A@57rGnLerMrUkyW+0U~xKt1nQ7E8l?o&%KQvc5!8#q^r3}9@q zB=BHc&sdVe;yPjumX7XNYVuxKh4WGefnako;MAp&HCAOTQ?I|g%)%Av(X)U3iUhU3l{man5VuOG-XYe*rsR=M3S*c%u5*>texYE z40V}uRls9HD|xEFvLQ!u&d@E~99K-qQtSE2yt>%!jjB)1?gm4~QP9Xh%F=8YPH28_ zesVNA`Ks3uUMh(`*H|J!Rm!tLbh)F8Rkh_;D@>8&9y7h6ShWkHTBpBx+E2cZ09P~nqvR!e?bz2&7YKv@(+g<`*HRTAW6%hZ#EDw<$k z4#T;&_RDEQM1nc$dOY$neM;OOfZ& z&y90D%#Vi{6W$Od2{FLDg@HV`#pih-v-Oi)R=)yyMmgyOQj$~hd3vufmh3B(_(kYl z!Z1VpqpI|!aN(KFD~v&=Zyi>~?3FspmnGVy7W|xLNK8a8kq4l}2jyKth6w4SsFx_QeeQL=GD?|h?BEq3h;geT`0w8^=|ct9ANoS|aM z^2lYJcoWCl(qvOO#|yzpR0PeBhXu{2XKBCv7*+Wx+c3$ec?>S5ybL!J$Q2o)M$e#! zZjLmS#lDNVmo=4NQC5G*X2nzE>(iU!)T5}WJenUML*m@z5?Dav=w-vw3ok;9VLyyj z7Fn3!E1UzNw=lJdD+SD5AX$MKuEX6ttdf>N!D*mqDLM=bgfQcBr54uBc4 zIeAl@nw5M{Mg==BlCiw{PnJ5sc(^!1hW22d(7dmd_#(K95bP=N#IQ8tPiFsMxy!NH zj7Q5?(QJ4j2TJDKw`G7#UTqyIFD1tm%;U*~elocqPl-&Tf~T>y&Z3D)xghd93$80u zC}+&7TRf`KYhiH(k~iLQY$Z*T)24ic=YR@f65a{xI} zVvh?qTuf;*)vy4-@>Tf?5Z;HmUJHDHS5@2@x;PE;!g)ocr76Sr<=M{)GWSS^w=<5L zA@LxPaPd-R$w-Rn*#`)UaHSGiqy~?{4S7@kq@)`!vivUDjM?T|CfQQJ+1q5DN;$x) zdPHl?W2Odi;nJAOY`VbRab12$8%rvQXHm9PTzDlooOYEza{}ocVcyhkGhZUZjH(8` z4%b#JEGZOfAA}jJ3cQRI^@_>x!kuP1bbDJ0)GkL;98`Q}9f!1i5r9GU@l}Nm_p0u1@o~(|v3w*g zHjN9#%ISOxA0Ilm>I&vkDgF8?<@tE^ndP05>?>f7$+jfx_+-_Ium@8@`5o$X`RD5$z|~?Cv!|X5tE(Pb>t!CfyeH&_b074 zk}Ul>DO8J@YVy|lr#hvjT&l`Tr*uNUNG6pO79!D};be@IQ6`3C?Hh$DI-HW2bk3*j zI+(yL06J6Yi$FM+E6?3cu00~Jnj`{(t zv)~ju7n8bzeA>xArt092*#8laJ<8yp~RWY7yXOdK>tRs{7sOqvI z|0`oe7tcmW5EAs-x5yfJr0fUAx(Tlqvy&5#TidZzELwHIxG4okregYZ-i}(CAE&EX z#ev6A3V%&ThpIN@tE8&^s?_ozGS$881MK6gP9J+Ud(TItvCkuqYF}!c*W@HWjf>cD z-o`BwCZq}87>XhG795I!R)?i@IT|b#a~W~UaEURMSnZ^3kr0NBp@OmXYn(R?=WSvr zGH!0juXwQ9z=S*h2U9#UShXQvC5?N$eb|^&LZ?@yvDdd#Y;ZuOvA4GO*q>t`>7&!_ za@Yo*g)|}Cm|eIQzmXvpT&b^sC4Ic@1F~p$FxhIy))jKQD&$mEv9a&<5g9&i4r3rTT~%ppiHu8(V`(8xq9QI|wcSZ-{Q#IGqkv0hU<|}U46x4)W%?M4`+Ta?5B;fNgW9XTRVGY ze>WY2UwgVa(J6TD0DMwXzru--^u~ZnJ^FJ{Qcn;41p5a<=XTR4?d+x-nOlc`IKXIyQ}P z1sRZ<8?L0G(v*UTsJx=DP=dYiYfq0Zh;AqjOx>JlyUTpFe}&ZFqf=j3mn7WD2Xys! zb@g}Ce)tIw>*UW)-nRGKKYdi{*Voq@y`3GsT`K+DQ^s6bKU=4MNO+5WeolFdK6rjR zANs9)KuiuWEW?nKT4^(CKd{+2(6SBXSkdADeo&4&@RehCDe(tIWr?RC*FnoA_p*>< zm;^VtGE$Y)Z>?WYXM)ZLEKMlZfi5cC{x0*iw-d^uQ)e}7OX}cc@2UDpr=HICsy(4! zJKB5bME%**(b28;z-M=Rn@;dm_RCM|M03p8)X`S`Ye$=U620GSa~l72yoG+kk9hu% zNCHvJ>i!I!YGbSZr=mD=>Lt#DaP zE#^k*S57TmcziqWk>{`a8cu{#$hxZ3$8{6Sg{m+@tA|O zB#fSksJ4xoy6lkQBE_FTK0Q4M{yw31A_oLUJES)Lq@*&cn{uXVe_Myne^uQ27%T`X zYb-C$eA;LY{S+$S@xH3muG10TKGJ^VNLzC=CfYutcjC4j(0W)WK5WcJi{5T;Ztggu zu8gO{xsWP8`Uy6g@nC*JeM~29jPoK@8=V$15w0rPS=tjKRRc5NF$Pt) z$Tp)l5LdR=65BUcsN2y_bnyg8RYnb!v$}Y{LVxq2LkIRX?caB3|GuVudmH!dY1q5F zQKdb*8z3FjX_rpBcOIxpyZH0=X@4l~P-)*z^|@1hVuGDJ`E#fIw4V?7$h3XT_V&Fy zw(Z@aKlkk1uG0QJyZ7zhwP(lnJ+Ob@&Taaq?K;7c+ti+L+KvehmMd?Q>)XdzU$p2|{=*FoDzzMH>TYdTVnZ1kl>kwO zrUXvvGs%%I!BWl5o19-~RXy|L7ZE{q~(-{?^yN{H;4f=?}j4 z<=_7rZG7Xaq4dqKRUh;JO8UdEe+4(x^Q*TQH~r^7_{Jaq{?~8!E2eLccV)ewum5w? zx9|MQxYzmC?H}y_k@U^4{n77#^N+v%t^fQ--&X0*{^Y+tHuZt^t5>x*A4cWRG9IHA z+Uiy5C?qKH`XN#sv!xO1BCV?x?@E_{KMW>3{h|UCrd$NfT-&-XUr7ggYkQ zamSO@P*I+)|?qn zwjMr&c2Idwf+z*2Dvu8dN*cc~c5MSm95gNdySrNs9lGbPyMFN(rc6=~HgSRi!^b}M zu{+@N|Nr~{;2+lC+x_&h@J|x}*eXq)G_hu~N|Qb@rS7X={@o30Rx3rL;M9+B>IuQg zjvFL(V^cyjk`7=3mV}N_)nlMz_l_NRe)X%hHIp@~z~30+`KZ5-@w4Ayy~Q14UN-#e z$3FIdD^#l=>E|5%KeeWe`$%_;sj#;@%zmjmZvUr$)qqh3WJ1-ESf&#dQD`_#R!sHj zU-{&$8Pi*vn=wE^!7hfA2y&56*a#~wn?$ftWde|&wgP0`R@q-h4)Mt&s6?j{QKCLzn^!;|LOYjKk_$j^~60@ zId+@FOg_WEl=)C3rY!JiC^#sq35*_03S$oy4>`&^RJu8%^6F14((5>({LQ+-HAdvNmt}2`5jQT&r%V{`9|abN-_K zYSq7L-=xX(WNKrg-d6ujhP{&}!p5Y@@B;94677NSlj+?gO$vXV$nUTBL)PdF;_V3n zX39qRs+8OW%6mX5fgbC?1lr)wiFhOUDtqJ^CQXLtk)Pnzm9%^XR5r&R73jab(9)nR;)Pw;^ zA&Xq$=Ug8c1@C&MroE4d&q_fvnz!(wIz{4Y^KvZdby|bULO< zlW}jY?8F1q^I++~8`aTIHCSX)A~loYktR=uN7X;q;(z!?^w@kg{JBov6!z5A@bQ$H zn4fi=A)&mgfvo22tuxtMi#zKe`{Bx%({c=Dy!x9`S5K+F{^NS1w)U3+OHB<8oe(l* zI2kP2Eqw|(c6>l#$vAGhb+QY?q&j%m+PZGF<|RcjK#G&$LF?;us;i@odX4G2`uduB z#5d%F-U%5+*#$q<>jbZl3BK3sPu_!9P&?}D5RG+wO>-7qr?!rvs5g)m2^EliR4f*E zLe9#==xeH-=4*{4I1>L5^Kd(O0)6*dc`w{mOApKMZdI|+GgC#Y<3h*cG z^V53YdU@+`KGb6_@-gZw4w!by8SdWCB7dcLEMj z7~leS=o&a>itqrGJ``>ZKWZHpiguB)c1soJ@-T;)cdV107FXBdyFmV6KIqJ$x<Zzcvj&WNL*;tSDx=tem9-a=aGu#EQs{yEir%6eDs6PcHjVpCIjivh@P*`f$ zSZaYLt+pNr5oj#wUNMSx`XN4`;Upn$bn665{XN~#6x)ti>aeh+d1;d7C3uc0rh3-N zixckk>r<}Q6B6`mPMK0yZz^C-9ly0MM|h?BdOm9kmZ?-gtG3pXhA>#FuNBErgQ|rA zfGh;?t>Gh~(BV;Q>j}(Qu61?7rEqDuU9H6u__B1nI(~l51ym6bDg+PNgYd!Y^R1bz z@RWLdJGN^KB(6>23Prh1&%og1oUA7>;lGSPc*Z)z{rXopI)o+7P9ImN{n9V~!o7Fj z)p$T-sY7^4u+-Bnt-wf45}d5U5-O$cPOZ3vm&C?R(paiNU{L+7qwJ-G6@aS@;!XVz zK$?Oznkc%ao`1zk0#^h!!0S*2$FFr#rbdB4;nqTNgK9!xfz+X|dVf9X&RPUmt(;3w zNSUkmQnnKh)bMAmE@cuQU><5sLQ+sq{_%Q#1vrL^75CH=i%Uk41(yxOOLYc90w>G? zL>eLoUWDoq^AtS86riIzWFB}rsylUfBY2uASbg!KKaMATe99*jnm(@nRVOGc-E-G> z8V?+3g9hua);4tLbm+2Mx7KklBf^rnZ-^xdD^VywdvIEKx3sh!IkHY+NqA}UMD0M< zF`d?OJ_vqH!YmXlVJQ$VG1b&F4`VLX*4MIxkPFrTTI%4F>L&eU%DR?pTwN_WM3#JF z8%Kga^qiz#=xZ&3t)`A25}l?RWHu-pT>|*-AFs#lx@s)(o+-$*h$YSkd~v~&sYHlm z%ZdRYu`)6{;VYx;=NeT{c-GnbajfIDoS%|Q2&P(+QB#l!P%a@CSy-z7NG$dBm>9TF zlc??xmUoqM0vpDnL8Yqq{ZX%~B+;v<``_%0v-dvd66-fu-O1?LF!xk8xe}?PPB97w8#@5Yc|4pVB_2mNL|_A7%4Ijt}NBVZ4(S<`PPx;~-Uy><;vwk7RY5|QbnV?%fo zx|&s&fi)zSJje>ev-k>FVp!T$N=+MMA7dN^18&4#NrJG6|0&K<$ZZBW2h(zLVCmxc z`2G%-9$?J(VGVOT)038izplLG)5Zptj*nk``3D0_o`v&%+!Wl6DiHGls-`&Qepg6| z_3dac_=~Jjs2)%W0q-G(;b{U(U>_RE@6`-?+sVj68cj)?fkX7=d-!Z^m(~o$n;LpF z7U!TvM%g-%@A9~s;1$f8A5<#9=!bLg&6Iik?2pO;6Rvr;`nFJx+4ghY> zgyJTVK+@CftmIf)fV4k$2bP}t4`2D~H-Gi3b71MbU`eCB*Rcd%!qAD2g_XOo)Qw_K(3KcL;6vRzdl_j8 z+)iD9u5^LK5-dqPptQ4zcEVeomOD&lO+NuIc@tEj1)Q<9Ml%9S15SgJydT%5r=Pz4 z@BivA-}uEZ&W?^QcG3bIOnDd+vnwyHI&n;QpZkQ;GoMm;G_d4)Y46q;O;$e+NV2Zb zPYuvzPkEC}U5((@tNzGV=<0T*+lI?`dYJ`{h?kmVcETot-T1qiGV|M@%nO{72GzJz z6oW_P6dvr5mof1$+t<58IvB^nPBWF2L(6(^y`D+WL1h69A&1pek?=z}pimU{Y%7O# zEXnD>>W)*~jU44rS{TW;&P(%Promy;(@)+0im)^$nvMyaXFfJ}KBnRiUV8ZJw=w~~ zVCkAzdieIkt4EHdF;RBG(#i4Zv193XerIzlv^RJukkbS9UGW;_o|&DeRcCr%w>&?h zzbt@{22%)-IU1$xpHd`+Rs;$&0Uvf@6rI*vLPi`8t&OdSLH)*)Vcw4;~AOOB|PEG)3gl6PbH4VM(Mk zPG*doNDe(4h?jEYD56av+YFf>MVZc^?3JA(3E<_N*#$ExpT12}vQ;E1?e4d3s#jP^ z-||--WP}V#(*R4{CaF5mQp3RulJ<$EkrN0kjkLhB2}=t+fTifl zE!+PCEWPsbM}PNUEAKsGoE*)F5R-tM!l4?&nO9*}A7@6uCIGCe%?R{HeQP{Hdd7FA zfDIbj15o;=Q8-aW0T_*A`DmSjCBK~qz9#TYlIxcFC^dJ|hzWIygWY+F5~X0(305s= zC3YJDQ+gJyhgkxmEquxXx_1sHVoR{8DES)-* zE>4ay$a^D}c6ez_HMxeR%d^wO(!crF*J2#90x1CIRqRf>4r19d@d7hO(-dIHZnFYi zXbGd~aHjX*fEfts9N>>wrXMm5E}7J#9~VIoltl;ufmBD1L->V;w?-lYl!ZAW?hB?E zXNvD7dnqoG*EH619m1{6aOJ+&;cf4X@9#v zwvnmq$xE-lesXkp=1+TFoCGh8hdSR$`F$NrH}KL$;iZrN{_jm=x))PSW^oaSuSWL}FW9XVV2afziNnNBca;KBL1&)Vfgrs&GmhXsJ8cisa_ zdz^D3y);K}*W@p@EB{zENpV)062cu&k!$UJp^C${)liepoG>%MR#?J5vH{>0WS?+w z5s)Vq#R^HKf=kXt+7Oj-h*T&)k|chkd%azSc)U$ zc80bRE}d^PCo)M{07ae*fLI#ZdyJicm!Q3u0zduqQ(pm=4i7_qM~oX-TBj@5SgNy4 z2A1wSmKJ_nu0|}4Y3H8z9x)E-lv(hO?r>ArLq?b;*&%ypNm)V{TEElL@U34bbuWPg z6PbYIa~w@!j)X(OAQ<>06h=d(o7~MODc%N8HH+pZA#@=*?ylH`res83fhR#nzN9%dIHifX`Px1-lU9H>K~{kw!2^^`1!3#`&4D&bS-Yw16nu^Z5Ha$ z>y?=q4egFOa863Tw?Am9f8hOVDETw-_s>o-kP^~69&(C2Wu+xT>4H#N;+vrK(FICA zgmP;!#h`Pf-YuqgGS4(;l(R@60ZR1a^dv@%=x4xeY-*juMke`AlqdZU`kyC}qk@n_>}|48g=p+LF2yih)64{^> zCs#sxt0xz_JF#?i_167?CCu_(u(VvKgt##rw;*aMp-)jzsxoWWQ&YH|NkvmJ$SPrW zJ&0w-1+C1cY_i*0L|7hFh(mi5Mc&QAJ_hUjw9w$%TIpenA#_KPT$3tCH=?VA(M9Xs zqTA7^zeIB5+r*OBu7{O^9f2k1JcmJ79t95Nmud=eA}6L9R(6hpnJCu5Y+Z1IJmHQf z0$hMI!YvmMxwK&E^blB5w(^o$f%5$2qcxV^{x6Rnz2g%Ez)P1mg}6m9Ev@62SK2#< zD;@c!x>Wy)40kWS1DCl*2y8E+Lei!;LhWqj-13b5^`xNAq;L^ z!@`ckD3cVoZ(BVzfaLc8(-__z(*&M=>P9S`1eP!;_s$wiRW2<^t5`Z;#ki3YxP`|$ zTdQ(=Yf?!>6uWD&qacEsmhKvPxU@?%;YXdV(fS)B5%s_f)psKu_53J2kkdvs$on#s zQM$62O*laV2}|{MbP$F%Z_pm$qb0A#ty^0oVSJJDoV+CPq++5n>cMF1B2obF9JvMxC(EOn(}!kDFZqWY?>vu7XQ+N#BU9AGt80P8h)wBlETNgIv1lO6zf zNt?IGZp!A2yj`6(Fp{^_g!lkr>{33GF?58k=Ig4O8aKmS%n`7j*%8sWfu!gNidjBH z;Ku`F5!#5Qst}U!Sseq4LE}@R6#ra$&63_D=Z%~|M?&DQ|ME+(|NQ4b5{FSvj~DR` zBx&)(T_jpNaw&df z(yv;KbV%`#YgR}K{p5@T)QYeSpuvDtqy@%JC!(q)&Mb7WdSpRuwtHXbjR;yq18-fU z)Y#0#BUP*bG~={wm*S7;SW-j@p)sBwv+<`YP8T%EBdQZr)7#86Xd zg=~UQFo7lh3isOST_9WD#UtCD!AdfY5<~$Z8m+}RY*e4HT+K8{Zk!VVIc3$dar_5x!!Fs234w!i@P;ur* zK;vR6hPND}39vC+0X@h)%E{JbC5M>-PQglDlb7bLW_tU;ZhPwX{ujUSd0=UXZy!cE z_lKJvo+mHG(5;c}_SF5MbSMQxTCH0(Ba@w2R_20}xtje>j{BrrPX zJ}qsM6A?({rAeqm6U*Itt9_UTg!Evo5~Kv$f;yc!?nt$S=B}fnEwR*0OwxiqP$X(- z|7oB2J=;jM(^=piEabT25d1hoEKR)l)OxxGO$5u(dSX%aX9T2X0(k)s<9p`CVnf5t z9Q@)oBsenOTMq2rp0~i#(Y<@X5|;6FES){N99a7GgUoyXcRj1CHM>?=x_>%W^4!T( zQh9HtE+yb`4xX8{V}nj4B~nLYa0y<5vw)q|psk2$8kzgU6WdC#HX#s@&iDmm!dscC ziZd%GkWacNiG;Vf3p)lThl06OHaDp$ zry0DO1(SEU{**){TM1DVCuOvPy*PH^h8!C|3oISpy&JrQhb|H0d;lfK<6_#<<9O+^ zVCfUT|Iy|_ZcJVRmhz#V-lJ(IXE%?^Q6FxCkI?I%}$$cpM|8Z@zT*Huq5sM z>oT?*0WPrg_R!v!SA3Ld72`hfv4N#qdwkHcD_6o~Wo2F3d{T`vopckHt^p4zML&XA zuNarsYaviI^xq{dOaRkhoXCoh_7nr3BbdYlL(55Ikgq@|Cb|Qq;A0^lFSex_2{CK6k1541}|82Fv>6mTu7O-^AN0}~shQNZ%S6?2yG);R?3QM!GU=#^nag=;6 zUZW}l7*^&F6!5G`Ly!n8O_uTry)%g}?u&dJ4s6GXcvB?xt10UQ_*sFI7|9~=`EQL^ zz-2oFmJ->WPGNy4G$H_#YQJanSCnj4S{zkFY$;e0_pZz(ECK6%5{<_RR!YK#@P35z zCU7)s_=MX$2RRW-n|H-h#+&jk79F}3mWaB}W+j$93+e4XGp7Oq0dp2=x~BX=&_QdRLEqAJ^iaQk zld=+)X2rN!(>xg7#vgRz>|L-__0l`3mmWbZ)qdO#F9Ay<#=WcwCr>`P#JS#z2xh6-Gn92FKsq^TzqNc zZs?|C7j^76BV8LQnpFnRG*rAmsHrp3uxu7-VI#l*JewR!QH&$4HJ;$)ki68?z75<$ z_81mhPVy z?R~WxL-}uft$>y{xd}@(#yvTB34^=p0?TK^S!6CUk3vkG&{kd}%PR)?Dv^8MzD+S{ zPmpM>zzkbHIM4*Vf+e#?E<%yo6etR8TVRQ-G_^qNqAMC1Qamt0W`ja4s@c7Z)FV_W zab%J9BpNleWD(e?&wF@cLQ9kq4u~uS2Yq2Tix?UX-g(nro!W17EyiJbE+)dUFSmUE z)Kj;=_=P`J+MC01n!My`Z>&xs^}VL0N6S}_uI^*zl0#`c`F(tNa08SkggDv)@bRrj z)mw>FE#rUMhA!Bwgh65fO^s$XX%<0=Gu+gH1LzzeSdns%P%_0vBO7fRofRR@#6vt8 zZrvF1g7y?f2qiz$G6mwbfZt&_b|J}CG`TB~ zA&vV)8J?AqwaHAKP_pd9ZD+2MgGUC&$gXRq0;Qt`N}38+mA6Jv5=#G7FARh7_7#`T zgOyfL`q=Na&6o$bx3}j|f)EIi4RqvwD}q?24JfJm;i5tO0w!FC8H?#4=jp z)Il^gKI4r}nn`Iv5p95=1oEVIV=&OJ;v%i-K2q;dVTE(cb1#?==@NZ$Of7eRXmTaKTD3ZwYfvZiLb&KK4(*%vj7G-so@;*N`2GJ*EH! zViv&?VkSh0#0>U#mLly4F&lNq7~Py!8h8ggErkj9S?XDlSynJ-uUky5XatS3Syfp>R*MU2^5lVZUxin!;o=CMb zIj5FE`;(XyiF#~oD@7mTXcE!1wijRVTg9NDBt=hZRhYI>O1DW8#>i{QW#FBSmq%7d zJFxKbc`rMugwmBzlFnUC7zqkMOBd{PbkQQ616yU*1jIzCg?I<>DRf2wQe;s`pbMzm zwLnu)5&+nzY#ohJKzc873DW~8lZIv**(D60{QRdsJ-B;!Y5y_f1j;)XI=RGx0`II@ zsm?Xwle&8M-U7)TDEYAN1Yd%IXSy3|!v+k}A-PmpL|#xBa@AX4QeilLE0sK@p30BG zS^QO-bZcr2W`Y8bMyJ4>y!8+YU}U?t=1C1MKV2)qnzYTP%5mq1crpRTyZ zwzb&AQ;;*g2_UUTSl*>K<@Ky{28_oBh`8wcwZtQgKa(bbrg^JX1j_R#%UOWZ&jh98 zgL|iVJ}#kj!L=zKT!YfXhig_k9jxS&yaP&O^kh7LGID^k`U0RNSd?C2f=puo?@j)y zI%P-9tJ@+JW4g)J)TuAvn$~BuLJ}6-*7q4a}vYT9ng+ zPWRj%3(%TPXIwdE0FIsDjr6QIz z5(k8A)A?xLRn$h)SnRh{M2#J4ODt_l7BTzsT^cDiVu?SG7`d53efw!}U;at}3$!@= z0``DMV_>Mwq3Td#dH50PT4%D+*rLl#HCe6~%0b(?smcrij*dCf#7x+P(x%8KGUJh+ zArSl7ZBx3kshq8Wwu}*R+)xi(yZ+`>I3Mh-SbFnKV2RJ|29_>`C4WufjUkT0+j(gx z#0@O%`BKbd=2G|YpS)WvaA=)PwEGldi-hfGiuVMJ zNtq?az=>(?i6ETV?nH0&|8+3eF^Il5K~=CsI7t$Rr^HA4eBlZ|GK+Awy*{+V>yw3G z$8r~1to#csiI>VeZ?nX|iGoH^3znLqW&}8-zA@W`i|3+r6-&uWkHgY}oU(v`$GEGI zOK0~_PcHp^#F3>0mY%#tEU83-p*hYwa*3hC`DFkhandFhpxKmEx+V2s=IB`G?dung4{&7=l$LLg0{?Ix3u zBtM`D8VAlc#8O5yI9;9b$g8PwMKu#gB|L?+i98dbION!diLOE&!CwBa~1d6bftTnrNx@#>==bkAfm^A1nam7M1_T@fi!~rwm zfaGK^C;x#404L|A?n&=`u9mYD;`T@`{b|M0$x%EwaE8}hCNDj_+SN&zjp38=T-*+pz)RzSCN5Ta`PTib zcx&oTj4N33Cv|;+N?_^7ue|(+pZV0%3VVCw+3$wsO`MsW$;rTK8aksKHj+wkWcKXE zPJ=Xj3J92rQp$=c3Y_}RN5supbk~t!RG~nm zxKLkX^;eG)Ao|QnKE4>EaOI|pC7Zf0wi6fzRi;J?aH@Z2vL_2L{sxwq8X;$f=}1XN zP{mXee=QT&5*W$KZtq`XX=IqNIE6BmS}Q*Labq? zrIq>|^Qne=0r{h*4DRw}1p0KAz)}vF7(tSqEMQ4tE+ftgOEtD;dWo@Ye1+s@!yuo( zQqTZzxw#^504Ca0@>M#J;B0&$^A%z{InXTBHgR{HvQZiz3b=J6ENZ# zNoY2$C`%g2D98!;p7JSz9c~1>$|l1glg3Q|Z1bm%dA=~&*%P0cR^o#LoWQiixIcU8 zb3gs@j}l9VD=aF~9_nn6iB$kM!^&2ae7`KC^PcJ1NFLupW>bRnuiX}}o5?#cQ ziQFFz*GLNrKsJ@YhjhXCIcdj~%r5dtU?b5rpv8yN%jWfBQ-Gq9Ayxn$|`?YzbkA*7hA>+nXMvPayw#!{N4g;K&# z2qfdh`5e=}R)pL42bzebSATSH_pS!yR(Wr(@9hI}OGJBDEcs%mo)B0rwP5Mre&*9l z?SQ4FF%)^dOvdVXffbBO(|aFkk}FN+D+dF#T~FL2yR_cAB~a7dN5u$CQJ%1m5f|ZC9v)BV6O#W98R4OMR_Dd z<(QoYH^F}posOiC#g!kjI zbaYg)w3O19Jl)$kFS(K2xx9=W{7Eb&b&zmiZMrkCqyVJA6cph`2;;aNBHR?MRnE7- zk}0iic?%xFxL+jFt%cGudW2^O0S%4)YlwWiGMki<$+(p`n zjwwYGtM8KcK#E=0q=pifcn-awnnk}Qn82Lf$fbQ`1E`VqXY$ezbx+;i|AJ!)F;4R; zu{Oo&)!FIDRr+|GzY^sNVkz{}TX-Gnz|!d=mKfu%Vab&%aHAqkIs$^FU(kOTBfkrYVhLKbz);fg!_9NXces; zI2rjt7QkNv2uV1RN9r-dphXuiVB;X!4R$P>X)23J>I?7+$~23~9aNXVTc=!d+Voih z9FLn*FBL4kdFRf(J9lD^?s)T;^b!M{cjXpd0x6A2aF4Xu>A(__-bbq#_t_=JEm*p> z+1qpFDkD|!n5xiLEly%#_?XuHvc#1jR04gFRZ}9aNsL)EeZj!gm|EGv614YN9JMJd zrq7O5$rRTV8A+{XB9_)9gWHS{6nEEkl1qW=sYfH4OYtogHDX*`O(}vNEsnlSXSYt^ zLN%N2ERu9#W~#Rya;I#@y?Gsyfoi?#!}3;=lwquFV!Kr^Tg7aC-BHqObeEx z6IUK(LX0~di!L1$lTv*eZv9WF- z4a*}WH{*tjOxl`BJWE2fHtA&c94B2W9a+JbAJs$m7}wG7uw;fx%dE1A&HUdasl&LY zbSR+Jt@2ON>N8UZS!-fDpv%8zf$G&p=A<9=23qn^t1t(4@|EE5%I2jM(}Jwe|M4H~ z#JIDFab?cQ+Yf3#?ydi_dLydmy-$W-I;(nV!IDj5CfpdG08@CVt9dy%CcY_6+*j@1 zdbTnIZKL!p?Wmn9$gl6jz7;HS-je`B8Lw^JsBsoS1fw39p>htesXEfk>X<7+Wxd?D zviY!qMvVRiEIGRb%P9>7WHPN3smoRD!v*oL14UCLD_R;5j13)?=O}_0H{K;en}<1c zGZ&&>AoY@C>7KMVa{>cP<2_A}6yx4{IIx67Wz|c-(*5&OXzy!Sf?fiYyf|W^FxSew zUZdb=w{z>7XX|vtGc6Shu}9%y6**n7=>i?2Dn~QJ&6of*7Yf zjez&U&ua9!u3%d#YZiW?s7F=oREFmBAHpEBpfxL{>2zegoZczT(AU``o76`ChNis{foiLb+1=p%PS-= z1#GTkiL~PQyr#VaOR+`#Vsn^coOns@PkHZCn6{dE;*Z9KUP6B9=w3dzdw(6{FyT7} z<2=S?KkhQz2jT*9$MuAg7 z!qiA#q?ACBh;9Ii0}4zEY$E#vB7)@`eV-RWVu2m)naQGYf+!{32kbW|mKv`{`X;ON z*olUwa4La}VU(bfRu?bvc1Fa)I5cdh4T)Z_QKJY)U{rzmrL)tF2~0CrgxkeZ#JH0K zK0FY)N{18Qx?8k2cqvxSJ-u)&om|u23zqggzchhu8%y28c$3MOIVeHw1g@k23u5t?Si_Lgtj44cypkcatiUT)0PQix|_N$snC6XY?XNnC9u zavJFiS4K$kJtZnLw`u83lboWUee6uCJ_CkfhsB#Ob@=K3A#>E@t9ZS10ZsDapzUpgKxXY-GF^-0+4&IDPn`2&tR*V)| zlXj9z_ExYY90q>6$pP6YCK6!lkfVg9h;&_ANuZV48-*6}Nr;w=aqA|eb!vIqn6-5=*Seta$?C z>F@p9x96vyns3kBk@xd2 zS=3#I#DZAU)x>CbQ4oNqG`KdKTG>fB2?KF{C?XTrO-=cw5M2g4ldSkdXxflKJyVM~ zv$J(X8c-Md35RHdm&V!71E3&lkUHYh&iKW^SHmc8YPcFxnmIMDtHT%`1ZZ{k?RKLl zRX55%bk7d7$Xbe_&P)5m(x9f>GneyP;Pl6T_?fDgG`6d}x5v2g;I6MvvCdVla{`aS z66V59p7&l#)Zld1#hG6CUJ%8=!J2BP5e$>|Q>=DbyX*X>Av}xb&=uO+Tm2*P!(3<+ zzeQX07A!G@+=R5)DCDh*NkD(vTie6&78wqL$39QE23B)rik@B*q-R-3vZWa>k{R!L*36Tc zSQ294!;nKe!oG<}1$DnTMaW1sEPXdDcZzM+g9H9=_BkgDmOl4Ke|T-4Kx*&b7)xh2 zVd*nVF&N_@y@h?07ll`FhYE^DLF|a${j`p2_)54^H%(i|4*`RMM%*YSBU$~G-&8o^ zHBE48ih#89_^oMUux?2*q1J@7S-GZeaeM$nMKV)r;zlg7$A{lilUqzIhpm(lKp1ji z<6A(>0whgd21lEi$OX!hyG#IM_t5nocnR-83GF=|-5rtde=RZYxUQPxV<->tD2m?F zRQ1x%{H4pAV_d_^6eA}*3JT$EigAo|lnrw5l*eh$b_150 ztTT}1SF4+P+*I^rd%1;E+cO(!L2;pN`~)!rI$2^KBe`{68A}|hB(~l<@e|0rPAMt# zP%56h&FKURc7f6$@JV-J7n1Iio?=n#4rcQ=q0C%&$(Qo-%=~h2kxQ@r=#@9$c%u&O z`ilrp@l;bvZ->%jrkp$uO6n@M99bs#(%V>s=fA)1fJDO>3Sa*n0_fu*1P=!XlIGQD&d z%jo8-oACfa<|*S{&6gJs###cWJ6L*k!O|aki1Vp%zP6fyjG*9zC7A`D+K`lusb_7y z74-yo&{J#3a%^NmB>+ZD4!Oj+NRhFQxTi+U^NHIQ>MXfIB&vh3cE*#IxI#}(bu8zT z)pR?wSF&T?#UjM5H-=x9guG}psoxij*d;B(hLfol*!&U!MZcOwfq5_4bF?IM(~L(_ zoR>1hRVzU znbOx*K!SeZP}n+w6i9QLZwy=}vM*2^A*;2GhJ~Y_v@%+YzdLfNqZYN`Ua_kuk}=ST#p6BI8^)FZ3uUN{b2cjFtCwDnhAY0a%|v|x zn__K>3Zo7oR)L@}G8BvTCPf5;>veZJAmKKRWrhAVyYMtm!p`;`FE9B9n;*IMq>&9Fz;KPU<{~<6Y z@ls1q)Y4p5I44H8ER<>wjPVMvaC$4wagfwoz)4#ts0L2-w#i6xpn-_JY+|?)%h@yN zh{W>xE#eo+$D*{&8!~8zz4`IKIZ{L|%GQ&t{A7357(WO8%}A||7L$VmJB}iEC|l(?5Fo2hiS+!IGw&T#s>BiWx6!E>lhhmR=#2^r+>=Xsc$bDGKft zM7(RcrCy3=#mC6Km7vq)wxZ}*=8Y&?VKRNZC=Fu-#3qR)ED=}6fsXvu3}D3TUc;AL z?2oHO6Ze9pSzSNF1#5$v?gCz&)C`sVWNm3`sXZMp0i3;9 z0zl>SL91d0O&5xkA$Ov~VpLXceEz)26M=yiS4*haO}HXTM^d+wh!DASM|A;+rJT?q zm;nR51sfDXu;|cw)!^pfcFXu>=t{cSY}M#JJCX`cpSz$)5t(W4k^Tu3#y7sZJB9 zy!7K&UisV~e{SnTD2}Dcx&kXu6zY@+hgIbZ9jpjWi-Wq0iM!a2#xl>HmG8_!!JY6E1e(k!5+?)?DJpN| z?T=bV8IahHWl>OCVGJlvEb+1yw4=7@CYceH5`55jMi_IA3h&PO#YaDGVCnncd-GSB z_pZG3u;jgO#**hMZ^Y6|fAUg^agHT=QbNTYAt6*+zoXSEoM3RZNb6SK5~imT)u3QK z{e(m@8Zofsr}^{+cGEN<##u{4okpsP;Wb9)*{0qK26(VVqCCKcw?q$It-5c5Rso5H zC_(#0JB~9u=?(wA^4hzwK$$VozAeJ&YjmA zlllj}#9Cj7agoL(EGa-NssNMunQU~+`+C`ginto@?@YxBFP)f~0!Ssyg?H$J0UI@w zkqmt}&g)lr$@_8dqP?-w$%t{6gcGzk$8}eF>FoXvmhRsD@eeC6jhq1dM-73dWTc~V zSYfG17nmzLWfI;E;(=AvbcGxYK8CxI=rWq2 zY<`Lw!m*0EC&H4)xSOzadU&ui9v5RM0Md0V-PDhBEOqm--A#i5fu#v;=Oy&1)vDTb z4NL0&p!!qvyaGJjp>N7cQb8R&KoRbsMf=Xt-yw!65~8a}L^1GDO_{ns2m!i?V@Xh- ziG%>Q^OB)3LIR=UB?bvhLYf6Z`N?QJG7mM6ajgq1!{d2QVQE&1DKt+3UN>1av)n;V zlQ4sFPGq*gQUjKxl!&FyX-(E{*51U@D!;^>K(2A}URZkV)mLBolh0RP5}7MzHKM}X zz1Jv{O|RUJHo(kl$JVs+S7vF5S!iNucrDFN>E41jijy{BweT297(lW~Uy<;2PS9pc zEX6!WHF4MZx@oPQXoN0Mj}uFl@MzYnF_md&nk(v9sJLtG!^9HyorZ8h19-z|P@O_D z&YAI?rTO1QG6_r2OV_XzZyq?go~z_MxOc_Uy*qb){KFq2#_vj-}W=+5vv`naRQj^K++g2{=MA2kJ67*sq_L3TqrgljfnAi!*yu}GzGDl6%ac7fU zA-WjsI36M9^kT3gc=cn1?^*H4014tMfh0^~zZT#>Y-P$imtPp3vJ&b=F*E zm&n1}35B9n47J?Ylwh+Osim1)7vPB{;h~hOM?lGPTfoD66v9$8Qxp6On&((qEv_c;G%W8uHKpu` zr8&KRBoGAb;_qrrx4ZQad*KnSPDr;>$N1-$s8FGo^(qXgHq&%yX4b0**R|rXhR9o! z`Rx11w!(HCNz<*$GjnWrmhK+6YuCn5a>n-|R`V*aN%gcqh^1dYeDHQYgQB_KM~BCU z2g^l>rI$WG@6W>02yr4x8L!z+q!5Q^+hzK0k}w9?A{%M=IrbU-<>@f5Tb_}W)}Aev zdlZCZWWQ7oQZimV$`iq;ZVD(IE^GmBH0`X%P~_$UlJIO$y=e7D@k79n(0U?@Rm%dn zSw#6HF@eGF!fQ5@k{ktIO%jVh&s*rSZH;YjMYIVzQmDdMGN%WYeuWv|hkCi?2yU@{>kD4T(w`7ZzM_BQjk~DEg6i4&Si(GCa{Fep*L7 zf<6h6!Z=G+ z!69D#g4IqkvK?y8NCcyy=?ymx_Y`|OAp1J+P4ahZYoZG;*!07~er{s|3{hDA3M?Vk zjk1pSq!ldrly6{(tDpK)P033Su0kvYmd4skH)ARDOHEk9_zlP)7^#@Wq#|0C$Nd^w z2#MYTPMzK4=&6~yQTgT&AWDo8?_H_(tWvy{O^6M7kAwJ^N(1hUq6J*LW%{BUgD(*T z?4b$>j{KFMu}Zy8)jn1>#QIJ)M!4gdx{(q1x08}uOW`(9v zgLVU!e9j5-OULTRB`*b*ie4J;ugDm8KrH>_$3Oo3OMe=?#27b6-Czf{i$!VS{9X$UYtRD)%1z0yoTS3%S`rzt0pjmwg#00SiChq%`oOQOMO zafU+9x6yCCY~lQ7mW~!ATx$v}g^$2E#S={&2`WvIA1Lx|ozsY)$GuP-XU>`PDo3RN zs-;j%jI*1x_uqq4qw2_WsF_U;X^&7c6aW-SXF=Oukn4tXi9~ zB4P<^rp^@E0F;f0))jydzf7Daf9La&@^RX5u2`B9QQ2N$C^_<+7M32_O%je#_W)ZFvQOLVBF%~;&%@p*4y>6-R-I6-?~dW^dPORv59Bj=^9cxmdavWZkW z+5jI={_ro_rvo;LrEw+W9Es)JS+^0RnQ7$`BOQDUdeC?F2%DxFxZS{}D@3e&VwymJA>S z0KG_EQO`GzTx}J>{ic&K@J&K&A`KIDdbvw4|K@?f&1U((oAXOpu`(86!n?n!_I6%+ zmwsHu(&)#H@iBEl*m*mRwIw@cC`l|8IO#^?H2?YPjT1Biutc+rpKpU~4d4$_!tH<%aghN= z4kU7u@9XkVVw0TFvikhncngI;e%qz-KnXFnyLDa~xym`UH+kt8s|+6do0_f-@2;?P zEhlhN`f-7!&E73ME06RRYt__svaq2AF!a^f(xV$cs+9{%7+Y4a=0j+UYyee#(71kH z+n1iW{I=4501S~uzZ!;}SDAC73nTzU^rlDo1#$;3x(QL>6f+izs|5q}Zs{*9?WB_@ zVM(dW1g%k(A|&<7oD=A+iF=t=%D_jo$H(Xv8Ks>oy{*mpTInH}YK*(~9E#7Yyt-KB zy)}R`9%uqDAy;`BSd#XxyfoqAHHEn1QzRc4yvJ}-xCy;A&d;WIV2HQY(B)nP;Km zylmKEAdX)pui__)!eKfhq-QJ80<^HyDNgWtr(MN!cS!yxJB`b&^4@R6vzFJ_6Nu;I zGFKT`x=1Xow6|mFw)4`&`AZ$C%An#|X=FIF2|P(SG>fE56J;?@wJ?Pmm#g5Tq4#I4 zo1VDJO-M*$>FjnvfciM^CfY8Rg)=p}3CyGLs0%l)^9Ni3f%su7_o`Sbnx_$FZWEL6 zMjP7fNqvW2+ippS9v-#$0+hiQyRT^Cs7Z-2AU=0samn2(i?>=%J?Xms1$3BPh z7`>Fa${5=fmi7ylNIyNdQ7Usb?%^g@{Rl0E9WVnFK!>%#c{mQ5+FBa{GK+RU5FHkn)hdtv?Jr#$+jvgOKZ) zvZ_r2SoSO3zxK!DY_+t;NhP63O#lOZjd=o`d9tBzF|ZB~-Ik=~4OlwVk^-4u8sl*} z7w&P|yXKdwUP@k?ED0&RfPN>{6g;0wsTysS7NA1~nYUC&00}^)oytgPTvId*!%o^- zVW%;*QgFf#;{^g?e?+e8=A*!~SVdUstewIo&zz7rQg|XfIMN}}+d{zP#3ZqzF(3x6 zL^Ux%Ze_-(a=(tHH4$;9#K6>sFF(TtJJ7V?$+qu~C5)k5tn=QOzw|g>y6;%RT)4w~ zcXxTo%h64J3)o+;R=|FcZA32jYehO52Lx-qMbFyhE0sK@n?;fnfZn{xs`eTO9^NJ{7t)h^)JvMyho0=9ZodqwwORns0R*ougZGtm2}B|9p<1Z_t5yRZD%&WTQ~JN1tpEh}68lyRX1v|hO9*hNpdU9H zC04p%TeAlZMByT4F|ZW~m)Fd~Oa&OQ)FpSt+mz@@DJm*@OqQZCD0+qDI4A1@28Nln&)EZrKfNTput5a1nP?%)TszBNl;DvNWYV-pyYxhi-<3sp?w%4%zvR82`u6{t(z z(~XEFr^G2KXi`UPYH`hscT-*g04sW_7aHu$`FLivTf&UIYLrGoOP3u0i3+3@mb{4- zF{dsh2ums?fz+B*w;6;JBAc+J(9q&8!aNYZgls-4?SXYQ9=CqG&e0{Xbbda%a=xm- zTlaT)DaLkh7>_G4ZvCvK`P=Mg+6N`eumw75rCq{wj3$L%=oo7(^;J--ZQV@%o>%B{ z)qs#MOlR!VP2wdyZ#5C~EkdENXX@w)OXDEvNaMByjJO6~(CJEsovj!fbY$9C_-3|i z8C%U8O!Yv^IFotq@ z$~n4tDav(T3hkYGDe~Scz2q^D6{*6zNxiU58Oxwa==hB`5i>`7^`cCAdHiigbdad- zgktQ|wm}rSY||}}SD7@aW72VypLzpr7g9>?C{L&0XX|Gsp)-CtFZC^3q9Dz(ctn)( zUQV;HQdpoNc!fcbOt2sy^veQHi6xw@UjL$J_c%8I4=hjOrADAqa-ehJgWCR|(}Mf& z&GRac5AK~G@6OT1Qo5nN>m1#&{?fZ*$tS`zW2UZ~jFa)p=-R!$5stn_EUCTFHxbwr z{tWvW4NX|eJe6>3eU-!x+y-XcPdAKl2$9<9V!5WCSJ2uxh)5BAs|Z*qfT^al!klLq zdLVxbA}u)QnedM5yd-JYOFu==u(LN-^i^yk8L^poX&Tv^&Diu-TWhUgiLKTnOF7RB zF$?A%_Z-UE@!=)ku5*@Hx?jfQ0!tT(rB&X0-H-Ff@{Oj7DhMq^*OV=55-?s19C6!r zOJyZ@9t{+dQjG0a?rKmIwBYP*n}WXydrg?Zby9K<=j7UAxK#2|j~L2^Zmdx-6{<#O z>9a_wGc}<_Tq7UV(PS+7mI*YsRa(i`bY1hSEgq9(8M6Np)4ap@;jo5)-Y|IyQEma(rVk;Zm+z;xPk($BGZ^W-a1(XwR1IbxQvfx>@7 zteR2~775rKB8)e{<14pMcv)yGQ0|V-SEMt}wCvY5R=gS^5ak}(L^~FM)LX10=dUY6 z^^L->UX9YBNvE4~0+IKQwY(flczg3r+WW%iRq`3|SV#Ate)5wAOHa*=aid6XIyaXl zDj;B`@!2kLZ3GBur%BGGQ zDsetBsKt~RM(ThQ^Dt}$Qc$1Sk&F$Q0fw`R$wImAg2u{v1=C7WZX(Hw7G@`Q_%o^L z8kXFx8kZmzBh^3&DD}h=|5vc|sdpXQEziekj&9ChiZuqYCi5Cgul@NK|NH|_KegF# z3}wgwZ~5%I!PiE%zyYJ45kEF(9yU;+rcmazDnpyFl$Ub<#qrF&D6Yx(n~|Lw>4=Eh zOngg80sckh&S;jYa& zd2Fr{&kpz$rjv{Hly73`{ZIKrDE{6UnuSP`uNkO@QA56rhJ!ll^gF@H8XtkQ2#&4x zi)Gjr;K5x0#n2Ufg{6pV#-Rj6w|rwW5XUJ+b^}?Iu&~o46eY&EW5J?LQFK(=T?vU1A4@WrbP|?wV$Y2DHfVcq zINirT`n%uz?sx9KaYvJv4$ioi*Y0}<-YL&o*7XFg^y+3%6S{I0OG}e@ND3eGup4eG zEoxzw;GZC+R84UZIFa`?HKJ1lX@sFIs@W%8wEiDQgDbBXOsaa84%Z@@b(kj zg{4*4WZlBxh!IW6$(#kpZt|un*F+QrDb2)#u`WuVA}-Iu46uY~CB>-o5%j$-sl7c9 zxR3WB4F)h_Nw~5M*+omUvS?s_YpZisppvEngp^zw9)w(zGczcv(4Cmpoxh{s>3VXr z!0``0_R&QzEm(?40;^Y~)>q0uT&;bIcUb7rU0*JquO2vBuJf~>{^ZMl@fSlc>D@Zs zj*S1}C-$mH-P%ffnIqL9$ixlHLp+wgP%fYRJ!ODbcp|^+f32dmLF{#hiUM9!| zw1Sj;oAfl?-e%f!EG?2`sFI9v{5KUEY+~T9kTPwSy-)qY$AP5<01KtWJ1zCP-H{C# zueE$|4NDJ&CC=1612vsGHU0b_fBKa#|K)mK)_m??bLd((IkyD5j;wm zG@G2Ajl`0%KUirk#4`zJ2Jf-I3`jUr zVO>YDR#!9}s|NsNYM|EBvxIs2J}uV~ZUQP3`xj;+jl@!kWz2Ws3dGVl5tH0JJte#QCm&t`+>`Ta2r5tz(bZeP#=t)`iBVaN zPr?cLM*#4r$SHDg|8C_>C49^j?=t*ZD?UXmftn^IDU}OBIt{rHzn7oW!q))u8jUfA zGTv+X*-wA+hd=mxAJXO0g1#c$7(KbV;4s{yYwLR}V+m^V-rR*Eo%iPc^FO~%EN%A0 z&;Ev}4lXCK1n0e9yOUInEbaKNmoMWlJ zx>=Ix!6Luk@x0RxmKJIPmOe;cLXKnBQknj)C_NOj)nnU@^n-0d z`eM_lYc*T1oZuOr!HfRBurc>W0|9YbSCQQ76^RJ@7E)&xTzg>8OP+NB9#yf}s5$ubHhxJV3sbsV4Rh;iB$=j1^l%1_|W4fP1k0~!bagvseCVBAqP9#oCC zxY~Aqyjq^`9WY;$d0>g_!z)Eurw2Up(UYOQAM9XhWGsQDlC%_-UXK`u0$!p|`Fwee@?$+OsI^Z+{UF(6R$aSx{E(PIe{y#Z}pmZ6Zinq{_rhxEPaYt8l<$t zOP5@d;*s;xuh%h-SbB&Uclq%CImYIepP!$ezVXXn{vZF_e|q7$XGh-K=fm(HQeisQ zCQiW`ANNL(<_rPu|+QwYU89yvMS|{x~i6SDT)TY`P0346+x@ zkvHV)8qzFWG-mInZNrP?Sd}*X9Or0SnTCk*t-4F`E3{giIq5V`>a<9pHYHOdovU70 z3_R?S$c_x}pg$q)rw;URnVIMsfZN~x(PuyX>MJjw zYJxY{Ho2%V?ml_x;e-GC*Y8wn@&{6`lmNecfE@Vwpr+${_rCr0ul=i!e53&=0}HpF z^nbUW96L`u{@?q`zjrz9$tRYp*hP-g8B1XyngI!=hl<{EB~SYcJIks>oafNV$u9u-ES{`j`D9tUH7~IW4B)T z)}Fo^r;P#j4Q&%Y$$xp|R{m_{H>>-{_>ap;`N{X(>Y%> zaOR{mg!h9or0ZCEP;&y;7iR{X9&*~i$brB9v!8wC%U^o-nGcNBd6ri8g5J9I#IVgR zwaxpU0AQBC(dvTH`{Ju71S*Hf^7nm9t#0WEPG2s&L7j(1pBN|jU3BrWIi#LI8OG+< zLEDd_Ea%*W@fEgJB;V%=sLOJx)tNW_+>d)r-^aoLKs1DYSW8haSoiT;e5}O|H^Aw| zD_{Wra8w-MpdI3x`qeF7~rJav(G=jY|p*${Bz6S z=U?!@%fYdY{TE(*VY$kOKD7LO{>2xbf8oXFUs(Q*^Pe48dtv;3#nP?x8Lm&C=fBA)% zKKJdffA!8Uf4L^5GQs=41IZQ9J69RwcDr&9hnjlpDuz^+V0TI3bbNg9=G}k(+0Xvr zM?ZY|@4x^3?|tv@|L*UwegC`Py}o_#yWjb{?|kRm-};+xf9u=d{^oDCee2ub{Q9@P z@wIP$^Bdnj z{}$V#xBv6cf3~Qa^W)NYyYMX}w=1Q$A1WtMw0C03=btRB^%isBiw<`p3Z6^fE(WL)S7(@$d322J%*%Otba*yC5^`RP+$!F#Z%f6G4(`Q1cMk65 z{ypwv7U*xX{U&D~=r6IYBm7ovw>0QIG@@|69Kpdjf}Oj<{IY`+uyfb3aqrDLxX!`d zH{ZSFDE#rkJs5$17Yq1t?1yo<$;hxap5`9-IXOOe{9HPOE`5gfr3bYV+Ac;%j95Ys z?vilwE!H{FUrw-Gx^m-jIq{NX$wyU`3J89pyANooJOK8&r>C%anU5WEC+F@3 z*v_ymRXaQ!55FA2Qw{@!_;@PbI~DJZgF%C^Qr*Ia*SCY**|m8Eu(sMj0owo9+hGCc z*qnzePIiiPGXCCB$l-=ZhsVP{K*+tF`ko%9F=IOu1tFU~nr6_#=}v31O`Vh+OP2tE z(n=aYS+I2fDxPVoKpMj+j-|IBlEEOffG8sKl5~eHfK&@}zW{q|4ls~spzAWY)M1v; zB8dS=M`!CTPODpdTa9*lxU%7mUojTnh_?zk?VlbVD1zT`8acKeJc#qxcew`FqZ=We zm-RCHedB6Tl9PJQ8aAhoF9(2O9 zPH{fqgxPdRG6bj)Rq=Qo{$8CWaRsOl6YuM<4h}?t&<5C+Y92d%EwQpdg?13dor~_U zQtNwcYfJ7J*3C|gB_-YjDt^{j0+1dCqSnxQK(cyt6*T1+yu^TA z+~NVBD_`vZUA173+bX9iP@W{T)=_e2yUghMn{IC5)S1^Y25{Eqz&>z`7SYaeIU=&v zHOI^`Ox4iw0Kf2mwBDl8$NTI5ga!arp+JRx6kr#KxQZEfmZFdQEREu8u+LA7Q*v+`B_`Qe$!N9R-kjGc?I z9bXolTyS{(7~@$N>jJMY;#UwdFCB!yQXH%Wz6L^Uqjys+a8befsycFiy>tJRaU74sTo!+iE%-c;P`8u{>mU^ZX%VYuC7RqAWpJ{N zEaxBK$XQ053@)*uO%*Q3=1u$?Z3R?E4xSZMbc;j%y}lj9SEs8pckNS(T2Xo|JfBoX zMf1tWYb%faXgD9kz4VO1CHnr4v?+~%6D}M@7Y~LC0#?^FGFpGkD!)8C!QcC*$77?5 z(Oc6UVkh?HmFL)3fZ(t6TY2TmNp~z(mFJCH$dAAbKq8?_854g`)nPn6OdU0#f+Lhw zLzbUXoI^Q}C#Fvi@163iduKY~49CaDudqLgH2$u(al(;r<3>3DP`^5e`|8X?6hJp! z3W?jHz(-N|ss;tELtx1b8|~sV1S*@2{S)7k^p?M%m!O)uLG5to{9O2n=o6X^#CUw) zpHN7uIa!Y2j-CO)7CI-C$#F`8b72Xb%`~c6T!Ablj~g%1V=kx zRRkso4|&?zW3@LS-x5zGd_> (y & 7)) & 1); #elif LCD_DEPTH == 2 +#if LCD_PIXELFORMAT == HORIZONTAL_PACKING + bitmap[y][x] = ((lcd_framebuffer[y][x/4] >> (2 * (x & 3))) & 3); +#else bitmap[y][x] = ((lcd_framebuffer[y/4][x] >> (2 * (y & 3))) & 3); +#endif #elif LCD_DEPTH == 16 #if LCD_PIXELFORMAT == RGB565SWAPPED unsigned bits = lcd_framebuffer[y][x]; diff --git a/uisimulator/win32/uisw32.h b/uisimulator/win32/uisw32.h index 7b393a761..ce8a046bc 100644 --- a/uisimulator/win32/uisw32.h +++ b/uisimulator/win32/uisw32.h @@ -109,6 +109,18 @@ typedef unsigned short wchar_t; #define UI_REMOTE_WIDTH 128 #define UI_REMOTE_HEIGHT 64 +#elif defined(IPOD_4G) +#define UI_TITLE "iPod 4G" +#define UI_WIDTH 196 // width of GUI window +#define UI_HEIGHT 370 // height of GUI window +#define UI_LCD_BGCOLOR 90, 145, 90 // bkgnd color of LCD (no backlight) +#define UI_LCD_BGCOLORLIGHT 173, 216, 230 // bkgnd color of LCD (backlight) +#define UI_LCD_BLACK 0, 0, 0 // black +#define UI_LCD_POSX 19 // x position of lcd +#define UI_LCD_POSY 14 // y position of lcd +#define UI_LCD_WIDTH 160 +#define UI_LCD_HEIGHT 128 + #elif defined(IPOD_COLOR) #define UI_TITLE "iPod Color" #define UI_WIDTH 261 // width of GUI window -- 2.11.4.GIT