e1000: bounds packet size against buffer size