jtag_interface: .speed can be NULL when not needed
[openocd.git] / src / jtag / core.c
blob86ba706bac1743511f188331c22ad43f653467dc
1 /***************************************************************************
2 * Copyright (C) 2009 Zachary T Welch *
3 * zw@superlucidity.net *
4 * *
5 * Copyright (C) 2007,2008,2009 Øyvind Harboe *
6 * oyvind.harboe@zylin.com *
7 * *
8 * Copyright (C) 2009 SoftPLC Corporation *
9 * http://softplc.com *
10 * dick@softplc.com *
11 * *
12 * Copyright (C) 2005 by Dominic Rath *
13 * Dominic.Rath@gmx.de *
14 * *
15 * This program is free software; you can redistribute it and/or modify *
16 * it under the terms of the GNU General Public License as published by *
17 * the Free Software Foundation; either version 2 of the License, or *
18 * (at your option) any later version. *
19 * *
20 * This program is distributed in the hope that it will be useful, *
21 * but WITHOUT ANY WARRANTY; without even the implied warranty of *
22 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the *
23 * GNU General Public License for more details. *
24 * *
25 * You should have received a copy of the GNU General Public License *
26 * along with this program; if not, write to the *
27 * Free Software Foundation, Inc., *
28 * 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA. *
29 ***************************************************************************/
31 #ifdef HAVE_CONFIG_H
32 #include "config.h"
33 #endif
35 #include "jtag.h"
36 #include "swd.h"
37 #include "interface.h"
38 #include <transport/transport.h>
40 #ifdef HAVE_STRINGS_H
41 #include <strings.h>
42 #endif
44 /* SVF and XSVF are higher level JTAG command sets (for boundary scan) */
45 #include "svf/svf.h"
46 #include "xsvf/xsvf.h"
48 /** The number of JTAG queue flushes (for profiling and debugging purposes). */
49 static int jtag_flush_queue_count;
51 /* Sleep this # of ms after flushing the queue */
52 static int jtag_flush_queue_sleep;
54 static void jtag_add_scan_check(struct jtag_tap *active,
55 void (*jtag_add_scan)(struct jtag_tap *active,
56 int in_num_fields,
57 const struct scan_field *in_fields,
58 tap_state_t state),
59 int in_num_fields, struct scan_field *in_fields, tap_state_t state);
61 /**
62 * The jtag_error variable is set when an error occurs while executing
63 * the queue. Application code may set this using jtag_set_error(),
64 * when an error occurs during processing that should be reported during
65 * jtag_execute_queue().
67 * The value is set and cleared, but never read by normal application code.
69 * This value is returned (and cleared) by jtag_execute_queue().
71 static int jtag_error = ERROR_OK;
73 static const char *jtag_event_strings[] = {
74 [JTAG_TRST_ASSERTED] = "TAP reset",
75 [JTAG_TAP_EVENT_SETUP] = "TAP setup",
76 [JTAG_TAP_EVENT_ENABLE] = "TAP enabled",
77 [JTAG_TAP_EVENT_DISABLE] = "TAP disabled",
81 * JTAG adapters must initialize with TRST and SRST de-asserted
82 * (they're negative logic, so that means *high*). But some
83 * hardware doesn't necessarily work that way ... so set things
84 * up so that jtag_init() always forces that state.
86 static int jtag_trst = -1;
87 static int jtag_srst = -1;
89 /**
90 * List all TAPs that have been created.
92 static struct jtag_tap *__jtag_all_taps;
93 /**
94 * The number of TAPs in the __jtag_all_taps list, used to track the
95 * assigned chain position to new TAPs
97 static unsigned jtag_num_taps;
99 static enum reset_types jtag_reset_config = RESET_NONE;
100 tap_state_t cmd_queue_cur_state = TAP_RESET;
102 static bool jtag_verify_capture_ir = true;
103 static int jtag_verify = 1;
105 /* how long the OpenOCD should wait before attempting JTAG communication after reset lines
106 *deasserted (in ms) */
107 static int adapter_nsrst_delay; /* default to no nSRST delay */
108 static int jtag_ntrst_delay;/* default to no nTRST delay */
109 static int adapter_nsrst_assert_width; /* width of assertion */
110 static int jtag_ntrst_assert_width; /* width of assertion */
113 * Contains a single callback along with a pointer that will be passed
114 * when an event occurs.
116 struct jtag_event_callback {
117 /** a event callback */
118 jtag_event_handler_t callback;
119 /** the private data to pass to the callback */
120 void *priv;
121 /** the next callback */
122 struct jtag_event_callback *next;
125 /* callbacks to inform high-level handlers about JTAG state changes */
126 static struct jtag_event_callback *jtag_event_callbacks;
128 /* speed in kHz*/
129 static int speed_khz;
130 /* speed to fallback to when RCLK is requested but not supported */
131 static int rclk_fallback_speed_khz;
132 static enum {CLOCK_MODE_UNSELECTED, CLOCK_MODE_KHZ, CLOCK_MODE_RCLK} clock_mode;
133 static int jtag_speed;
135 static struct jtag_interface *jtag;
137 const struct swd_driver *swd;
139 /* configuration */
140 struct jtag_interface *jtag_interface;
142 void jtag_set_flush_queue_sleep(int ms)
144 jtag_flush_queue_sleep = ms;
147 void jtag_set_error(int error)
149 if ((error == ERROR_OK) || (jtag_error != ERROR_OK))
150 return;
151 jtag_error = error;
154 int jtag_error_clear(void)
156 int temp = jtag_error;
157 jtag_error = ERROR_OK;
158 return temp;
161 /************/
163 static bool jtag_poll = 1;
165 bool is_jtag_poll_safe(void)
167 /* Polling can be disabled explicitly with set_enabled(false).
168 * It is also implicitly disabled while TRST is active and
169 * while SRST is gating the JTAG clock.
171 if (!jtag_poll || jtag_trst != 0)
172 return false;
173 return jtag_srst == 0 || (jtag_reset_config & RESET_SRST_NO_GATING);
176 bool jtag_poll_get_enabled(void)
178 return jtag_poll;
181 void jtag_poll_set_enabled(bool value)
183 jtag_poll = value;
186 /************/
188 struct jtag_tap *jtag_all_taps(void)
190 return __jtag_all_taps;
193 unsigned jtag_tap_count(void)
195 return jtag_num_taps;
198 unsigned jtag_tap_count_enabled(void)
200 struct jtag_tap *t = jtag_all_taps();
201 unsigned n = 0;
202 while (t) {
203 if (t->enabled)
204 n++;
205 t = t->next_tap;
207 return n;
210 /** Append a new TAP to the chain of all taps. */
211 void jtag_tap_add(struct jtag_tap *t)
213 t->abs_chain_position = jtag_num_taps++;
215 struct jtag_tap **tap = &__jtag_all_taps;
216 while (*tap != NULL)
217 tap = &(*tap)->next_tap;
218 *tap = t;
221 /* returns a pointer to the n-th device in the scan chain */
222 struct jtag_tap *jtag_tap_by_position(unsigned n)
224 struct jtag_tap *t = jtag_all_taps();
226 while (t && n-- > 0)
227 t = t->next_tap;
229 return t;
232 struct jtag_tap *jtag_tap_by_string(const char *s)
234 /* try by name first */
235 struct jtag_tap *t = jtag_all_taps();
237 while (t) {
238 if (0 == strcmp(t->dotted_name, s))
239 return t;
240 t = t->next_tap;
243 /* no tap found by name, so try to parse the name as a number */
244 unsigned n;
245 if (parse_uint(s, &n) != ERROR_OK)
246 return NULL;
248 /* FIXME remove this numeric fallback code late June 2010, along
249 * with all info in the User's Guide that TAPs have numeric IDs.
250 * Also update "scan_chain" output to not display the numbers.
252 t = jtag_tap_by_position(n);
253 if (t)
254 LOG_WARNING("Specify TAP '%s' by name, not number %u",
255 t->dotted_name, n);
257 return t;
260 struct jtag_tap *jtag_tap_next_enabled(struct jtag_tap *p)
262 p = p ? p->next_tap : jtag_all_taps();
263 while (p) {
264 if (p->enabled)
265 return p;
266 p = p->next_tap;
268 return NULL;
271 const char *jtag_tap_name(const struct jtag_tap *tap)
273 return (tap == NULL) ? "(unknown)" : tap->dotted_name;
277 int jtag_register_event_callback(jtag_event_handler_t callback, void *priv)
279 struct jtag_event_callback **callbacks_p = &jtag_event_callbacks;
281 if (callback == NULL)
282 return ERROR_COMMAND_SYNTAX_ERROR;
284 if (*callbacks_p) {
285 while ((*callbacks_p)->next)
286 callbacks_p = &((*callbacks_p)->next);
287 callbacks_p = &((*callbacks_p)->next);
290 (*callbacks_p) = malloc(sizeof(struct jtag_event_callback));
291 (*callbacks_p)->callback = callback;
292 (*callbacks_p)->priv = priv;
293 (*callbacks_p)->next = NULL;
295 return ERROR_OK;
298 int jtag_unregister_event_callback(jtag_event_handler_t callback, void *priv)
300 struct jtag_event_callback **p = &jtag_event_callbacks, *temp;
302 if (callback == NULL)
303 return ERROR_COMMAND_SYNTAX_ERROR;
305 while (*p) {
306 if (((*p)->priv != priv) || ((*p)->callback != callback)) {
307 p = &(*p)->next;
308 continue;
311 temp = *p;
312 *p = (*p)->next;
313 free(temp);
316 return ERROR_OK;
319 int jtag_call_event_callbacks(enum jtag_event event)
321 struct jtag_event_callback *callback = jtag_event_callbacks;
323 LOG_DEBUG("jtag event: %s", jtag_event_strings[event]);
325 while (callback) {
326 struct jtag_event_callback *next;
328 /* callback may remove itself */
329 next = callback->next;
330 callback->callback(event, callback->priv);
331 callback = next;
334 return ERROR_OK;
337 static void jtag_checks(void)
339 assert(jtag_trst == 0);
342 static void jtag_prelude(tap_state_t state)
344 jtag_checks();
346 assert(state != TAP_INVALID);
348 cmd_queue_cur_state = state;
351 void jtag_add_ir_scan_noverify(struct jtag_tap *active, const struct scan_field *in_fields,
352 tap_state_t state)
354 jtag_prelude(state);
356 int retval = interface_jtag_add_ir_scan(active, in_fields, state);
357 jtag_set_error(retval);
360 static void jtag_add_ir_scan_noverify_callback(struct jtag_tap *active,
361 int dummy,
362 const struct scan_field *in_fields,
363 tap_state_t state)
365 jtag_add_ir_scan_noverify(active, in_fields, state);
368 /* If fields->in_value is filled out, then the captured IR value will be checked */
369 void jtag_add_ir_scan(struct jtag_tap *active, struct scan_field *in_fields, tap_state_t state)
371 assert(state != TAP_RESET);
373 if (jtag_verify && jtag_verify_capture_ir) {
374 /* 8 x 32 bit id's is enough for all invocations */
376 /* if we are to run a verification of the ir scan, we need to get the input back.
377 * We may have to allocate space if the caller didn't ask for the input back.
379 in_fields->check_value = active->expected;
380 in_fields->check_mask = active->expected_mask;
381 jtag_add_scan_check(active, jtag_add_ir_scan_noverify_callback, 1, in_fields,
382 state);
383 } else
384 jtag_add_ir_scan_noverify(active, in_fields, state);
387 void jtag_add_plain_ir_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
388 tap_state_t state)
390 assert(out_bits != NULL);
391 assert(state != TAP_RESET);
393 jtag_prelude(state);
395 int retval = interface_jtag_add_plain_ir_scan(
396 num_bits, out_bits, in_bits, state);
397 jtag_set_error(retval);
400 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
401 uint8_t *in_check_mask, int num_bits);
403 static int jtag_check_value_mask_callback(jtag_callback_data_t data0,
404 jtag_callback_data_t data1,
405 jtag_callback_data_t data2,
406 jtag_callback_data_t data3)
408 return jtag_check_value_inner((uint8_t *)data0,
409 (uint8_t *)data1,
410 (uint8_t *)data2,
411 (int)data3);
414 static void jtag_add_scan_check(struct jtag_tap *active, void (*jtag_add_scan)(
415 struct jtag_tap *active,
416 int in_num_fields,
417 const struct scan_field *in_fields,
418 tap_state_t state),
419 int in_num_fields, struct scan_field *in_fields, tap_state_t state)
421 jtag_add_scan(active, in_num_fields, in_fields, state);
423 for (int i = 0; i < in_num_fields; i++) {
424 if ((in_fields[i].check_value != NULL) && (in_fields[i].in_value != NULL)) {
425 /* this is synchronous for a minidriver */
426 jtag_add_callback4(jtag_check_value_mask_callback,
427 (jtag_callback_data_t)in_fields[i].in_value,
428 (jtag_callback_data_t)in_fields[i].check_value,
429 (jtag_callback_data_t)in_fields[i].check_mask,
430 (jtag_callback_data_t)in_fields[i].num_bits);
435 void jtag_add_dr_scan_check(struct jtag_tap *active,
436 int in_num_fields,
437 struct scan_field *in_fields,
438 tap_state_t state)
440 if (jtag_verify)
441 jtag_add_scan_check(active, jtag_add_dr_scan, in_num_fields, in_fields, state);
442 else
443 jtag_add_dr_scan(active, in_num_fields, in_fields, state);
447 void jtag_add_dr_scan(struct jtag_tap *active,
448 int in_num_fields,
449 const struct scan_field *in_fields,
450 tap_state_t state)
452 assert(state != TAP_RESET);
454 jtag_prelude(state);
456 int retval;
457 retval = interface_jtag_add_dr_scan(active, in_num_fields, in_fields, state);
458 jtag_set_error(retval);
461 void jtag_add_plain_dr_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
462 tap_state_t state)
464 assert(out_bits != NULL);
465 assert(state != TAP_RESET);
467 jtag_prelude(state);
469 int retval;
470 retval = interface_jtag_add_plain_dr_scan(num_bits, out_bits, in_bits, state);
471 jtag_set_error(retval);
474 void jtag_add_tlr(void)
476 jtag_prelude(TAP_RESET);
477 jtag_set_error(interface_jtag_add_tlr());
479 /* NOTE: order here matches TRST path in jtag_add_reset() */
480 jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
481 jtag_notify_event(JTAG_TRST_ASSERTED);
485 * If supported by the underlying adapter, this clocks a raw bit sequence
486 * onto TMS for switching betwen JTAG and SWD modes.
488 * DO NOT use this to bypass the integrity checks and logging provided
489 * by the jtag_add_pathmove() and jtag_add_statemove() calls.
491 * @param nbits How many bits to clock out.
492 * @param seq The bit sequence. The LSB is bit 0 of seq[0].
493 * @param state The JTAG tap state to record on completion. Use
494 * TAP_INVALID to represent being in in SWD mode.
496 * @todo Update naming conventions to stop assuming everything is JTAG.
498 int jtag_add_tms_seq(unsigned nbits, const uint8_t *seq, enum tap_state state)
500 int retval;
502 if (!(jtag->supported & DEBUG_CAP_TMS_SEQ))
503 return ERROR_JTAG_NOT_IMPLEMENTED;
505 jtag_checks();
506 cmd_queue_cur_state = state;
508 retval = interface_add_tms_seq(nbits, seq, state);
509 jtag_set_error(retval);
510 return retval;
513 void jtag_add_pathmove(int num_states, const tap_state_t *path)
515 tap_state_t cur_state = cmd_queue_cur_state;
517 /* the last state has to be a stable state */
518 if (!tap_is_state_stable(path[num_states - 1])) {
519 LOG_ERROR("BUG: TAP path doesn't finish in a stable state");
520 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
521 return;
524 for (int i = 0; i < num_states; i++) {
525 if (path[i] == TAP_RESET) {
526 LOG_ERROR("BUG: TAP_RESET is not a valid state for pathmove sequences");
527 jtag_set_error(ERROR_JTAG_STATE_INVALID);
528 return;
531 if (tap_state_transition(cur_state, true) != path[i] &&
532 tap_state_transition(cur_state, false) != path[i]) {
533 LOG_ERROR("BUG: %s -> %s isn't a valid TAP transition",
534 tap_state_name(cur_state), tap_state_name(path[i]));
535 jtag_set_error(ERROR_JTAG_TRANSITION_INVALID);
536 return;
538 cur_state = path[i];
541 jtag_checks();
543 jtag_set_error(interface_jtag_add_pathmove(num_states, path));
544 cmd_queue_cur_state = path[num_states - 1];
547 int jtag_add_statemove(tap_state_t goal_state)
549 tap_state_t cur_state = cmd_queue_cur_state;
551 if (goal_state != cur_state) {
552 LOG_DEBUG("cur_state=%s goal_state=%s",
553 tap_state_name(cur_state),
554 tap_state_name(goal_state));
557 /* If goal is RESET, be paranoid and force that that transition
558 * (e.g. five TCK cycles, TMS high). Else trust "cur_state".
560 if (goal_state == TAP_RESET)
561 jtag_add_tlr();
562 else if (goal_state == cur_state)
563 /* nothing to do */;
565 else if (tap_is_state_stable(cur_state) && tap_is_state_stable(goal_state)) {
566 unsigned tms_bits = tap_get_tms_path(cur_state, goal_state);
567 unsigned tms_count = tap_get_tms_path_len(cur_state, goal_state);
568 tap_state_t moves[8];
569 assert(tms_count < ARRAY_SIZE(moves));
571 for (unsigned i = 0; i < tms_count; i++, tms_bits >>= 1) {
572 bool bit = tms_bits & 1;
574 cur_state = tap_state_transition(cur_state, bit);
575 moves[i] = cur_state;
578 jtag_add_pathmove(tms_count, moves);
579 } else if (tap_state_transition(cur_state, true) == goal_state
580 || tap_state_transition(cur_state, false) == goal_state)
581 jtag_add_pathmove(1, &goal_state);
582 else
583 return ERROR_FAIL;
585 return ERROR_OK;
588 void jtag_add_runtest(int num_cycles, tap_state_t state)
590 jtag_prelude(state);
591 jtag_set_error(interface_jtag_add_runtest(num_cycles, state));
595 void jtag_add_clocks(int num_cycles)
597 if (!tap_is_state_stable(cmd_queue_cur_state)) {
598 LOG_ERROR("jtag_add_clocks() called with TAP in unstable state \"%s\"",
599 tap_state_name(cmd_queue_cur_state));
600 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
601 return;
604 if (num_cycles > 0) {
605 jtag_checks();
606 jtag_set_error(interface_jtag_add_clocks(num_cycles));
610 void swd_add_reset(int req_srst)
612 if (req_srst) {
613 if (!(jtag_reset_config & RESET_HAS_SRST)) {
614 LOG_ERROR("BUG: can't assert SRST");
615 jtag_set_error(ERROR_FAIL);
616 return;
618 req_srst = 1;
621 /* Maybe change SRST signal state */
622 if (jtag_srst != req_srst) {
623 int retval;
625 retval = interface_jtag_add_reset(0, req_srst);
626 if (retval != ERROR_OK)
627 jtag_set_error(retval);
628 else
629 retval = jtag_execute_queue();
631 if (retval != ERROR_OK) {
632 LOG_ERROR("TRST/SRST error");
633 return;
636 /* SRST resets everything hooked up to that signal */
637 jtag_srst = req_srst;
638 if (jtag_srst) {
639 LOG_DEBUG("SRST line asserted");
640 if (adapter_nsrst_assert_width)
641 jtag_add_sleep(adapter_nsrst_assert_width * 1000);
642 } else {
643 LOG_DEBUG("SRST line released");
644 if (adapter_nsrst_delay)
645 jtag_add_sleep(adapter_nsrst_delay * 1000);
650 void jtag_add_reset(int req_tlr_or_trst, int req_srst)
652 int trst_with_tlr = 0;
653 int new_srst = 0;
654 int new_trst = 0;
656 /* Without SRST, we must use target-specific JTAG operations
657 * on each target; callers should not be requesting SRST when
658 * that signal doesn't exist.
660 * RESET_SRST_PULLS_TRST is a board or chip level quirk, which
661 * can kick in even if the JTAG adapter can't drive TRST.
663 if (req_srst) {
664 if (!(jtag_reset_config & RESET_HAS_SRST)) {
665 LOG_ERROR("BUG: can't assert SRST");
666 jtag_set_error(ERROR_FAIL);
667 return;
669 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) != 0
670 && !req_tlr_or_trst) {
671 LOG_ERROR("BUG: can't assert only SRST");
672 jtag_set_error(ERROR_FAIL);
673 return;
675 new_srst = 1;
678 /* JTAG reset (entry to TAP_RESET state) can always be achieved
679 * using TCK and TMS; that may go through a TAP_{IR,DR}UPDATE
680 * state first. TRST accelerates it, and bypasses those states.
682 * RESET_TRST_PULLS_SRST is a board or chip level quirk, which
683 * can kick in even if the JTAG adapter can't drive SRST.
685 if (req_tlr_or_trst) {
686 if (!(jtag_reset_config & RESET_HAS_TRST))
687 trst_with_tlr = 1;
688 else if ((jtag_reset_config & RESET_TRST_PULLS_SRST) != 0
689 && !req_srst)
690 trst_with_tlr = 1;
691 else
692 new_trst = 1;
695 /* Maybe change TRST and/or SRST signal state */
696 if (jtag_srst != new_srst || jtag_trst != new_trst) {
697 int retval;
699 retval = interface_jtag_add_reset(new_trst, new_srst);
700 if (retval != ERROR_OK)
701 jtag_set_error(retval);
702 else
703 retval = jtag_execute_queue();
705 if (retval != ERROR_OK) {
706 LOG_ERROR("TRST/SRST error");
707 return;
711 /* SRST resets everything hooked up to that signal */
712 if (jtag_srst != new_srst) {
713 jtag_srst = new_srst;
714 if (jtag_srst) {
715 LOG_DEBUG("SRST line asserted");
716 if (adapter_nsrst_assert_width)
717 jtag_add_sleep(adapter_nsrst_assert_width * 1000);
718 } else {
719 LOG_DEBUG("SRST line released");
720 if (adapter_nsrst_delay)
721 jtag_add_sleep(adapter_nsrst_delay * 1000);
725 /* Maybe enter the JTAG TAP_RESET state ...
726 * - using only TMS, TCK, and the JTAG state machine
727 * - or else more directly, using TRST
729 * TAP_RESET should be invisible to non-debug parts of the system.
731 if (trst_with_tlr) {
732 LOG_DEBUG("JTAG reset with TLR instead of TRST");
733 jtag_add_tlr();
735 } else if (jtag_trst != new_trst) {
736 jtag_trst = new_trst;
737 if (jtag_trst) {
738 LOG_DEBUG("TRST line asserted");
739 tap_set_state(TAP_RESET);
740 if (jtag_ntrst_assert_width)
741 jtag_add_sleep(jtag_ntrst_assert_width * 1000);
742 } else {
743 LOG_DEBUG("TRST line released");
744 if (jtag_ntrst_delay)
745 jtag_add_sleep(jtag_ntrst_delay * 1000);
747 /* We just asserted nTRST, so we're now in TAP_RESET.
748 * Inform possible listeners about this, now that
749 * JTAG instructions and data can be shifted. This
750 * sequence must match jtag_add_tlr().
752 jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
753 jtag_notify_event(JTAG_TRST_ASSERTED);
758 void jtag_add_sleep(uint32_t us)
760 /** @todo Here, keep_alive() appears to be a layering violation!!! */
761 keep_alive();
762 jtag_set_error(interface_jtag_add_sleep(us));
765 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
766 uint8_t *in_check_mask, int num_bits)
768 int retval = ERROR_OK;
769 int compare_failed;
771 if (in_check_mask)
772 compare_failed = buf_cmp_mask(captured, in_check_value, in_check_mask, num_bits);
773 else
774 compare_failed = buf_cmp(captured, in_check_value, num_bits);
776 if (compare_failed) {
777 char *captured_str, *in_check_value_str;
778 int bits = (num_bits > DEBUG_JTAG_IOZ) ? DEBUG_JTAG_IOZ : num_bits;
780 /* NOTE: we've lost diagnostic context here -- 'which tap' */
782 captured_str = buf_to_str(captured, bits, 16);
783 in_check_value_str = buf_to_str(in_check_value, bits, 16);
785 LOG_WARNING("Bad value '%s' captured during DR or IR scan:",
786 captured_str);
787 LOG_WARNING(" check_value: 0x%s", in_check_value_str);
789 free(captured_str);
790 free(in_check_value_str);
792 if (in_check_mask) {
793 char *in_check_mask_str;
795 in_check_mask_str = buf_to_str(in_check_mask, bits, 16);
796 LOG_WARNING(" check_mask: 0x%s", in_check_mask_str);
797 free(in_check_mask_str);
800 retval = ERROR_JTAG_QUEUE_FAILED;
802 return retval;
805 void jtag_check_value_mask(struct scan_field *field, uint8_t *value, uint8_t *mask)
807 assert(field->in_value != NULL);
809 if (value == NULL) {
810 /* no checking to do */
811 return;
814 jtag_execute_queue_noclear();
816 int retval = jtag_check_value_inner(field->in_value, value, mask, field->num_bits);
817 jtag_set_error(retval);
820 int default_interface_jtag_execute_queue(void)
822 if (NULL == jtag) {
823 LOG_ERROR("No JTAG interface configured yet. "
824 "Issue 'init' command in startup scripts "
825 "before communicating with targets.");
826 return ERROR_FAIL;
829 return jtag->execute_queue();
832 void jtag_execute_queue_noclear(void)
834 jtag_flush_queue_count++;
835 jtag_set_error(interface_jtag_execute_queue());
837 if (jtag_flush_queue_sleep > 0) {
838 /* For debug purposes it can be useful to test performance
839 * or behavior when delaying after flushing the queue,
840 * e.g. to simulate long roundtrip times.
842 usleep(jtag_flush_queue_sleep * 1000);
846 int jtag_get_flush_queue_count(void)
848 return jtag_flush_queue_count;
851 int jtag_execute_queue(void)
853 jtag_execute_queue_noclear();
854 return jtag_error_clear();
857 static int jtag_reset_callback(enum jtag_event event, void *priv)
859 struct jtag_tap *tap = priv;
861 if (event == JTAG_TRST_ASSERTED) {
862 tap->enabled = !tap->disabled_after_reset;
864 /* current instruction is either BYPASS or IDCODE */
865 buf_set_ones(tap->cur_instr, tap->ir_length);
866 tap->bypass = 1;
869 return ERROR_OK;
872 /* sleep at least us microseconds. When we sleep more than 1000ms we
873 * do an alive sleep, i.e. keep GDB alive. Note that we could starve
874 * GDB if we slept for <1000ms many times.
876 void jtag_sleep(uint32_t us)
878 if (us < 1000)
879 usleep(us);
880 else
881 alive_sleep((us+999)/1000);
884 /* Maximum number of enabled JTAG devices we expect in the scan chain,
885 * plus one (to detect garbage at the end). Devices that don't support
886 * IDCODE take up fewer bits, possibly allowing a few more devices.
888 #define JTAG_MAX_CHAIN_SIZE 20
890 #define EXTRACT_MFG(X) (((X) & 0xffe) >> 1)
891 #define EXTRACT_PART(X) (((X) & 0xffff000) >> 12)
892 #define EXTRACT_VER(X) (((X) & 0xf0000000) >> 28)
894 /* A reserved manufacturer ID is used in END_OF_CHAIN_FLAG, so we
895 * know that no valid TAP will have it as an IDCODE value.
897 #define END_OF_CHAIN_FLAG 0xffffffff
899 /* a larger IR length than we ever expect to autoprobe */
900 #define JTAG_IRLEN_MAX 60
902 static int jtag_examine_chain_execute(uint8_t *idcode_buffer, unsigned num_idcode)
904 struct scan_field field = {
905 .num_bits = num_idcode * 32,
906 .out_value = idcode_buffer,
907 .in_value = idcode_buffer,
910 /* initialize to the end of chain ID value */
911 for (unsigned i = 0; i < JTAG_MAX_CHAIN_SIZE; i++)
912 buf_set_u32(idcode_buffer, i * 32, 32, END_OF_CHAIN_FLAG);
914 jtag_add_plain_dr_scan(field.num_bits, field.out_value, field.in_value, TAP_DRPAUSE);
915 jtag_add_tlr();
916 return jtag_execute_queue();
919 static bool jtag_examine_chain_check(uint8_t *idcodes, unsigned count)
921 uint8_t zero_check = 0x0;
922 uint8_t one_check = 0xff;
924 for (unsigned i = 0; i < count * 4; i++) {
925 zero_check |= idcodes[i];
926 one_check &= idcodes[i];
929 /* if there wasn't a single non-zero bit or if all bits were one,
930 * the scan is not valid. We wrote a mix of both values; either
932 * - There's a hardware issue (almost certainly):
933 * + all-zeroes can mean a target stuck in JTAG reset
934 * + all-ones tends to mean no target
935 * - The scan chain is WAY longer than we can handle, *AND* either
936 * + there are several hundreds of TAPs in bypass, or
937 * + at least a few dozen TAPs all have an all-ones IDCODE
939 if (zero_check == 0x00 || one_check == 0xff) {
940 LOG_ERROR("JTAG scan chain interrogation failed: all %s",
941 (zero_check == 0x00) ? "zeroes" : "ones");
942 LOG_ERROR("Check JTAG interface, timings, target power, etc.");
943 return false;
945 return true;
948 static void jtag_examine_chain_display(enum log_levels level, const char *msg,
949 const char *name, uint32_t idcode)
951 log_printf_lf(level, __FILE__, __LINE__, __func__,
952 "JTAG tap: %s %16.16s: 0x%08x "
953 "(mfg: 0x%3.3x, part: 0x%4.4x, ver: 0x%1.1x)",
954 name, msg,
955 (unsigned int)idcode,
956 (unsigned int)EXTRACT_MFG(idcode),
957 (unsigned int)EXTRACT_PART(idcode),
958 (unsigned int)EXTRACT_VER(idcode));
961 static bool jtag_idcode_is_final(uint32_t idcode)
964 * Some devices, such as AVR8, will output all 1's instead
965 * of TDI input value at end of chain. Allow those values
966 * instead of failing.
968 return idcode == END_OF_CHAIN_FLAG;
972 * This helper checks that remaining bits in the examined chain data are
973 * all as expected, but a single JTAG device requires only 64 bits to be
974 * read back correctly. This can help identify and diagnose problems
975 * with the JTAG chain earlier, gives more helpful/explicit error messages.
976 * Returns TRUE iff garbage was found.
978 static bool jtag_examine_chain_end(uint8_t *idcodes, unsigned count, unsigned max)
980 bool triggered = false;
981 for (; count < max - 31; count += 32) {
982 uint32_t idcode = buf_get_u32(idcodes, count, 32);
984 /* do not trigger the warning if the data looks good */
985 if (jtag_idcode_is_final(idcode))
986 continue;
987 LOG_WARNING("Unexpected idcode after end of chain: %d 0x%08x",
988 count, (unsigned int)idcode);
989 triggered = true;
991 return triggered;
994 static bool jtag_examine_chain_match_tap(const struct jtag_tap *tap)
996 uint32_t idcode = tap->idcode;
998 /* ignore expected BYPASS codes; warn otherwise */
999 if (0 == tap->expected_ids_cnt && !idcode)
1000 return true;
1002 /* optionally ignore the JTAG version field - bits 28-31 of IDCODE */
1003 uint32_t mask = tap->ignore_version ? ~(0xf << 28) : ~0;
1005 idcode &= mask;
1007 /* Loop over the expected identification codes and test for a match */
1008 unsigned ii, limit = tap->expected_ids_cnt;
1010 for (ii = 0; ii < limit; ii++) {
1011 uint32_t expected = tap->expected_ids[ii] & mask;
1013 if (idcode == expected)
1014 return true;
1016 /* treat "-expected-id 0" as a "don't-warn" wildcard */
1017 if (0 == tap->expected_ids[ii])
1018 return true;
1021 /* If none of the expected ids matched, warn */
1022 jtag_examine_chain_display(LOG_LVL_WARNING, "UNEXPECTED",
1023 tap->dotted_name, tap->idcode);
1024 for (ii = 0; ii < limit; ii++) {
1025 char msg[32];
1027 snprintf(msg, sizeof(msg), "expected %u of %u", ii + 1, limit);
1028 jtag_examine_chain_display(LOG_LVL_ERROR, msg,
1029 tap->dotted_name, tap->expected_ids[ii]);
1031 return false;
1034 /* Try to examine chain layout according to IEEE 1149.1 §12
1035 * This is called a "blind interrogation" of the scan chain.
1037 static int jtag_examine_chain(void)
1039 uint8_t idcode_buffer[JTAG_MAX_CHAIN_SIZE * 4];
1040 unsigned bit_count;
1041 int retval;
1042 int tapcount = 0;
1043 bool autoprobe = false;
1045 /* DR scan to collect BYPASS or IDCODE register contents.
1046 * Then make sure the scan data has both ones and zeroes.
1048 LOG_DEBUG("DR scan interrogation for IDCODE/BYPASS");
1049 retval = jtag_examine_chain_execute(idcode_buffer, JTAG_MAX_CHAIN_SIZE);
1050 if (retval != ERROR_OK)
1051 return retval;
1052 if (!jtag_examine_chain_check(idcode_buffer, JTAG_MAX_CHAIN_SIZE))
1053 return ERROR_JTAG_INIT_FAILED;
1055 /* point at the 1st tap */
1056 struct jtag_tap *tap = jtag_tap_next_enabled(NULL);
1058 if (!tap)
1059 autoprobe = true;
1061 for (bit_count = 0;
1062 tap && bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31;
1063 tap = jtag_tap_next_enabled(tap)) {
1064 uint32_t idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1066 if ((idcode & 1) == 0) {
1067 /* Zero for LSB indicates a device in bypass */
1068 LOG_INFO("TAP %s does not have IDCODE",
1069 tap->dotted_name);
1070 idcode = 0;
1071 tap->hasidcode = false;
1073 bit_count += 1;
1074 } else {
1075 /* Friendly devices support IDCODE */
1076 tap->hasidcode = true;
1077 jtag_examine_chain_display(LOG_LVL_INFO,
1078 "tap/device found",
1079 tap->dotted_name, idcode);
1081 bit_count += 32;
1083 tap->idcode = idcode;
1085 /* ensure the TAP ID matches what was expected */
1086 if (!jtag_examine_chain_match_tap(tap))
1087 retval = ERROR_JTAG_INIT_SOFT_FAIL;
1090 /* Fail if too many TAPs were enabled for us to verify them all. */
1091 if (tap) {
1092 LOG_ERROR("Too many TAPs enabled; '%s' ignored.",
1093 tap->dotted_name);
1094 return ERROR_JTAG_INIT_FAILED;
1097 /* if autoprobing, the tap list is still empty ... populate it! */
1098 while (autoprobe && bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31) {
1099 uint32_t idcode;
1100 char buf[12];
1102 /* Is there another TAP? */
1103 idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1104 if (jtag_idcode_is_final(idcode))
1105 break;
1107 /* Default everything in this TAP except IR length.
1109 * REVISIT create a jtag_alloc(chip, tap) routine, and
1110 * share it with jim_newtap_cmd().
1112 tap = calloc(1, sizeof *tap);
1113 if (!tap)
1114 return ERROR_FAIL;
1116 sprintf(buf, "auto%d", tapcount++);
1117 tap->chip = strdup(buf);
1118 tap->tapname = strdup("tap");
1120 sprintf(buf, "%s.%s", tap->chip, tap->tapname);
1121 tap->dotted_name = strdup(buf);
1123 /* tap->ir_length == 0 ... signifying irlen autoprobe */
1124 tap->ir_capture_mask = 0x03;
1125 tap->ir_capture_value = 0x01;
1127 tap->enabled = true;
1129 if ((idcode & 1) == 0) {
1130 bit_count += 1;
1131 tap->hasidcode = false;
1132 } else {
1133 bit_count += 32;
1134 tap->hasidcode = true;
1135 tap->idcode = idcode;
1137 tap->expected_ids_cnt = 1;
1138 tap->expected_ids = malloc(sizeof(uint32_t));
1139 tap->expected_ids[0] = idcode;
1142 LOG_WARNING("AUTO %s - use \"jtag newtap "
1143 "%s %s -expected-id 0x%8.8" PRIx32 " ...\"",
1144 tap->dotted_name, tap->chip, tap->tapname,
1145 tap->idcode);
1147 jtag_tap_init(tap);
1150 /* After those IDCODE or BYPASS register values should be
1151 * only the data we fed into the scan chain.
1153 if (jtag_examine_chain_end(idcode_buffer, bit_count,
1154 8 * sizeof(idcode_buffer))) {
1155 LOG_ERROR("double-check your JTAG setup (interface, "
1156 "speed, missing TAPs, ...)");
1157 return ERROR_JTAG_INIT_FAILED;
1160 /* Return success or, for backwards compatibility if only
1161 * some IDCODE values mismatched, a soft/continuable fault.
1163 return retval;
1167 * Validate the date loaded by entry to the Capture-IR state, to help
1168 * find errors related to scan chain configuration (wrong IR lengths)
1169 * or communication.
1171 * Entry state can be anything. On non-error exit, all TAPs are in
1172 * bypass mode. On error exits, the scan chain is reset.
1174 static int jtag_validate_ircapture(void)
1176 struct jtag_tap *tap;
1177 int total_ir_length = 0;
1178 uint8_t *ir_test = NULL;
1179 struct scan_field field;
1180 int val;
1181 int chain_pos = 0;
1182 int retval;
1184 /* when autoprobing, accomodate huge IR lengths */
1185 for (tap = NULL, total_ir_length = 0;
1186 (tap = jtag_tap_next_enabled(tap)) != NULL;
1187 total_ir_length += tap->ir_length) {
1188 if (tap->ir_length == 0)
1189 total_ir_length += JTAG_IRLEN_MAX;
1192 /* increase length to add 2 bit sentinel after scan */
1193 total_ir_length += 2;
1195 ir_test = malloc(DIV_ROUND_UP(total_ir_length, 8));
1196 if (ir_test == NULL)
1197 return ERROR_FAIL;
1199 /* after this scan, all TAPs will capture BYPASS instructions */
1200 buf_set_ones(ir_test, total_ir_length);
1202 field.num_bits = total_ir_length;
1203 field.out_value = ir_test;
1204 field.in_value = ir_test;
1206 jtag_add_plain_ir_scan(field.num_bits, field.out_value, field.in_value, TAP_IDLE);
1208 LOG_DEBUG("IR capture validation scan");
1209 retval = jtag_execute_queue();
1210 if (retval != ERROR_OK)
1211 goto done;
1213 tap = NULL;
1214 chain_pos = 0;
1216 for (;; ) {
1217 tap = jtag_tap_next_enabled(tap);
1218 if (tap == NULL)
1219 break;
1221 /* If we're autoprobing, guess IR lengths. They must be at
1222 * least two bits. Guessing will fail if (a) any TAP does
1223 * not conform to the JTAG spec; or (b) when the upper bits
1224 * captured from some conforming TAP are nonzero. Or if
1225 * (c) an IR length is longer than 32 bits -- which is only
1226 * an implementation limit, which could someday be raised.
1228 * REVISIT optimization: if there's a *single* TAP we can
1229 * lift restrictions (a) and (b) by scanning a recognizable
1230 * pattern before the all-ones BYPASS. Check for where the
1231 * pattern starts in the result, instead of an 0...01 value.
1233 * REVISIT alternative approach: escape to some tcl code
1234 * which could provide more knowledge, based on IDCODE; and
1235 * only guess when that has no success.
1237 if (tap->ir_length == 0) {
1238 tap->ir_length = 2;
1239 while ((val = buf_get_u32(ir_test, chain_pos, tap->ir_length + 1)) == 1
1240 && tap->ir_length <= 32) {
1241 tap->ir_length++;
1243 LOG_WARNING("AUTO %s - use \"... -irlen %d\"",
1244 jtag_tap_name(tap), tap->ir_length);
1247 /* Validate the two LSBs, which must be 01 per JTAG spec.
1249 * Or ... more bits could be provided by TAP declaration.
1250 * Plus, some taps (notably in i.MX series chips) violate
1251 * this part of the JTAG spec, so their capture mask/value
1252 * attributes might disable this test.
1254 val = buf_get_u32(ir_test, chain_pos, tap->ir_length);
1255 if ((val & tap->ir_capture_mask) != tap->ir_capture_value) {
1256 LOG_ERROR("%s: IR capture error; saw 0x%0*x not 0x%0*x",
1257 jtag_tap_name(tap),
1258 (tap->ir_length + 7) / tap->ir_length,
1259 val,
1260 (tap->ir_length + 7) / tap->ir_length,
1261 (unsigned) tap->ir_capture_value);
1263 retval = ERROR_JTAG_INIT_FAILED;
1264 goto done;
1266 LOG_DEBUG("%s: IR capture 0x%0*x", jtag_tap_name(tap),
1267 (tap->ir_length + 7) / tap->ir_length, val);
1268 chain_pos += tap->ir_length;
1271 /* verify the '11' sentinel we wrote is returned at the end */
1272 val = buf_get_u32(ir_test, chain_pos, 2);
1273 if (val != 0x3) {
1274 char *cbuf = buf_to_str(ir_test, total_ir_length, 16);
1276 LOG_ERROR("IR capture error at bit %d, saw 0x%s not 0x...3",
1277 chain_pos, cbuf);
1278 free(cbuf);
1279 retval = ERROR_JTAG_INIT_FAILED;
1282 done:
1283 free(ir_test);
1284 if (retval != ERROR_OK) {
1285 jtag_add_tlr();
1286 jtag_execute_queue();
1288 return retval;
1291 void jtag_tap_init(struct jtag_tap *tap)
1293 unsigned ir_len_bits;
1294 unsigned ir_len_bytes;
1296 /* if we're autoprobing, cope with potentially huge ir_length */
1297 ir_len_bits = tap->ir_length ? : JTAG_IRLEN_MAX;
1298 ir_len_bytes = DIV_ROUND_UP(ir_len_bits, 8);
1300 tap->expected = calloc(1, ir_len_bytes);
1301 tap->expected_mask = calloc(1, ir_len_bytes);
1302 tap->cur_instr = malloc(ir_len_bytes);
1304 /** @todo cope better with ir_length bigger than 32 bits */
1305 if (ir_len_bits > 32)
1306 ir_len_bits = 32;
1308 buf_set_u32(tap->expected, 0, ir_len_bits, tap->ir_capture_value);
1309 buf_set_u32(tap->expected_mask, 0, ir_len_bits, tap->ir_capture_mask);
1311 /* TAP will be in bypass mode after jtag_validate_ircapture() */
1312 tap->bypass = 1;
1313 buf_set_ones(tap->cur_instr, tap->ir_length);
1315 /* register the reset callback for the TAP */
1316 jtag_register_event_callback(&jtag_reset_callback, tap);
1317 jtag_tap_add(tap);
1319 LOG_DEBUG("Created Tap: %s @ abs position %d, "
1320 "irlen %d, capture: 0x%x mask: 0x%x", tap->dotted_name,
1321 tap->abs_chain_position, tap->ir_length,
1322 (unsigned) tap->ir_capture_value,
1323 (unsigned) tap->ir_capture_mask);
1326 void jtag_tap_free(struct jtag_tap *tap)
1328 jtag_unregister_event_callback(&jtag_reset_callback, tap);
1330 /** @todo is anything missing? no memory leaks please */
1331 free((void *)tap->expected);
1332 free((void *)tap->expected_ids);
1333 free((void *)tap->chip);
1334 free((void *)tap->tapname);
1335 free((void *)tap->dotted_name);
1336 free(tap);
1340 * Do low-level setup like initializing registers, output signals,
1341 * and clocking.
1343 int adapter_init(struct command_context *cmd_ctx)
1345 if (jtag)
1346 return ERROR_OK;
1348 if (!jtag_interface) {
1349 /* nothing was previously specified by "interface" command */
1350 LOG_ERROR("Debug Adapter has to be specified, "
1351 "see \"interface\" command");
1352 return ERROR_JTAG_INVALID_INTERFACE;
1355 int retval;
1356 retval = jtag_interface->init();
1357 if (retval != ERROR_OK)
1358 return retval;
1359 jtag = jtag_interface;
1361 /* LEGACY SUPPORT ... adapter drivers must declare what
1362 * transports they allow. Until they all do so, assume
1363 * the legacy drivers are JTAG-only
1365 if (!transports_are_declared()) {
1366 LOG_ERROR("Adapter driver '%s' did not declare "
1367 "which transports it allows; assuming "
1368 "JTAG-only", jtag->name);
1369 retval = allow_transports(cmd_ctx, jtag_only);
1370 if (retval != ERROR_OK)
1371 return retval;
1374 if (jtag->speed == NULL) {
1375 LOG_INFO("This adapter doesn't support configurable speed");
1376 return ERROR_OK;
1379 if (CLOCK_MODE_UNSELECTED == clock_mode) {
1380 LOG_ERROR("An adapter speed is not selected in the init script."
1381 " Insert a call to adapter_khz or jtag_rclk to proceed.");
1382 return ERROR_JTAG_INIT_FAILED;
1385 int requested_khz = jtag_get_speed_khz();
1386 int actual_khz = requested_khz;
1387 int jtag_speed_var = 0;
1388 retval = jtag_get_speed(&jtag_speed_var);
1389 if (retval != ERROR_OK)
1390 return retval;
1391 retval = jtag->speed(jtag_speed_var);
1392 if (retval != ERROR_OK)
1393 return retval;
1394 retval = jtag_get_speed_readable(&actual_khz);
1395 if (ERROR_OK != retval)
1396 LOG_INFO("adapter-specific clock speed value %d", jtag_speed_var);
1397 else if (actual_khz) {
1398 /* Adaptive clocking -- JTAG-specific */
1399 if ((CLOCK_MODE_RCLK == clock_mode)
1400 || ((CLOCK_MODE_KHZ == clock_mode) && !requested_khz)) {
1401 LOG_INFO("RCLK (adaptive clock speed) not supported - fallback to %d kHz"
1402 , actual_khz);
1403 } else
1404 LOG_INFO("clock speed %d kHz", actual_khz);
1405 } else
1406 LOG_INFO("RCLK (adaptive clock speed)");
1408 return ERROR_OK;
1411 int jtag_init_inner(struct command_context *cmd_ctx)
1413 struct jtag_tap *tap;
1414 int retval;
1415 bool issue_setup = true;
1417 LOG_DEBUG("Init JTAG chain");
1419 tap = jtag_tap_next_enabled(NULL);
1420 if (tap == NULL) {
1421 /* Once JTAG itself is properly set up, and the scan chain
1422 * isn't absurdly large, IDCODE autoprobe should work fine.
1424 * But ... IRLEN autoprobe can fail even on systems which
1425 * are fully conformant to JTAG. Also, JTAG setup can be
1426 * quite finicky on some systems.
1428 * REVISIT: if TAP autoprobe works OK, then in many cases
1429 * we could escape to tcl code and set up targets based on
1430 * the TAP's IDCODE values.
1432 LOG_WARNING("There are no enabled taps. "
1433 "AUTO PROBING MIGHT NOT WORK!!");
1435 /* REVISIT default clock will often be too fast ... */
1438 jtag_add_tlr();
1439 retval = jtag_execute_queue();
1440 if (retval != ERROR_OK)
1441 return retval;
1443 /* Examine DR values first. This discovers problems which will
1444 * prevent communication ... hardware issues like TDO stuck, or
1445 * configuring the wrong number of (enabled) TAPs.
1447 retval = jtag_examine_chain();
1448 switch (retval) {
1449 case ERROR_OK:
1450 /* complete success */
1451 break;
1452 default:
1453 /* For backward compatibility reasons, try coping with
1454 * configuration errors involving only ID mismatches.
1455 * We might be able to talk to the devices.
1457 * Also the device might be powered down during startup.
1459 * After OpenOCD starts, we can try to power on the device
1460 * and run a reset.
1462 LOG_ERROR("Trying to use configured scan chain anyway...");
1463 issue_setup = false;
1464 break;
1467 /* Now look at IR values. Problems here will prevent real
1468 * communication. They mostly mean that the IR length is
1469 * wrong ... or that the IR capture value is wrong. (The
1470 * latter is uncommon, but easily worked around: provide
1471 * ircapture/irmask values during TAP setup.)
1473 retval = jtag_validate_ircapture();
1474 if (retval != ERROR_OK) {
1475 /* The target might be powered down. The user
1476 * can power it up and reset it after firing
1477 * up OpenOCD.
1479 issue_setup = false;
1482 if (issue_setup)
1483 jtag_notify_event(JTAG_TAP_EVENT_SETUP);
1484 else
1485 LOG_WARNING("Bypassing JTAG setup events due to errors");
1488 return ERROR_OK;
1491 int adapter_quit(void)
1493 if (!jtag || !jtag->quit)
1494 return ERROR_OK;
1496 /* close the JTAG interface */
1497 int result = jtag->quit();
1498 if (ERROR_OK != result)
1499 LOG_ERROR("failed: %d", result);
1501 return ERROR_OK;
1504 int swd_init_reset(struct command_context *cmd_ctx)
1506 int retval = adapter_init(cmd_ctx);
1507 if (retval != ERROR_OK)
1508 return retval;
1510 LOG_DEBUG("Initializing with hard SRST reset");
1512 if (jtag_reset_config & RESET_HAS_SRST)
1513 swd_add_reset(1);
1514 swd_add_reset(0);
1515 retval = jtag_execute_queue();
1516 return retval;
1519 int jtag_init_reset(struct command_context *cmd_ctx)
1521 int retval = adapter_init(cmd_ctx);
1522 if (retval != ERROR_OK)
1523 return retval;
1525 LOG_DEBUG("Initializing with hard TRST+SRST reset");
1528 * This procedure is used by default when OpenOCD triggers a reset.
1529 * It's now done through an overridable Tcl "init_reset" wrapper.
1531 * This started out as a more powerful "get JTAG working" reset than
1532 * jtag_init_inner(), applying TRST because some chips won't activate
1533 * JTAG without a TRST cycle (presumed to be async, though some of
1534 * those chips synchronize JTAG activation using TCK).
1536 * But some chips only activate JTAG as part of an SRST cycle; SRST
1537 * got mixed in. So it became a hard reset routine, which got used
1538 * in more places, and which coped with JTAG reset being forced as
1539 * part of SRST (srst_pulls_trst).
1541 * And even more corner cases started to surface: TRST and/or SRST
1542 * assertion timings matter; some chips need other JTAG operations;
1543 * TRST/SRST sequences can need to be different from these, etc.
1545 * Systems should override that wrapper to support system-specific
1546 * requirements that this not-fully-generic code doesn't handle.
1548 * REVISIT once Tcl code can read the reset_config modes, this won't
1549 * need to be a C routine at all...
1551 jtag_add_reset(1, 0); /* TAP_RESET, using TMS+TCK or TRST */
1552 if (jtag_reset_config & RESET_HAS_SRST) {
1553 jtag_add_reset(1, 1);
1554 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) == 0)
1555 jtag_add_reset(0, 1);
1558 /* some targets enable us to connect with srst asserted */
1559 if (jtag_reset_config & RESET_CNCT_UNDER_SRST) {
1560 if (jtag_reset_config & RESET_SRST_NO_GATING)
1561 jtag_add_reset(0, 1);
1562 else {
1563 LOG_WARNING("\'srst_nogate\' reset_config option is required");
1564 jtag_add_reset(0, 0);
1566 } else
1567 jtag_add_reset(0, 0);
1568 retval = jtag_execute_queue();
1569 if (retval != ERROR_OK)
1570 return retval;
1572 /* Check that we can communication on the JTAG chain + eventually we want to
1573 * be able to perform enumeration only after OpenOCD has started
1574 * telnet and GDB server
1576 * That would allow users to more easily perform any magic they need to before
1577 * reset happens.
1579 return jtag_init_inner(cmd_ctx);
1582 int jtag_init(struct command_context *cmd_ctx)
1584 int retval = adapter_init(cmd_ctx);
1585 if (retval != ERROR_OK)
1586 return retval;
1588 /* guard against oddball hardware: force resets to be inactive */
1589 jtag_add_reset(0, 0);
1591 /* some targets enable us to connect with srst asserted */
1592 if (jtag_reset_config & RESET_CNCT_UNDER_SRST) {
1593 if (jtag_reset_config & RESET_SRST_NO_GATING)
1594 jtag_add_reset(0, 1);
1595 else
1596 LOG_WARNING("\'srst_nogate\' reset_config option is required");
1598 retval = jtag_execute_queue();
1599 if (retval != ERROR_OK)
1600 return retval;
1602 if (Jim_Eval_Named(cmd_ctx->interp, "jtag_init", __FILE__, __LINE__) != JIM_OK)
1603 return ERROR_FAIL;
1605 return ERROR_OK;
1608 unsigned jtag_get_speed_khz(void)
1610 return speed_khz;
1613 static int adapter_khz_to_speed(unsigned khz, int *speed)
1615 LOG_DEBUG("convert khz to interface specific speed value");
1616 speed_khz = khz;
1617 if (jtag != NULL) {
1618 LOG_DEBUG("have interface set up");
1619 int speed_div1;
1620 int retval = jtag->khz(jtag_get_speed_khz(), &speed_div1);
1621 if (ERROR_OK != retval)
1622 return retval;
1623 *speed = speed_div1;
1625 return ERROR_OK;
1628 static int jtag_rclk_to_speed(unsigned fallback_speed_khz, int *speed)
1630 int retval = adapter_khz_to_speed(0, speed);
1631 if ((ERROR_OK != retval) && fallback_speed_khz) {
1632 LOG_DEBUG("trying fallback speed...");
1633 retval = adapter_khz_to_speed(fallback_speed_khz, speed);
1635 return retval;
1638 static int jtag_set_speed(int speed)
1640 jtag_speed = speed;
1641 /* this command can be called during CONFIG,
1642 * in which case jtag isn't initialized */
1643 return jtag ? jtag->speed(speed) : ERROR_OK;
1646 int jtag_config_khz(unsigned khz)
1648 LOG_DEBUG("handle jtag khz");
1649 clock_mode = CLOCK_MODE_KHZ;
1650 int speed = 0;
1651 int retval = adapter_khz_to_speed(khz, &speed);
1652 return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1655 int jtag_config_rclk(unsigned fallback_speed_khz)
1657 LOG_DEBUG("handle jtag rclk");
1658 clock_mode = CLOCK_MODE_RCLK;
1659 rclk_fallback_speed_khz = fallback_speed_khz;
1660 int speed = 0;
1661 int retval = jtag_rclk_to_speed(fallback_speed_khz, &speed);
1662 return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1665 int jtag_get_speed(int *speed)
1667 switch (clock_mode) {
1668 case CLOCK_MODE_KHZ:
1669 adapter_khz_to_speed(jtag_get_speed_khz(), speed);
1670 break;
1671 case CLOCK_MODE_RCLK:
1672 jtag_rclk_to_speed(rclk_fallback_speed_khz, speed);
1673 break;
1674 default:
1675 LOG_ERROR("BUG: unknown jtag clock mode");
1676 return ERROR_FAIL;
1678 return ERROR_OK;
1681 int jtag_get_speed_readable(int *khz)
1683 int jtag_speed_var = 0;
1684 int retval = jtag_get_speed(&jtag_speed_var);
1685 if (retval != ERROR_OK)
1686 return retval;
1687 return jtag ? jtag->speed_div(jtag_speed_var, khz) : ERROR_OK;
1690 void jtag_set_verify(bool enable)
1692 jtag_verify = enable;
1695 bool jtag_will_verify()
1697 return jtag_verify;
1700 void jtag_set_verify_capture_ir(bool enable)
1702 jtag_verify_capture_ir = enable;
1705 bool jtag_will_verify_capture_ir()
1707 return jtag_verify_capture_ir;
1710 int jtag_power_dropout(int *dropout)
1712 if (jtag == NULL) {
1713 /* TODO: as the jtag interface is not valid all
1714 * we can do at the moment is exit OpenOCD */
1715 LOG_ERROR("No Valid JTAG Interface Configured.");
1716 exit(-1);
1718 return jtag->power_dropout(dropout);
1721 int jtag_srst_asserted(int *srst_asserted)
1723 return jtag->srst_asserted(srst_asserted);
1726 enum reset_types jtag_get_reset_config(void)
1728 return jtag_reset_config;
1730 void jtag_set_reset_config(enum reset_types type)
1732 jtag_reset_config = type;
1735 int jtag_get_trst(void)
1737 return jtag_trst;
1739 int jtag_get_srst(void)
1741 return jtag_srst;
1744 void jtag_set_nsrst_delay(unsigned delay)
1746 adapter_nsrst_delay = delay;
1748 unsigned jtag_get_nsrst_delay(void)
1750 return adapter_nsrst_delay;
1752 void jtag_set_ntrst_delay(unsigned delay)
1754 jtag_ntrst_delay = delay;
1756 unsigned jtag_get_ntrst_delay(void)
1758 return jtag_ntrst_delay;
1762 void jtag_set_nsrst_assert_width(unsigned delay)
1764 adapter_nsrst_assert_width = delay;
1766 unsigned jtag_get_nsrst_assert_width(void)
1768 return adapter_nsrst_assert_width;
1770 void jtag_set_ntrst_assert_width(unsigned delay)
1772 jtag_ntrst_assert_width = delay;
1774 unsigned jtag_get_ntrst_assert_width(void)
1776 return jtag_ntrst_assert_width;
1779 static int jtag_select(struct command_context *ctx)
1781 int retval;
1783 /* NOTE: interface init must already have been done.
1784 * That works with only C code ... no Tcl glue required.
1787 retval = jtag_register_commands(ctx);
1789 if (retval != ERROR_OK)
1790 return retval;
1792 retval = svf_register_commands(ctx);
1794 if (retval != ERROR_OK)
1795 return retval;
1797 return xsvf_register_commands(ctx);
1800 static struct transport jtag_transport = {
1801 .name = "jtag",
1802 .select = jtag_select,
1803 .init = jtag_init,
1806 static void jtag_constructor(void) __attribute__((constructor));
1807 static void jtag_constructor(void)
1809 transport_register(&jtag_transport);
1812 /** Returns true if the current debug session
1813 * is using JTAG as its transport.
1815 bool transport_is_jtag(void)
1817 return get_current_transport() == &jtag_transport;
1820 void adapter_assert_reset(void)
1822 if (transport_is_jtag()) {
1823 if (jtag_reset_config & RESET_SRST_PULLS_TRST)
1824 jtag_add_reset(1, 1);
1825 else
1826 jtag_add_reset(0, 1);
1827 } else if (transport_is_swd())
1828 swd_add_reset(1);
1829 else if (get_current_transport() != NULL)
1830 LOG_ERROR("reset is not supported on %s",
1831 get_current_transport()->name);
1832 else
1833 LOG_ERROR("transport is not selected");
1836 void adapter_deassert_reset(void)
1838 if (transport_is_jtag())
1839 jtag_add_reset(0, 0);
1840 else if (transport_is_swd())
1841 swd_add_reset(0);
1842 else if (get_current_transport() != NULL)
1843 LOG_ERROR("reset is not supported on %s",
1844 get_current_transport()->name);
1845 else
1846 LOG_ERROR("transport is not selected");