avr32: work-in-progress