Make bench.out in json format
commitcb5e4aada7f044fc029dd64b31411a23bb09c287
authorSiddhesh Poyarekar <siddhesh@redhat.com>
Sat, 29 Mar 2014 04:07:44 +0000 (29 09:37 +0530)
committerSiddhesh Poyarekar <siddhesh@redhat.com>
Sat, 29 Mar 2014 04:07:44 +0000 (29 09:37 +0530)
tree24f50d1418ef624707a8745737c89086944528a5
parentcf806aff6067273307d958f35c0a4cd0b0d40e80
Make bench.out in json format

This patch changes the output format of the main benchmark output file
(bench.out) to an extensible format.  I chose JSON over XML because in
addition to being extensible, it is also not too verbose.
Additionally it has good support in python.

The significant change I have made in terms of functionality is to put
timing information as an attribute in JSON instead of a string and to
do that, there is a separate program that prints out a JSON snippet
mentioning the type of timing (hp_timing or clock_gettime).  The mean
timing has now changed from iterations per unit to actual timing per
iteration.
ChangeLog
benchtests/Makefile
benchtests/bench-skeleton.c
benchtests/bench-timing-type.c [new file with mode: 0644]
benchtests/bench-timing.h
benchtests/scripts/bench.py