2012-10-03 Steve Ellcey <sellcey@mips.com>
commit05088f14d6b80dee3f9ea3b68cfc7ffb58c8f787
authorSteve Ellcey <sellcey@mips.com>
Wed, 3 Oct 2012 21:31:00 +0000 (3 14:31 -0700)
committerSteve Ellcey <sellcey@mips.com>
Wed, 3 Oct 2012 21:31:00 +0000 (3 14:31 -0700)
tree768f3a15f87e002d27e27e369e7a591dd41df753
parent5bb0c10a8f341a379c0dad31cded072e4542432e
2012-10-03  Steve Ellcey  <sellcey@mips.com>

* sysdeps/mips/ieee754.h: Move to...
* sysdeps/mips/ieee754/ieee754.h: Here.
* sysdeps/mips/mips32/Implies: Add mips/ieee754.
* sysdeps/mips/mips64/Implies: Ditto.
* sysdeps/mips/mips64/n32/Implies: Ditto.
* sysdeps/mips/mips64/n64/Implies: Ditto.
ports/sysdeps/mips/ieee754/ieee754.h [moved from ports/sysdeps/mips/ieee754.h with 100% similarity]