From 94ba20ec9dbd001da242e1d9ee8c85476adf9eb3 Mon Sep 17 00:00:00 2001 From: Thien-Thi Nguyen Date: Sun, 8 May 2005 00:12:54 +0000 Subject: [PATCH] *** empty log message *** --- src/ChangeLog | 8 ++++++++ 1 file changed, 8 insertions(+) diff --git a/src/ChangeLog b/src/ChangeLog index 7b595335761..012bf1dbb2d 100644 --- a/src/ChangeLog +++ b/src/ChangeLog @@ -1,3 +1,11 @@ +2005-05-08 Thien-Thi Nguyen + + * config.in (HAVE_PWD_H): Add undef. + + * sysdep.c: Remove reference to defunct vms-pwd.h. + * editfns.c: Likewise. Also, for pwd.h, use HAVE_PWD_H, not !VMS. + * fileio.c, filelock.c, xrdb.c: Likewise. + 2005-05-07 Thien-Thi Nguyen * xfns.c (start_hourglass): Do nothing when running on a tty. -- 2.11.4.GIT