* progmodes/verilog-mode.el (customize): Fix typo in error message.
commit90639effc5233e5fed2234d652a9b8b9e2f1b38f
authorDan Nicolaescu <dann@ics.uci.edu>
Wed, 20 Feb 2008 16:15:03 +0000 (20 16:15 +0000)
committerDan Nicolaescu <dann@ics.uci.edu>
Wed, 20 Feb 2008 16:15:03 +0000 (20 16:15 +0000)
tree3ecc1250d840313334322517c72fe06280b65458
parent219d93b8b4e14cf639c2032e5f31b60590b44b1f
* progmodes/verilog-mode.el (customize): Fix typo in error message.
(verilog-mode, verilog-mode-indent, verilog-mode-actions)
(verilog-mode-auto, verilog-indent-level-module)
(verilog-minimum-comment-distance, verilog-library-flags)
(verilog-library-directories, verilog-library-files)
(verilog-auto-reset-widths, verilog-imenu-generic-expression)
(verilog-xemacs-menu, verilog-set-compile-command)
(verilog-set-compile-command, verilog-mode-syntax-table, verilog-mode)
(verilog-get-expr, verilog-strip-comments, verilog-one-line)
(verilog-lint-off, verilog-batch-auto, verilog-batch-delete-auto)
(verilog-batch-inject-auto, verilog-batch-indent)
(verilog-continued-line, verilog-type-keywords)
(verilog-read-sub-decls-sig, verilog-read-sub-decls-line)
(verilog-read-inst-pins, verilog-read-arg-pins)
(verilog-read-auto-template, verilog-read-signals, verilog-getopt-file)
(verilog-add-list-unique, verilog-symbol-detick, verilog-modi-filename)
(verilog-auto-star, verilog-auto-inst, verilog-auto-wire)
(verilog-enum-ascii, verilog-sk-begin, verilog-sk-fork)
(verilog-sk-datadef, verilog-colorize-include-files-buffer)
(verilog-mode-version, verilog-mode-release-date)
(verilog-mode-release-emacs, verilog-linter, verilog-coverage)
(verilog-simulator, verilog-compiler)
(verilog-auto-sense-defines-constant, verilog-company)
(verilog-project, verilog-mark-defun, verilog-submit-bug-report):
Fix typos in docstrings.
(verilog-set-auto-endcomments, verilog-calculate-indent)
(verilog-inject-auto, verilog-auto-arg, verilog-auto-inout-module):
Reflow docstrings.
(verilog-tab-always-indent, verilog-highlight-p1800-keywords)
(verilog-auto-star-save, verilog-auto-inst-vector, verilog-mode-hook)
(electric-verilog-forward-sexp, verilog-in-case-region-p)
(verilog-in-struct-region-p, verilog-in-generate-region-p)
(verilog-leap-to-head, verilog-current-indent-level)
(verilog-case-indent-level, verilog-cpp-keywords)
(verilog-defun-keywords, verilog-block-keywords, verilog-tf-keywords)
(verilog-case-keywords, verilog-separator-keywords, verilog-completion)
(verilog-signals-not-in, verilog-symbol-detick-text)
(verilog-modi-cache-preserve-tick, verilog-modi-cache-preserve-buffer)
(verilog-forward-close-paren, verilog-backward-open-paren)
(verilog-backward-open-bracket): Doc fixes.
lisp/ChangeLog
lisp/progmodes/verilog-mode.el