v3.3.0
tag92366e0157f3a70187f069d58132438677976841
object c837cb4a8aaa2064f63e67ed0a7f7055dbf159c5
authorMartin Hořeňovský <martin.horenovsky@gmail.com>
Sun, 22 Jan 2023 19:49:20 +0000 (22 20:49 +0100)
v3.3.0

=== Improvements ===

* Added `MessageMatches` exception matcher (#2570)
* Added `RangeEquals` and `UnorderedRangeEquals` generic range matchers (#2377)
* Added `SKIP` macro for skipping tests from within the test body (#2360)
  * All built-in reporters have been extended to handle it properly, whether your custom reporter needs changes depends on how it was written
  * `skipTest` reporter event **is unrelated** to this, and has been deprecated since it has practically no uses
* Restored support for PPC Macs in the break-into-debugger functionality (#2619)
* Made our warning suppression compatible with CUDA toolkit pre 11.5 (#2626)
* Cleaned out some static analysis complaints

=== Fixes ===

* Fixed macro redefinition warning when NVCC was reporting as MSVC (#2603)
* Fixed throws in generator constructor causing the whole binary to abort (#2615)
  * Now it just fails the test
* Fixed missing transitive include with libstdc++13 (#2611)

=== Miscellaneous ===

* Improved support for dynamic library build with non-MSVC compilers on Windows (#2630)
* When used as a subproject, Catch2 keeps its generated header in a separate directory from the main project (#2604)
-----BEGIN PGP SIGNATURE-----

iQIzBAABCgAdFiEE8QyLZSqAHw/oZQgX3kgwe4sNOBoFAmPNk2UACgkQ3kgwe4sN
OBoeBw//df18jjo3gziD/eD456WvHK/T4W1v6hlv6L6SXCLTXrcun0Avd4RRt0cv
rgbSgSZIKxZ8GR/TYOTQVKAai8azsxsDM/9flSw12J7ZM4kJ7RcHuoeETf08TOLS
gmiJiwomXdcj73drsbZ1GgTbmeALRhs/GwnNu2ed1YJrQ2Sus+yg+s5boD/7pzYz
Bz7jwPuhFQE8tCmDJHmURaSwTCA/nTMZ3UkW3j5H/DXQkhEwOAfXIWHtjRWnIV15
wEEbnmxugNUBxmhMcHi2AOWzdDLis3fbHv+L3H12dkhLA2yrKvg44ttQYwKkwJpo
+jDaUmB0Qt+CQcvRNc7x97eB7DKqE+ldsbBHXDTH958b0dXH3NZQfWsa98MbcybZ
gxrfLxImcrYWqGMtCwMSu4u++zkO37S4zIq73l/OY1QdtJGh6svJfucLjhPCxi9H
ZVIBrs4pCjicnI/K6Qzf7lHy8OfnJSdE9LgxJSgKukVDu5SNalmd//XeemFReKOv
G4g2pCESl011Y4343+bQMNgqtERspEocZ3ROfAf9h9ZV/qg4eNW1GLg2rs401fzP
TlSebBDsKoWKWR4AbsqK9OiSZM+p0PqPfj4eAW/8Rt8O2sZ/WbyYt+XD9DLJV+/E
AUJbKnt3Kn1KRNCGv0ckwHP2SX2NgIx/f4cRwRlBgCj/PkexOVc=
=6Luw
-----END PGP SIGNATURE-----